KR20160075351A - 웨이퍼 에지에서의 후면 증착을 감소시키는 방법 - Google Patents

웨이퍼 에지에서의 후면 증착을 감소시키는 방법 Download PDF

Info

Publication number
KR20160075351A
KR20160075351A KR1020150180225A KR20150180225A KR20160075351A KR 20160075351 A KR20160075351 A KR 20160075351A KR 1020150180225 A KR1020150180225 A KR 1020150180225A KR 20150180225 A KR20150180225 A KR 20150180225A KR 20160075351 A KR20160075351 A KR 20160075351A
Authority
KR
South Korea
Prior art keywords
wafer
carrier ring
supports
gap
pedestal
Prior art date
Application number
KR1020150180225A
Other languages
English (en)
Other versions
KR102401894B1 (ko
Inventor
끌로에 발다세로니
앤드류 듀발
리안 블랙키에르
샹카 스와미나단
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160075351A publication Critical patent/KR20160075351A/ko
Priority to KR1020220061760A priority Critical patent/KR102514303B1/ko
Application granted granted Critical
Publication of KR102401894B1 publication Critical patent/KR102401894B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 상에 막을 증착하기 위한 프로세스 챔버가 제공되고, 프로세스 챔버는: 중심 상단 표면 위에서 지지 레벨에서 웨이퍼를 지지하도록 구성된 복수의 웨이퍼 지지부들을 가진 중심 상단 표면, 중심 상단 표면으로부터 스텝 다운에서 환형 표면을 가진 페데스탈; 캐리어 링의 하단 표면이 환형 표면 위의 제 1 수직 격리부에 있도록 캐리어 링 지지부들에 의해 지지되도록 구성된 캐리어 링으로서, 상단 표면에 대해 규정된 스텝 다운 표면을 가진, 캐리어 링을 포함하고, 캐리어 링이 캐리어 링 지지부들 상에 놓일 때, 캐리어 링의 스텝 다운 표면은 페데스탈의 상단 표면 위의 지지 레벨로부터 제 2 수직 격리부에 있는 프로세스 레벨에 배치된다.

Description

웨이퍼 에지에서의 후면 증착을 감소시키는 방법{REDUCING BACKSIDE DEPOSITION AT WAFER EDGE}
본 실시예들은 반도체 웨이퍼 프로세싱 장비 툴들, 보다 구체적으로, 챔버들에서 사용되는 캐리어 링들에 관한 것이다. 챔버들은 웨이퍼들의 프로세싱 및 이송을 위한 것이다.
원자 층 증착 (ALD) 에서, 막은 연속적인 도징 단계 및 활성화 단계에 의해 층 단위로 (layer by layer) 증착된다. ALD는 고 종횡비 구조체들 상에 컨포멀한 (conformal) 막들을 생성하도록 사용된다. ALD의 결점들 중 하나는 막이 웨이퍼 후면에 접근하는 임의의 갭을 통해 증착될 수 있기 때문에 웨이퍼의 후면 상의 막 증착이 회피되기 어렵다는 것이다. 후면 증착이 통합 플로우의 일부인 리소그래피 단계들 동안 정렬/포커싱 이슈들을 야기하기 때문에 후면 증착은 스페이서 적용들에서 요구되지 않는다.
후면 상의 막은 도즈 단계 동안 후면으로의 전구체 종의 이송, 및 활성화 단계 동안 이송된 라디칼 종에 의한 전구체의 반응에 의해 생성된다. 기준 프로세스에서, 에지에서 전면 막만큼 두껍고 에지로부터 내측으로 5 ㎜보다 크게 연장하는 막의 링이 관찰된다.
이 맥락에서 본 발명들의 실시예들이 발생한다.
본 개시의 실시예들은 ALD 프로세싱 동안 후면 증착을 감소시키기 위한 시스템들, 장치들, 및 방법들을 제공한다.
ALD 프로세스 챔버에서, 웨이퍼는 또한 챔버 내에서 웨이퍼 인덱싱을 위해 사용된 캐리어 링과 피팅되는 페데스탈 어셈블리 상에 지지된다. 이러한 구성에서, 웨이퍼의 후면으로의 2개의 메인 이송 경로들이 이용 가능하다: 웨이퍼와 캐리어 링 사이의 갭, 및 캐리어 링과 페데스탈 사이의 갭. 본 발명의 실시예들은 저온 ALD 프로세스들에서 웨이퍼 에지에서 후면 증착을 감소시키기 위한 새로운 방법을 제공한다. 웨이퍼의 후면으로의 전구체 또는 라디칼 종의 이송 경로를 제한하는 수정된 페데스탈 및 캐리어 링 설계가 제공되어, 에지로부터 3 ㎜에서 후면 상의 막의 형성을 50 옹스트롬 (angstrom) 미만으로 대단히 감소시킨다. 본 발명의 실시예들은 2개의 메인 갭들: 웨이퍼 대 캐리어 링 (예를 들어, 14 mil 내지, 예를 들어, 6.5 mil) 및 캐리어 링 대 페데스탈 (예를 들어, 6 mil 내지 예를 들어, 2 mil) 을 감소시키는 페데스탈과 캐리어 링의 조합을 사용함으로써 웨이퍼 에지에서 후면 증착을 감소시킨다.
일 실시예에서, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버가 제공되고, 프로세스 챔버는 웨이퍼를 수용하도록 구성된 페데스탈로서, 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 웨이퍼 지지부들은 중심 상단 표면 위에서 지지 레벨에 웨이퍼를 지지하도록 구성된, 중심 상단 표면, 상단 표면 직경으로부터 환형 표면의 외경으로 연장하는 환형 표면으로서, 환형 표면은 중심 상단 표면으로부터 스텝 다운된 (being at a step down), 환형 표면, 환형 표면의 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 페데스탈; 하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 캐리어 링의 환형 바디의 하단 표면은, 환형 바디의 하단 표면이 환형 표면 위의 제 1 수직 거리에 있도록 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 (step down) 표면을 가진 캐리어 링은 내경에 근접하게 규정되고, 스텝 다운 표면은 스텝 다운 표면으로부터 환형 바디의 외경으로 연장하는 상단 표면에 상대적인, 캐리어 링을 포함하고; 캐리어 링이 캐리어 링 지지부들 상에 놓일 때, 그러면 캐리어 링의 스텝 다운 표면은 페데스탈의 상단 표면 위의 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고; 제 1 수직 거리는 캐리어 링의 하단 표면과 환형 표면 사이에 하부 갭을 규정하고, 제 2 수직 거리는 페데스탈 상의 복수의 웨이퍼 지지부들 상에 지지될 때, 웨이퍼와 캐리어 링의 스텝 다운 표면 사이에 상부 갭을 규정하고, 상부 갭은 약 0.15 ㎜ 미만이고; 웨이퍼의 에지는 웨이퍼가 프로세스 챔버 내에 존재하고 웨이퍼 지지부들 상에 지지될 때 웨이퍼의 에지가 캐리어 링의 스텝 다운 표면 위로 오버행하고 (overhang) 스텝 다운 표면 위에 존재하도록 상단 표면 직경 위로 오버행하도록 구성된다.
일 실시예에서, 하부 갭은 약 0.15 ㎜ 미만이다.
일 실시예에서, 하부 갭은 약 0.1 ㎜ 이하이다.
일 실시예에서, 상부 갭은 약 0.1 ㎜ 이하이다.
일 실시예에서, 캐리어 링 지지부 각각은 캐리어 링이 캐리어 링 지지부들에 의해 지지될 때, 캐리어 링의 하단 표면이 배치되는 환형 표면 위에 제 1 수직 거리를 규정하도록 높이 조정 가능하다.
일 실시예에서, 캐리어 링 지지부들 중 적어도 하나는 캐리어 링 지지부들 중 적어도 하나의 높이를 조정하기 위한 하나 이상의 스페이서들을 포함한다.
일 실시예에서, 복수의 캐리어 링 지지부들은 환형 표면의 외경에서 대칭으로 배치된 적어도 3개의 캐리어 링 지지부들을 규정한다.
일 실시예에서, 하부 갭과 상부 갭은 증착 프로세스 동안 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한하고, 하부 갭과 상부 갭은 에지 구역에서 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 웨이퍼의 에지 구역에서의 웨이퍼의 후면 상의 증착을 제한하고, 에지 구역은 웨이퍼의 에지로부터 대략 3 ㎜에서 규정된다.
일 실시예에서, 증착 프로세스는 원자 층 증착 (ALD) 프로세스이다.
일 실시예에서, 캐리어 링은 페데스탈로 또는 페데스탈로부터의 웨이퍼의 이송 동안 웨이퍼를 지지하도록 구성된다.
일 실시예에서, 캐리어 링은 캐리어 링의 스텝 다운 표면 상에 규정된 복수의 캐리어 링 웨이퍼 지지부들을 포함하고, 캐리어 링 웨이퍼 지지부들은 웨이퍼가 이송 동안 캐리어 링에 의해 지지될 때 웨이퍼와 인게이지하도록 (engage) 구성된다.
또 다른 실시예에서, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버가 제공되고, 프로세스 챔버는 웨이퍼를 수용하도록 구성된 페데스탈로서, 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 웨이퍼 지지부들은 중심 상단 표면 위에서 지지 레벨에 웨이퍼를 지지하도록 구성된, 중심 상단 표면, 상단 표면 직경으로부터 환형 표면의 외경으로 연장하는 환형 표면으로서, 환형 표면은 중심 상단 표면으로부터 스텝 다운된, 환형 표면, 환형 표면의 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 페데스탈; 하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 캐리어 링의 환형 바디의 하단 표면은, 환형 바디의 하단 표면이 환형 표면 위의 제 1 수직 거리에 있도록 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 표면을 가진 캐리어 링은 내경에 근접하게 규정되고, 스텝 다운 표면은 스텝 다운 표면으로부터 환형 바디의 외경으로 연장하는 상단 표면에 상대적인, 캐리어 링을 포함하고; 캐리어 링이 캐리어 링 지지부들 상에 놓일 때, 그러면 캐리어 링의 스텝 다운 표면은 페데스탈의 상단 표면 위의 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고; 제 1 수직 거리는 캐리어 링의 하단 표면과 환형 표면 사이에 하부 갭을 규정하고, 하부 갭은 약 0.15 ㎜ 미만이고, 제 2 수직 거리는 페데스탈 상의 복수의 웨이퍼 지지부들 상에 지지될 때, 웨이퍼와 캐리어 링의 스텝 다운 표면 사이에 상부 갭을 규정하고, 상부 갭은 약 0.15 ㎜ 미만이고; 웨이퍼의 에지는 웨이퍼가 프로세스 챔버 내에 존재하고 웨이퍼 지지부들 상에 지지될 때 웨이퍼의 에지가 캐리어 링의 스텝 다운 표면 위로 오버행하고 (overhang) 스텝 다운 표면 위에 존재하도록 상단 표면 직경 위로 오버행하도록 구성되고; 하부 갭과 상부 갭은 증착 프로세스 동안 웨이퍼의 후면으로의 접근을 제한하고, 하부 갭과 상부 갭은 에지 구역에서 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 웨이퍼의 에지 구역에서의 웨이퍼의 후면 상의 증착을 제한하고, 에지 구역은 웨이퍼의 에지로부터 대략 3 ㎜에서 규정된다.
일 실시예에서, 하부 갭은 약 0.1 ㎜ 이하이고; 상부 갭은 약 0.1 ㎜ 이하이다.
일 실시예에서, 캐리어 링 지지부 각각은 캐리어 링이 캐리어 링 지지부들에 의해 지지될 때, 캐리어 링의 하단 표면이 배치되는 환형 표면 위에 제 1 수직 거리를 규정하도록 높이 조정 가능하다.
일 실시예에서, 캐리어 링 지지부들 중 적어도 하나는 캐리어 링 지지부들 중 적어도 하나의 높이를 조정하기 위한 하나 이상의 스페이서들을 포함한다.
일 실시예에서, 복수의 캐리어 링 지지부들은 환형 표면의 외경에서 대칭으로 배치된 적어도 3개의 캐리어 링 지지부들을 규정한다.
일 실시예에서, 캐리어 링은 페데스탈로 또는 페데스탈로부터의 웨이퍼의 이송 동안 웨이퍼를 지지하도록 구성되고; 캐리어 링은 캐리어 링의 스텝 다운 표면 상에 규정된 복수의 캐리어 링 웨이퍼 지지부들을 포함하고, 캐리어 링 웨이퍼 지지부들은 웨이퍼가 이송 동안 캐리어 링에 의해 지지될 때 웨이퍼와 인게이지하도록 구성된다.
일 실시예에서, 증착 프로세스는 원자 층 증착 (ALD) 프로세스이다.
또 다른 실시예에서, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버가 제공되고, 프로세스 챔버는 웨이퍼를 수용하도록 구성된 페데스탈로서, 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 웨이퍼 지지부들은 중심 상단 표면 위에서 지지 레벨에 웨이퍼를 지지하도록 구성된, 중심 상단 표면, 상단 표면 직경으로부터 환형 표면의 외경으로 연장하는 환형 표면으로서, 환형 표면은 중심 상단 표면으로부터 스텝 다운된, 환형 표면, 환형 표면의 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 페데스탈; 하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 캐리어 링의 환형 바디의 하단 표면은, 환형 바디의 하단 표면이 환형 표면 위의 제 1 수직 거리에 있도록 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 표면을 가진 캐리어 링은 내경에 근접하게 규정되고, 스텝 다운 표면은 스텝 다운 표면으로부터 환형 바디의 외경으로 연장하는 상단 표면에 상대적인, 캐리어 링을 포함하고; 캐리어 링이 캐리어 링 지지부들 상에 놓일 때, 그러면 캐리어 링의 스텝 다운 표면은 페데스탈의 상단 표면 위의 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고; 제 1 수직 거리는 캐리어 링의 하단 표면과 환형 표면 사이에 하부 갭을 규정하고, 하부 갭은 약 0.1 ㎜ 이하이고, 제 2 수직 거리는 페데스탈 상의 복수의 웨이퍼 지지부들 상에 지지될 때, 웨이퍼와 캐리어 링의 스텝 다운 표면 사이에 상부 갭을 규정하고, 상부 갭은 약 0.1 ㎜ 이하이고; 웨이퍼의 에지는 웨이퍼가 프로세스 챔버 내에 존재하고 웨이퍼 지지부들 상에 지지될 때 웨이퍼의 에지가 캐리어 링의 스텝 다운 표면 위로 오버행하고 (overhang) 스텝 다운 표면 위에 존재하도록 상단 표면 직경 위로 오버행하도록 구성되고; 하부 갭과 상부 갭은 증착 프로세스 동안 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한하고, 하부 갭과 상부 갭은 에지 구역에서 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 웨이퍼의 에지 구역에서의 웨이퍼의 후면 상의 증착을 제한하고, 에지 구역은 웨이퍼의 에지로부터 대략 3 ㎜에서 규정된다.
일 실시예에서, 증착 프로세스는 원자 층 증착 (ALD) 프로세스이다.
도 1a는 예를 들어, 상부에 막들을 형성하기 위해 웨이퍼를 프로세싱하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 1b는 웨이퍼 상에서 원자 층 증착 (ALD) 프로세스를 수행하도록 구성되는 기판 프로세싱 시스템을 예시한다.
도 2는 일 실시예에 따른, 4개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3a는 본 발명의 실시예에 따른, 원자 층 증착 (ALD) 프로세스와 같은 증착 프로세스 동안 웨이퍼를 수용하도록 구성되는 페데스탈 (300) 을 예시한다.
도 3b는 본 발명의 실시예에 따른, 페데스탈 (300) 의 일부분의 단면 사시도를 예시한다.
도 3c는 본 발명의 실시예에 따른, 웨이퍼 (340) 의 에지 부분과 캐리어 링 (330) 을 포함하는, 페데스탈 (300) 의 주변 부분의 단면도를 예시한다.
도 3d는 본 발명의 실시예에 따른, 웨이퍼 후면으로의 전구체들 및 라디칼 종의 이송을 위한 경로를 제공하는 다양한 갭들을 도시하는, 캐리어 링 (330) 및 웨이퍼 (340) 의 단면도를 예시한다.
도 4a 및 도 4b는 본 발명의 실시예에 따른, 웨이퍼 상의 방사상 위치 대 후면 증착 두께를 도시하는 그래프들을 예시한다.
도 5는 웨이퍼 에지로부터 3 ㎜의 고정된 방사상 위치에서의 후면 증착 두께의 원형 스캔을 도시하는 그래프를 예시한다.
도 6은 일 실시예에 따른, 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 실시예들은 프로세싱 반도체 웨이퍼들을 위해 사용된, 프로세스 챔버의 실시예들을 제공한다.
본 실시예들이 프로세스, 장치, 시스템, 디바이스, 또는 방법과 같은 수많은 방식들로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들은 이하에 기술된다.
막들의 증착은 PECVD (plasma enhanced chemical vapor deposition) 시스템에서 구현될 수 있다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 (house) 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 "반응기들"을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에 (예를 들어 회전, 진동, 또는 다른 교반과 같은 운동을 하여 또는 상기 운동 없이 그 위치 내에서) 웨이퍼를 유지한다. 증착을 겪은 웨이퍼는 프로세스 동안 반응기 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 물론, 막 증착은 단일의 스테이션에서 전적으로 일어날 수도 있거나 막의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제 자리에 홀딩된다. 특정한 동작들에 대해, 장치는 웨이퍼를 가열하도록 가열 플레이트와 같은 히터를 포함할 수도 있다.
도 1a는 웨이퍼 (101) 를 프로세싱하도록 사용되는, 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 가진 챔버 (102) 를 포함한다. 중심 칼럼 (central column) 은 일 실시예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 웨이퍼 (101) 위에 막들을 증착하거나 형성하도록, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 이동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
중심 칼럼은 또한 리프트 핀 제어부 (122) 에 의해 제어되는, 리프트 핀들 (120) 을 포함하도록 도시된다. 리프트 핀들 (120) 은 단부-이펙터로 하여금 웨이퍼를 피킹하게 하기 (pick) 위해 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 그리고 단부-이펙터에 의해 배치된 후에 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114) 에 연결되는 가스 공급 매니폴드 (112), 예를 들어, 설비로부터의 가스 화학물질 공급부들을 더 포함한다. 수행되는 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 전방부와 페데스탈 (140) 위에 놓이는 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다.
또한, 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어기 메커니즘들은 적절한 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출부를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1개 또는 2개의 스테이지 기계식 건조 펌프 및/또는 터보분자식 펌프) 는 프로세스 가스들을 외부로 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은, 폐쇄 루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외부 구역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링은 페데스탈로 또는 페데스탈로부터의 웨이퍼의 이송 동안 웨이퍼를 지지하도록 구성된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심의 웨이퍼 지지 구역으로부터 스텝 다운된 캐리어 링 지지부 구역 위에 놓이도록 구성된다. 캐리어 링은 캐리어 링의 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경부, 및 캐리어 링의 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳과 가장 가까운 내측 반경부를 포함한다. 캐리어 링의 웨이퍼 에지 측은 캐리어 링 (200) 이 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 또 다른 스테이션에 대해, 예를 들어, 멀티-스테이션 시스템에서 회전될 수 있다.
도 1b는 웨이퍼 상에서 원자 층 증착 (ALD) 프로세스 (예를 들어 ALD 옥사이드 프로세스) 를 수행하도록 구성되는 기판 프로세싱 시스템을 예시한다. 도 1a를 참조하여 기술된 바와 같은 유사한 컴포넌트들이 도시된다. 그러나, RF 전력이 샤워헤드 (150) 에 공급된다.
도 2는 4개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 하부 챔버 부분 (102b) 이고 (예를 들어, 예시를 위해 상단 챔버 부분 (102a) 이 제거됨), 여기서 4개의 스테이션들은 스파이더 포크들 (226) 에 의해 접근된다. 스파이더 포크, 또는 포크 각각은 제 1 및 제 2 암을 포함하고, 제 1 및 제 2 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 배치된다. 인게이지먼트 및 회전 메커니즘 (220) 을 사용하여, 스파이더 포크들 (226) 은 스테이션들로부터 캐리어 링들 (200) 을 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 위로 동시에 상승 및 리프팅하도록, 그리고 이어서 추가의 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (여기서 캐리어 링들 중 적어도 하나는 웨이퍼 (101) 를 지지함) 을 다음의 위치로 하강시키기 전에 적어도 하나 이상의 스테이션들을 회전시키도록 구성된다.
도 3a는 원자 층 증착 (ALD) 프로세스와 같은 증착 프로세스 동안 웨이퍼를 수용하도록 구성되는 페데스탈 (300) 을 예시한다. 웨이퍼는 페데스탈의 중심 축 (320) 으로부터 중심 상단 표면의 에지를 규정하는 상단 표면 직경 (322) 으로 연장하는 원형 영역에 의해 규정되는 중심 상단 표면 (302) 을 포함한다. 중심 상단 표면 (302) 은 중심 상단 표면 (302) 상에 규정되고 중심 상단 표면 위의 지지 레벨에서 웨이퍼를 지지하도록 구성되는, 복수의 웨이퍼 지지부들 (304a, 304b, 304c, 304d, 304e, 및 304f) 을 포함한다. 웨이퍼 지지 레벨은 웨이퍼 지지부들 상에 놓일 때 웨이퍼의 하단 표면의 수직 위치에 의해 규정된다. 일부 구현예들에서, 웨이퍼 지지 레벨은 페데스탈의 중심 상단 표면 (302) 위로 대략 2 mil이다. 예시적인 실시예에서, 중심 상단 표면 (302) 의 주변 부분 주위에 대칭으로 분포된 6개의 웨이퍼 지지부들이 있다. 그러나, 다른 구현예들에서 증착 프로세스 동작들 동안 웨이퍼를 지지하기 위해 임의의 적합한 구성으로 중심 상단 표면 (302) 주위에 분포될 수도 있는, 중심 상단 표면 (302) 상의 임의의 수의 웨이퍼 지지부들이 있을 수도 있다. 부가적으로 리프트 핀들을 하우징하도록 구성되는, 리세스들 (306a, 306b, 및 306c) 이 도시된다. 상기에 언급된 바와 같이, 리프트 핀들은 단부-이펙터에 의한 인게이지먼트를 허용하도록 웨이퍼 지지부들로부터 웨이퍼를 상승시키도록 활용될 수 있다.
일부 실시예들에서, 웨이퍼 지지부 각각은 MCA (minimum contact area) 를 규정한다. MCA들은 높은 정밀도 또는 허용오차들이 요구될 때 표면들 사이에서 정밀도 정합을 개선하도록 사용되고, 및/또는 최소의 물리적 콘택트는 디펙트 위험을 감소시키는데 바람직하다. 시스템 내의 다른 영역들은 이하에 더 상세히 기술되는 바와 같이, 캐리어 링 지지부들 위, 및 캐리어 링의 내측 웨이퍼 지지 구역 위에서와 같은 MCA들을 활용할 수 있다.
페데스탈 (300) 은 페데스탈의 상단 표면 직경 (322) (중심 상단 표면 (302) 의 외측 에지에서) 으로부터 환형 표면의 외경 (324) 으로 연장하는 환형 표면 (310) 을 더 포함한다. 환형 표면 (310) 은 중심 상단 표면으로부터 스텝 다운에, 중심 상단 표면 (302) 을 둘러싸는 환형 구역을 규정한다. 즉, 환형 표면 (310) 의 수직 위치는 중심 상단 표면 (302) 의 수직 위치보다 보다 낮다. 복수의 캐리어 링 지지부들 (312a, 312b, 및 312c) 은 실질적으로 환형 표면 (310) 의 에지 (외경) 에서/를 따라 배치되고 환형 표면 주위에 대칭으로 분포된다. 캐리어 링 지지부들은 일부 실시예들에서 캐리어 링을 지지하기 위한 MCA들을 규정할 수 있다. 일부 구현예들에서, 캐리어 링 지지부들 (312a, 312b, 및 312c) 은 환형 표면의 외경 (324) 을 넘어 연장하지만 다른 구현예들에서 캐리어 링 지지부들은 그러하지 않는다. 일부 구현예들에서, 캐리어 링 지지부들의 상단 표면들은 캐리어 링이 캐리어 링 지지부들 상에 놓일 때, 그러면 캐리어 링이 환형 표면 위의 미리 규정된 거리에서 지지되도록, 환형 표면 (310) 의 높이보다 약간 보다 높은 높이를 가진다. 캐리어 링 지지부 각각은 캐리어 링이 캐리어 링 지지부들에 의해 지지될 때 캐리어 링의 밑면으로부터 돌출하는 연장부가 놓이는, 캐리어 링 지지부 (312a) 의 리세스 (313) 와 같은 리세스를 포함할 수도 있다. 캐리어 링 지지부들에서 리세스들에 대한 캐리어 링 연장부들의 정합은 캐리어 링의 안전한 포지셔닝을 제공하고 캐리어 링 지지부들 상에 놓일 때 캐리어 링이 이동하는 것을 방지한다.
일부 구현예들에서, 캐리어 링 지지부들의 상단 표면들은 환형 표면 (310) 과 플러싱하거나 (flush), 또는 다른 구현예들에서, 캐리어 링이 환형 표면 상에 바로 놓일 수도 있도록 환형 표면으로부터 별도로 규정된 캐리어 링 지지부들은 없다. 결과는 캐리어 링과 환형 표면 (310) 사이에 갭이 존재하지 않는다는 것이다. 이러한 구현예들에서, 캐리어 링과 환형 표면 (310) 사이의 경로는 폐쇄되고, 전구체들이 이 경로를 통해 웨이퍼 후면에 접근하는 것을 방지한다.
예시적인 실시예에서, 환형 표면의 외측 에지 구역을 따라 대칭으로 배치된 3개의 캐리어 링 지지부들이 있다. 그러나, 다른 구현예들에서, 안정한 레스팅 (resting) 구성으로 캐리어 링을 지지하도록 페데스탈 (300) 의 환형 표면 (310) 을 따라 임의의 위치들에 분포된, 3개 이상의 캐리어 링 지지부들이 있을 수도 있다.
웨이퍼가 웨이퍼 지지부들에 의해 지지되고 캐리어 링이 캐리어 링 지지부들에 의해 지지될 때, 그러면 웨이퍼의 에지 구역은 캐리어 링의 내측 부분 위에 배치된다는 것이 이해될 것이다. 일반적으로 말하면, 웨이퍼의 에지 구역은 웨이퍼의 외측 에지로부터 약 2 내지 5 ㎜ (millimeter) 만큼 내측으로 연장한다. 이로써 수직 거리는 웨이퍼의 에지 구역과 캐리어 링의 내측 부분 사이에 규정된다. 일부 실시예들에서, 이 수직 거리는 약 1 내지 10 mil이다.
환형 표면 위의 미리 규정된 거리에서의 캐리어 링의 지지부, 뿐만 아니라 웨이퍼의 에지 구역과 캐리어 링의 내측 부분 사이의 거리가, 웨이퍼의 에지 구역에서 웨이퍼의 후면 상의 증착을 제한하도록 제어될 수 있다는 것이 이해될 것이다.
도 3b는 본 발명의 실시예에 따른, 페데스탈 (300) 의 일부분의 단면 사시도를 예시한다. 단면도는 캐리어 링 지지부들 중 하나, 예를 들어 캐리어 링 지지부 (312a) 와 교차하는 길이 방향 섹션이다. 캐리어 링 지지부 (312a) 의 최상단에 놓이는 캐리어 링 (330) 이 도시된다. 이 구성에서, 캐리어 링 연장부 (331) 는 캐리어 링 지지부 (312a) 의 리세스 (313) 내에 놓인다. 또한, (웨이퍼 지지부들에 의해 지지된) 페데스탈의 중심 상단 표면 (302) 위에 놓인 웨이퍼 (340) 가 도시된다. 캐리어 링 지지부 (312a) 는 캐리어 링이 지지되는 환형 표면 (310) 위의 거리가 조정되게 하도록 높이 조정 가능하다. 일부 구현예들에서, 캐리어 링 지지부 (312a) 는 캐리어 링 지지부들 중 적어도 하나의 높이를 조정하기 위한 스페이서 (예를 들어 심 (shim)) (316) 를 포함한다. 즉, 스페이서 (316) 는 캐리어 링이 캐리어 링 지지부들 상에 놓일 때 캐리어 링 (330) 과 환형 표면 (310) 사이에 제어된 거리를 제공하도록 선택된다. 환형 표면 (310) 과 캐리어 링 (330) 사이에 목표된 거리를 제공하도록, 캐리어 링 지지부 (312a) 아래에 배치되고 선택된 0, 1, 또는 2개 이상의 스페이서들 (316) 이 있을 수도 있다는 것이 이해될 것이다.
부가적으로, 캐리어 링 지지부 (312a) 와 스페이서(들) (316) 는 패스닝 하드웨어 (314) 에 의해 페데스탈에 고정된다. 일부 구현예들에서, 패스닝 하드웨어 (314) 는 캐리어 링 지지부 및 스페이서(들)를 페데스탈에 고정하는데 적합한 나사, 볼트, 네일, 핀, 또는 임의의 다른 타입의 하드웨어일 수 있다. 다른 구현예들에서, 캐리어 링 지지부 및 스페이서들을 페데스탈에 고정하기 위한 적합한 접착제와 같은, 다른 기법들/재료들이 활용될 수 있다.
도 3c는 본 발명의 실시예에 따른, 웨이퍼 (340) 의 에지 부분과 캐리어 링 (330) 을 포함하는, 페데스탈 (300) 의 주변 부분의 단면도를 예시한다. 알 수 있는 바와 같이, 캐리어 링 지지부 (312a) 의 총 높이는 스페이서/심 (316) 과 캐리어 링 지지부 (312a) 의 결합된 높이들에 의해 규정된다. 이것은 또한 캐리어 링 지지부 (312a) 의 상단 표면이 페데스탈 (300) 의 환형 표면 (310) 보다 보다 높은 정도를 결정한다.
도 3d는 본 발명의 실시예에 따른, 웨이퍼 후면으로의 전구체들 및 라디칼 종의 이송을 위한 경로를 제공하는 다양한 갭들을 도시하는, 캐리어 링 (330) 및 웨이퍼 (340) 의 단면도를 예시한다. 예시적인 실시예에서, 캐리어 링 (330) 은 상단 표면 (332), 및 스텝 다운 표면 (334) 을 가진 환형 바디이다. 상단 표면 (332) 과 스텝 다운 표면 (334) 은 전이 스텝 (333) 에 의해 조인된다 (joined). 스텝 다운 표면 (334) 이 캐리어 링의 내경 (336) 에 근접하게 규정되고, 내경 (336) 으로부터 외측으로 연장한다는 것이 이해될 것이다. 상단 표면 (332) 은 스텝 다운 표면으로부터 캐리어 링 (330) 의 (도 3c에 도시된) 외경 (337) 으로 연장한다. 캐리어 링 (330) 은 캐리어 링 (330) 의 스텝 다운 표면 (334) 을 따라 규정되는 복수의 캐리어 링 웨이퍼 지지부들 (338) 을 더 포함한다. 캐리어 링 웨이퍼 지지부들 (338) 은 MCA들일 수도 있고 웨이퍼 (340) 가 캐리어 링 (330) 에 의해 지지될 때 (예를 들어 이송 동안) 웨이퍼 (340) 의 후면을 인게이지하도록 구성된다.
도시된 바와 같이, 하부 갭 (캐리어 링-대-페데스탈 갭) (G1) 은 캐리어 링 (330) 의 하단 표면과 페데스탈 (300) 의 환형 표면 (310) 사이에 존재한다. 부가적으로, (위에 웨이퍼의 에지 구역이 배치되는) 캐리어 링 (330) 의 상단 표면과 웨이퍼 (340) 의 후면 사이에 상부 갭 (웨이퍼-대-캐리어 링 갭) (G2) 이 존재한다. 하부 갭과 상부 갭 각각이 증착 프로세싱 동안 웨이퍼 후면으로 이송될 전구체들과 라디칼 종을 위한 경로들을 제공한다는 것이 이해될 것이다. 따라서, 이들 갭들을 제어함으로써, 후면 증착이 또한 제어될 수 있다.
하부 갭 (G1) 의 사이즈는 캐리어 링 (330) 이 캐리어 링 지지부들 (312a, 312b, 312c) 에 의해 지지될 때, 환형 표면 (310) 과 캐리어 링 (330) 의 하단 표면 (337) 사이의 수직 거리에 의해 규정된다.
웨이퍼 (340) 는 웨이퍼 후면에 의해 규정된 수직 위치인, 중심 상단 표면 위의 지지 레벨 (342) 에서 웨이퍼 지지부들에 의해 지지된다. 캐리어 링 (330) 이 캐리어 링 지지부들 상에 놓일 때, 스텝 다운 표면 (334) 은 프로세스 레벨 (335) 을 규정한다. 웨이퍼 (340) 와 캐리어 링 (330) 사이의 상부 갭 (G2) 의 사이즈는 프로세스 레벨 (335) (캐리어 링이 캐리어 링 지지부들에 지지될 때 스텝 다운 표면 (334) 의 수직 위치) 과 웨이퍼 지지 레벨 (342) (웨이퍼가 웨이퍼 지지부들에 의해 지지될 때 웨이퍼의 후면의 수직 위치) 사이의 수직 거리에 의해 규정된다.
상부 갭 (G2) 의 사이즈는 하부 갭 (G1) 의 사이즈, 스텝 다운 표면 (334) (프로세싱 동안 상부에 웨이퍼 (340) 의 에지가 배치됨) 의 구역 내의 캐리어 링 (330) 의 두께 (339), 환형 표면 (310) 과 페데스탈 (300) 의 중심 상단 표면 (302) 사이의 수직 위치의 차, 웨이퍼 (340) 가 웨이퍼 지지부들에 의해 유지되는 중심 상단 표면 위의 거리 (예를 들어 중심 상단 표면 상의 MCA들에 의해 규정된 바와 같음) 를 포함하는 다양한 요인들로부터 기인한다는 것이 이해될 것이다. 하부 갭과 상부 갭을 신중히 제어함으로써, 후면 증착이 제어될 수 있다.
일부 구현예들에서, 하부 갭 (G1) 은 약 6 mil (즉, 0.006 인치; 0.15 ㎜) 미만이다. 일부 구현예들에서, 하부 갭 (G1) 은 약 0 내지 4 mil (즉, 0.000 내지 0.004 인치; 0.00 내지 0.10 ㎜) 의 범위 내에 있다. 일부 구현예들에서, 상부 갭은 약 1 내지 10 mil (즉, 0.001 내지 0.010 인치; 0.03 내지 0.25 ㎜) 의 범위 내에 있다. 일부 구현예들에서, 상부 갭은 약 2 내지 8 mil (0.002 내지 0.008 인치; 0.05 내지 0.20 ㎜) 의 범위 내에 있다. 일부 구현예들에서, 상부 갭은 약 3 내지 7 mil (0.003 내지 0.007 인치; 0.08 내지 0.18 ㎜) 의 범위 내에 있다. 일부 구현예들에서, 상부 갭은 대략 6 mil (0.006 인치; 0.15 ㎜) 이다.
도 4a 및 도 4b는 본 발명의 실시예에 따른, 300 ㎜ 웨이퍼 상의 방사상 위치 대 후면 증착 두께를 도시하는 그래프들을 예시한다. 실리콘 옥사이드는 50 ℃ 프로세스를 활용하는 ALD에 의해 증착되었다. 증착 두께는 웨이퍼 노치 (notch) 와 정렬된 웨이퍼의 직경을 따라 측정되었다. 웨이퍼 중심에서 0 ㎜의 위치로부터, 방사상 위치는 직경을 따라 +/- 150 ㎜로 연장할 수도 있고, -150 ㎜는 웨이퍼 노치에서의 위치이고, 150 ㎜는 웨이퍼 노치 반대편의 가장 먼 에지에서의 위치이다.
도 4a는 -147 ㎜ (즉, 에지로부터 내측으로 3 ㎜) 로부터 -140 ㎜로 내측으로 연장하는, 웨이퍼 노치 근방의 에지 구역에서의 후면 증착 두께를 도시한다. 커브 400은 대략 14 mil (즉 0.014 인치; 0.36 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 6 mil (즉 0.006 인치; 0.15 ㎜) 의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 커브 402는 대략 4 mil (즉 0.004 인치; 0.10 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 6 mil (즉 0.006 인치; 0.15 ㎜) 의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 커브 404는 대략 4 mil (즉 0.004 인치; 0.10 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 0 mil의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 전면 증착 두께는 대략 350 옹스트롬이다.
커브 400으로 나타낸 바와 같이, -147 ㎜에서의 후면 증착 두께는 웨이퍼의 전면 상에서 관찰된 350 옹스트롬 증착 두께에 근접한 대략 300 옹스트롬이었다. 커브 402로 나타낸 바와 같이, 웨이퍼-대-캐리어 링 갭을 14 mil로부터 4 mil로 감소시킴으로써, -147 ㎜에서의 후면 증착 두께는 절반보다 많이, 약 90 옹스트롬으로 감소된다. 그리고 커브 404로 나타낸 바와 같이, 캐리어 링-대-페데스탈 갭을 6 mil로부터 0 mil로 추가로 감소시키는 것은 약 20 옹스트롬의 공칭량으로 -147 ㎜에서의 증착 두께의 추가의 감소를 제공한다. 이것은 통상적으로 약 15 옹스트롬의 범위 내인, 웨이퍼 상의 천연 옥사이드의 두께와 근사하다.
도 4b는 웨이퍼 노치 반대편의 에지 구역에 대해 유사한 결과들을 예시한다. 커브 410은 대략 14 mil (즉 0.014 인치; 0.36 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 6 mil (즉 0.006 인치; 0.15 ㎜) 의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 커브 412는 대략 4 mil (즉 0.004 인치; 0.10 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 6 mil (즉 0.006 인치; 0.15 ㎜) 의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 커브 414는 대략 4 mil (즉 0.004 인치; 0.10 ㎜) 의 웨이퍼-대-캐리어 링 갭 및 대략 0 mil의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 증착 두께를 나타낸다. 전면 증착 두께는 대략 350 옹스트롬이다.
커브 410으로 나타낸 바와 같이, 147 ㎜에서의 후면 증착 두께는 대략 250 옹스트롬이었다. 커브 402로 나타낸 바와 같이, 웨이퍼-대-캐리어 링 갭을 14 mil로부터 4 mil로 감소시킴으로써, 147 ㎜에서의 후면 증착 두께는 약 절반만큼, 약 125 옹스트롬으로 감소된다. 그리고 커브 414로 나타낸 바와 같이, 캐리어 링-대-페데스탈 갭을 6 mil로부터 0 mil로 추가로 감소시키는 것은 약 20 옹스트롬의 공칭량으로 147 ㎜에서의 증착 두께의 추가의 감소를 제공한다.
도 5는 웨이퍼 에지로부터 3 ㎜의 고정된 방사상 위치에서의 후면 증착 두께의 원형 스캔을 도시하는 그래프를 예시한다. 실리콘 옥사이드는 50 ℃ 프로세스를 채용하는 ALD에 의해 증착되었다. 커브 500은 대략 4 mil의 웨이퍼-대-캐리어 링 갭 및 대략 6 mil의 캐리어 링-대-페데스탈 갭을 가진 설정에 대해 웨이퍼 에지로부터 3 ㎜에서의 증착 두께를 예시한다. 알 수 있는 바와 같이, 후면 증착 두께는 약 50 내지 150 옹스트롬로 가변한다.
커브 502는 대략 4 mil의 웨이퍼-대-캐리어 링 갭 및 대략 0 mil의 캐리어 링-대-페데스탈 갭을 가진 설정에 대한 웨이퍼 에지로부터 3 ㎜에서의 증착 두께를 나타낸다. 0인 캐리어 링-대-페데스탈 갭은 캐리어 링 지지부들과 인접한 페데스탈 표면 (페데스탈의 전술한 환형 표면) 사이의 높이 차이를 제거하도록 페데스탈 상에 6 mil Kapton 테이프를 적용함으로써 달성되었다. 알 수 있는 바와 같이, 후면 증착 두께는 25 옹스트롬 미만으로 감소된다.
또 다른 실험에서, 증착 두께는 2개의 설정들 사이에서 비교되고, 2개의 설정들 양자는 6 mil의 캐리어 링-대-페데스탈 갭을 갖지만, 웨이퍼-대-캐리어 링 갭 면에서 상이하다. 6 mil 캐리어 링-대-페데스탈 갭 및 14 mil 웨이퍼-대-캐리어 갭을 가진 일 설정에서 (표준 캐리어 링을 사용하여 달성됨), 50 옹스트롬 미만의 평균 증착 두께가 웨이퍼 에지로부터 6 ㎜의 방사상 위치에서 관찰되었다. 반면에 6 mil 캐리어 링-대-페데스탈 갭 및 4 mil 웨이퍼-대-캐리어 갭으로의 감소를 가진 설정에 대해 (특별한 캐리어 링을 사용하여 달성됨), 50 옹스트롬 미만의 평균 증착 두께가 웨이퍼 에지로부터 4 내지 5 ㎜의 방사상 위치에서 관찰되었다.
또 다른 실험에서, 증착 두께는 2개의 설정들 사이에서 비교되고, 2개의 설정들 양자는 0인 캐리어 링-대-페데스탈 갭을 갖지만, 웨이퍼-대-캐리어 링 갭 면에서 상이하다. 0인 캐리어 링-대-페데스탈 갭 및 14 mil 웨이퍼-대-캐리어 갭을 가진 일 설정에서, 50 옹스트롬 미만의 평균 증착 두께가 웨이퍼 에지로부터 7 ㎜의 방사상 위치에서 관찰되었다. 반면에 0인 캐리어 링-대-페데스탈 갭 및 4 mil 웨이퍼-대-캐리어 갭으로의 감소를 가진 설정에 대해, 50 옹스트롬 미만의 평균 증착 두께가 웨이퍼 에지로부터 3 ㎜의 방사상 위치에서 관찰되었다.
현재 기술된 결과들에 의해 입증된 바와 같이, 캐리어 링과 페데스탈 (환형 표면) 사이의 갭을 감소시키거나 심지어 제거하는 것은 후면 증착을 감소시키는데 유익하다. 또한, 웨이퍼와 캐리어 링 사이의 갭을 감소시키는 것도 또한 이 점에 있어서 유익하다. 일부 구현예들에서, 웨이퍼-대-캐리어 링 갭의 제거는 웨이퍼 상에 디펙트들을 도입하는 가능한 위험 때문에 회피된다. 이러한 구현예들에서, 웨이퍼-대-캐리어 링 갭에 영향을 미치는 복수의 컴포넌트들로부터 허용오차들의 스택 업 (stack up) 의 관점에서 적합한 공칭 갭을 유지하는 동안 웨이퍼-대-캐리어 링 갭을 최소화하는 것이 바람직하다. 이 점에 있어서, 캐리어 링-대-페데스탈 갭이 캐리어 링 및 페데스탈 구조체들 양자의 강성률에 기인하여 원주 방향으로 일관되는 동안, 웨이퍼-대-캐리어 링 갭은 웨이퍼의 상대적인 가요성에 기인하여 가변할 수도 있다는 것을 주의하라. 즉, 웨이퍼 치수들에 기인하여 그리고 웨이퍼 지지 구성 (예를 들어 웨이퍼 지지 MCA 핀들의 수 및 위치) 에 따라, 일부 웨이퍼 평면 편향이 중력 하에서 발생할 수도 있고 공칭 계산된 값과 비교할 때 관찰된 웨이퍼-대-캐리어 링 갭 값의 변화들을 야기할 수도 있다. 특히, 웨이퍼 에지에서의 웨이퍼-대-캐리어 링 갭은 공칭 갭보다 보다 작을 수 있고, 캐리어 링 웨이퍼 지지부들/MCA들에 의해 규정된 제한값까지이다.
그러나, 다른 구현예들에서, 웨이퍼-대-캐리어 링 갭은 캐리어 링 상에 캐리어 링 웨이퍼 지지부들을 제거함으로써, 캐리어 링 웨이퍼 지지부들/MCA들에 의해 규정된 제한값 미만으로 감소될 수 있다. 캐리어 링 웨이퍼 지지부들이 캐리어 링의 스텝 다운 표면 위에 3 mil (0.003 인치; 0.08 ㎜) 의 높이를 가진다고 가정하면, 그러면 웨이퍼-대-캐리어 링 갭은 캐리어 링 웨이퍼 지지부들의 제거 및 웨이퍼-대-캐리어 링 갭에 영향을 미치는 남아있는 엘리먼트들의 적절한 구성을 통해 3 mil 미만으로 감소될 수 있다.
아래의 표 1은 페데스탈 및 캐리어 링의 다양한 구성들로 실시된 테스트들의 실험 결과들을 제공한다 (CR = 캐리어 링; HS = "말굽"-형상의 캐리어 링 지지부). 수정된 CR은 기준 CR보다 약 12 mil 큰, 적어도 스텝 다운 표면의 구역에서 두께를 가진다. 모든 구성들은 실리콘 옥사이드를 증착하도록 ALD 프로세스를 사용하여 테스트되었다.
CR MCA 높이 CR MCA 대 웨이퍼 페데스탈/웨이퍼 MCA 높이 공칭 상단 갭 하단 갭 구성 3 ㎜에서의 평균 증착 ( ~15 Å의 천연 옥사이드 포함) (Å) 4㎜에서의 평균 증착 ( ~15 Å의 천연 옥사이드 포함) (Å)
0.005" .009" 0.002" 0.014" 0.006" 표준 HS 상의
기준 CR
320 내지 350 235
0.004" 0.002" 0.002" 0.006" 0.002" 4 mil MCA를 가진 수정된 CR, 2 mil 심을 가진 수정된 HS 210 내지 230 140 내지 153
0.002" 0.002" 0.002 0.004" 0.004" 2 mil MCA를 가진 수정된 CR, 4 mil 심을 가진 수정된 HS 104 내지 151 70 내지 97
0.002" 0.000" 0.002 0.002" 0.006" 2 mil MCA를 가진 수정된 CR, 표준 HS 104 63
< 0.001" 0.003" 0.002 0.004" 0.004" 1 mil MCA를 가진 수정된 CR, 4 mil 심을 가진 수정된 HS 118 68
< 0.001" 0.002" 0.002 0.003" 0.005" 1 mil MCA를 가진 수정된 CR, 5 mil 심을 가진 수정된 HS 35 26
0 N/A 0.002 0.003" 0.005" 0인 MCA를 가진 수정된 CR, 5 mil 심을 가진 수정된 HS 22 내지 48 17 내지 26
나타낸 바와 같이, 캐리어 링 상의 MCA들이 없고 0.003"의 상단 갭 및 0.005"의 하단 갭에서, 후면 증착은 에지로부터 3 ㎜에서 320 옹스트롬으로부터 < 50 옹스트롬으로 감소되고, 이는 증착에 있어서 80 % 초과의 감소이다. 이 구성으로, 에지에서의 후면 증착은 (천연 옥사이드의 공제 후) 전면 증착의 10 % 미만이다.
일 특정한 구현예에서, 웨이퍼-대-캐리어 링 갭은 제거될 수 있다 (웨이퍼-대-캐리어 링 갭이 0으로 감소됨). 캐리어 링 웨이퍼 지지부들은 제거되고, 그리고 캐리어 링 및 웨이퍼의 수직 포지셔닝에 영향을 미치는 다른 엘리먼트들은 웨이퍼 에지가 웨이퍼 에지의 원주 전반에 걸쳐 캐리어 링의 스텝 다운 표면과 콘택트하는 것을 허용하도록 구성된다.
하부 갭 및 상부 갭은 증착 프로세스 동안 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한한다는 것이 이해될 것이다. 결과는 하부 갭 및 상부 갭이 에지 구역에서 웨이퍼의 전면 상의 증착 미만으로 웨이퍼의 에지 구역에서 웨이퍼의 후면 상의 증착을 실질적으로 제한한다는 것 (예를 들어 에지 구역은 웨이퍼의 에지로부터 대략 3 ㎜에서 규정됨) 이다. 예를 들어, 일 실시예에서, 시스템은 후면 증착이 전면 증착의 약 50 % 미만으로 제한되도록 구성된다. 또 다른 실시예에서, 후면 증착은 전면 증착의 약 30 % 미만으로 제한된다. 또 다른 실시예에서, 후면 증착은 전면 증착의 약 20 % 미만으로 제한된다. 또 다른 실시예에서, 후면 증착은 전면 증착의 약 10 % 미만으로 제한된다.
도 6은 상기에 기술된 시스템들을 제어하기 위한 제어 모듈 (600) 을 도시한다. 일 실시예에서, 도 1의 제어 모듈 (110) 은 예시적인 컴포넌트들의 일부를 포함할 수도 있다. 예를 들어, 제어 모듈 (600) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (600) 은 부분적으로 센싱된 (sense) 값들에 기초하여 시스템 내의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들어, 제어 모듈 (600) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (602), 필터 히터들 (604), 펌프들 (606), 및 다른 디바이스들 (608) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (600) 은 단지 예를 들어, 압력 마노미터들 (610), 유량계들 (612), 온도 센서들 (614), 및/또는 다른 센서들 (616) 로부터 센싱된 값들을 수신한다. 제어 모듈 (600) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (600) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (600) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (600) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (600) 은 또한 압력차를 모니터링할 수도 있고 증기 전구체 전달을 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 자동으로 스위칭할 수도 있다. 제어 모듈 (600) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (600) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (618) (예를 들어 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (620) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들과 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 프로세스 제어 코드, 히터 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고, 그리고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택 가능하게 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차이(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템 내의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의, 헬륨 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되지 않지만, 질량 유량 제어 모듈들, 압력 마노미터들 (610) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 써모커플들, 페데스탈 또는 척 (예를 들어 온도 센서들 (614)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 본 발명의 실시예들의 구현예를 기술한다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공된다. 이는 총망라하거나 (exhaustive) 본 발명을 제한하도록 의도되지 않는다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않지만, 적용 가능한 경우에, 특별히 도시되거나 기술되지 않을지라도, 선택된 실시예에서 교체 가능하거나 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변화들은 본 발명으로부터의 일탈로서 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (20)

  1. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에 있어서,
    상기 웨이퍼를 수용하도록 구성된 페데스탈로서,
    상기 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 상기 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 상기 웨이퍼 지지부들은 상기 중심 상단 표면 위에서 지지 레벨에 상기 웨이퍼를 지지하도록 구성된, 상기 중심 상단 표면,
    상기 상단 표면 직경으로부터 환형 표면의 외경으로 연장하는 환형 표면으로서, 상기 환형 표면은 상기 중심 상단 표면으로부터 스텝 다운된 (being at a step down), 상기 환형 표면, 및
    상기 환형 표면의 상기 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 상기 페데스탈;
    하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 상기 캐리어 링의 상기 환형 바디의 상기 하단 표면은, 상기 환형 바디의 상기 하단 표면이 상기 환형 표면 위의 제 1 수직 거리에 있도록 상기 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 (step down) 표면을 가진 상기 캐리어 링은 상기 내경에 근접하게 규정되고, 상기 스텝 다운 표면은 상기 스텝 다운 표면으로부터 상기 환형 바디의 외경으로 연장하는 상기 상단 표면에 상대적인, 상기 캐리어 링을 포함하고;
    상기 캐리어 링이 상기 캐리어 링 지지부들 상에 놓일 때, 그러면 상기 캐리어 링의 상기 스텝 다운 표면은 상기 페데스탈의 상기 상단 표면 위의 상기 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고;
    상기 제 1 수직 거리는 상기 캐리어 링의 상기 하단 표면과 상기 환형 표면 사이에 하부 갭을 규정하고, 상기 제 2 수직 거리는 상기 페데스탈 상의 상기 복수의 웨이퍼 지지부들 상에 지지될 때, 웨이퍼와 상기 캐리어 링의 상기 스텝 다운 표면 사이에 상부 갭을 규정하고, 상기 상부 갭은 약 0.15 ㎜ 미만이고;
    상기 웨이퍼의 에지는 상기 웨이퍼가 상기 프로세스 챔버 내에 존재하고 상기 웨이퍼 지지부들 상에 지지될 때, 상기 웨이퍼의 상기 에지가 상기 캐리어 링의 상기 스텝 다운 표면 위로 오버행하고 (overhang) 상기 스텝 다운 표면 위에 존재하도록 상기 상단 표면 직경 위로 오버행하도록 구성되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  2. 제 1 항에 있어서,
    상기 하부 갭은 약 0.15 ㎜ 미만인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  3. 제 1 항에 있어서,
    상기 하부 갭은 약 0.1 ㎜ 이하인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  4. 제 1 항에 있어서,
    상기 상부 갭은 약 0.1 ㎜ 이하인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  5. 제 1 항에 있어서,
    캐리어 링 지지부 각각은 상기 캐리어 링이 상기 캐리어 링 지지부들에 의해 지지될 때, 상기 캐리어 링의 상기 하단 표면이 배치되는 상기 환형 표면 위에 상기 제 1 수직 거리를 규정하도록 높이 조정 가능한, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  6. 제 5 항에 있어서,
    상기 캐리어 링 지지부들 중 적어도 하나는 상기 캐리어 링 지지부들 중 상기 적어도 하나의 상기 높이를 조정하기 위한 하나 이상의 스페이서들을 포함하는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  7. 제 1 항에 있어서,
    상기 복수의 캐리어 링 지지부들은 상기 환형 표면의 상기 외경에서 대칭으로 배치된 적어도 3개의 캐리어 링 지지부들을 규정하는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  8. 제 1 항에 있어서,
    상기 하부 갭과 상기 상부 갭은 증착 프로세스 동안 상기 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한하고, 상기 하부 갭과 상기 상부 갭은 에지 구역에서 상기 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 상기 웨이퍼의 상기 에지 구역에서의 상기 웨이퍼의 상기 후면 상의 증착을 제한하고, 상기 에지 구역은 상기 웨이퍼의 상기 에지로부터 대략 3 ㎜에서 규정되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  9. 제 1 항에 있어서,
    상기 증착 프로세스는 원자 층 증착 (ALD) 프로세스인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  10. 제 1 항에 있어서,
    상기 캐리어 링은 상기 페데스탈로 또는 상기 페데스탈로부터의 상기 웨이퍼의 이송 동안 상기 웨이퍼를 지지하도록 구성되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  11. 제 10 항에 있어서,
    상기 캐리어 링은 상기 캐리어 링의 상기 스텝 다운 표면 상에 규정된 복수의 캐리어 링 웨이퍼 지지부들을 포함하고, 상기 캐리어 링 웨이퍼 지지부들은 상기 웨이퍼가 이송 동안 상기 캐리어 링에 의해 지지될 때 상기 웨이퍼와 인게이지하도록 (engage) 구성되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  12. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에 있어서,
    상기 웨이퍼를 수용하도록 구성된 페데스탈로서,
    상기 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 상기 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 상기 웨이퍼 지지부들은 상기 중심 상단 표면 위에서 지지 레벨에 상기 웨이퍼를 지지하도록 구성된, 상기 중심 상단 표면,
    상기 상단 표면 직경으로부터 환형 표면의 외경으로 연장하는 환형 표면으로서, 상기 환형 표면은 상기 중심 상단 표면으로부터 스텝 다운된, 상기 환형 표면, 및
    상기 환형 표면의 상기 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 상기 페데스탈;
    하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 상기 캐리어 링의 상기 환형 바디의 상기 하단 표면은, 상기 환형 바디의 상기 하단 표면이 상기 환형 표면 위의 제 1 수직 거리에 있도록 상기 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 표면을 가진 상기 캐리어 링은 상기 내경에 근접하게 규정되고, 상기 스텝 다운 표면은 상기 스텝 다운 표면으로부터 상기 환형 바디의 외경으로 연장하는 상기 상단 표면에 상대적인, 상기 캐리어 링을 포함하고;
    상기 캐리어 링이 상기 캐리어 링 지지부들 상에 놓일 때, 그러면 상기 캐리어 링의 상기 스텝 다운 표면은 상기 페데스탈의 상기 상단 표면 위의 상기 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고;
    상기 제 1 수직 거리는 상기 캐리어 링의 상기 하단 표면과 상기 환형 표면 사이에 하부 갭을 규정하고, 상기 하부 갭은 약 0.15 ㎜ 미만이고, 상기 제 2 수직 거리는 상기 페데스탈 상의 상기 복수의 웨이퍼 지지부들 상에 지지될 때, 웨이퍼와 상기 캐리어 링의 상기 스텝 다운 표면 사이에 상부 갭을 규정하고, 상기 상부 갭은 약 0.15 ㎜ 미만이고;
    상기 웨이퍼의 에지는 상기 웨이퍼가 상기 프로세스 챔버 내에 존재하고 상기 웨이퍼 지지부들 상에 지지될 때 상기 웨이퍼의 상기 에지가 상기 캐리어 링의 상기 스텝 다운 표면 위로 오버행하고 (overhang) 상기 스텝 다운 표면 위에 존재하도록 상기 상단 표면 직경 위로 오버행하도록 구성되고;
    상기 하부 갭과 상기 상부 갭은 증착 프로세스 동안 상기 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한하고, 상기 하부 갭과 상기 상부 갭은 에지 구역에서 상기 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 상기 웨이퍼의 상기 에지 구역에서의 상기 웨이퍼의 상기 후면 상의 증착을 제한하고, 상기 에지 구역은 상기 웨이퍼의 상기 에지로부터 대략 3 ㎜에서 규정되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  13. 제 12 항에 있어서,
    상기 하부 갭은 약 0.1 ㎜ 이하이고;
    상기 상부 갭은 약 0.1 ㎜ 이하인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  14. 제 12 항에 있어서,
    캐리어 링 지지부 각각은 상기 캐리어 링이 상기 캐리어 링 지지부들에 의해 지지될 때, 상기 캐리어 링의 상기 하단 표면이 배치되는 상기 환형 표면 위에 상기 제 1 수직 거리를 규정하도록 높이 조정 가능한, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  15. 제 14 항에 있어서,
    상기 캐리어 링 지지부들 중 적어도 하나는 상기 캐리어 링 지지부들 중 상기 적어도 하나의 상기 높이를 조정하기 위한 하나 이상의 스페이서들을 포함하는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  16. 제 12 항에 있어서,
    상기 복수의 캐리어 링 지지부들은 상기 환형 표면의 상기 외경에서 대칭으로 배치된 적어도 3개의 캐리어 링 지지부들을 규정하는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  17. 제 12 항에 있어서,
    상기 캐리어 링은 상기 페데스탈로 또는 상기 페데스탈로부터의 상기 웨이퍼의 이송 동안 상기 웨이퍼를 지지하도록 구성되고;
    상기 캐리어 링은 상기 캐리어 링의 상기 스텝 다운 표면 상에 규정된 복수의 캐리어 링 웨이퍼 지지부들을 포함하고, 상기 캐리어 링 웨이퍼 지지부들은 상기 웨이퍼가 이송 동안 상기 캐리어 링에 의해 지지될 때 상기 웨이퍼와 인게이지하도록 구성되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  18. 제 12 항에 있어서,
    상기 증착 프로세스는 원자 층 증착 (ALD) 프로세스인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  19. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에 있어서,
    상기 웨이퍼를 수용하도록 구성된 페데스탈로서,
    상기 페데스탈의 중심 축으로부터 상단 표면 직경으로 연장하는 중심 상단 표면으로서, 상기 중심 상단 표면은 상부에 규정된 복수의 웨이퍼 지지부들을 갖고, 상기 웨이퍼 지지부들은 상기 중심 상단 표면 위에서 지지 레벨에 상기 웨이퍼를 지지하도록 구성된, 상기 중심 상단 표면,
    상기 상단 표면 직경으로부터 상기 표면의 외경으로 연장하는 환형 표면으로서, 상기 환형 표면은 상기 중심 상단 표면으로부터 스텝 다운된, 상기 환형 표면, 및
    상기 환형 표면의 상기 외경에 배치된 복수의 캐리어 링 지지부들을 가진, 상기 페데스탈;
    하단 표면과 상단 표면을 가진 환형 바디를 가진 캐리어 링으로서, 상기 캐리어 링의 상기 환형 바디의 상기 하단 표면은, 상기 환형 바디의 상기 하단 표면이 상기 환형 표면 위의 제 1 수직 거리에 있도록 상기 캐리어 링 지지부들에 의해 지지되도록 구성되고, 내경과 스텝 다운 표면을 가진 상기 캐리어 링은 상기 내경에 근접하게 규정되고, 상기 스텝 다운 표면은 상기 스텝 다운 표면으로부터 상기 환형 바디의 외경으로 연장하는 상기 상단 표면에 상대적인, 상기 캐리어 링을 포함하고;
    상기 캐리어 링이 상기 캐리어 링 지지부들 상에 놓일 때, 그러면 상기 캐리어 링의 상기 스텝 다운 표면은 상기 페데스탈의 상기 상단 표면 위의 상기 지지 레벨로부터 제 2 수직 거리에 있는 프로세스 레벨에 배치되고;
    상기 제 1 수직 거리는 상기 캐리어 링의 상기 하단 표면과 상기 환형 표면 사이에 하부 갭을 규정하고, 상기 하부 갭은 약 0.1 ㎜ 이하이고, 상기 제 2 수직 거리는 상기 페데스탈 상의 상기 복수의 웨이퍼 지지부들 상에 지지될 때 웨이퍼와 상기 캐리어 링의 상기 스텝 다운 표면 사이에 상부 갭을 규정하고, 상기 상부 갭은 약 0.1 ㎜ 이하이고;
    상기 웨이퍼의 에지는 상기 웨이퍼가 상기 프로세스 챔버 내에 존재하고 상기 웨이퍼 지지부들 상에 지지될 때 상기 웨이퍼의 상기 에지가 상기 캐리어 링의 상기 스텝 다운 표면 위로 오버행하고 (overhang) 상기 스텝 다운 표면 위에 존재하도록 상기 상단 표면 직경 위로 오버행하도록 구성되고;
    상기 하부 갭과 상기 상부 갭은 증착 프로세스 동안 상기 웨이퍼의 후면으로의 프로세스 가스들에 의한 접근을 제한하고, 상기 하부 갭과 상기 상부 갭은 에지 구역에서 상기 웨이퍼의 전면 상의 증착의 약 20 % 미만으로 상기 웨이퍼의 상기 에지 구역에서의 상기 웨이퍼의 상기 후면 상의 증착 프로세스에 의한 증착을 제한하고, 상기 에지 구역은 상기 웨이퍼의 상기 에지로부터 대략 3 ㎜에서 규정되는, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
  20. 제 19 항에 있어서,
    상기 증착 프로세스는 원자 층 증착 (ALD) 프로세스인, 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버.
KR1020150180225A 2014-12-19 2015-12-16 웨이퍼 에지에서의 후면 증착을 감소시키는 방법 KR102401894B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220061760A KR102514303B1 (ko) 2014-12-19 2022-05-20 웨이퍼 에지에서의 후면 증착을 감소시키는 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/578,126 2014-12-19
US14/578,126 US10648079B2 (en) 2014-12-19 2014-12-19 Reducing backside deposition at wafer edge

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220061760A Division KR102514303B1 (ko) 2014-12-19 2022-05-20 웨이퍼 에지에서의 후면 증착을 감소시키는 방법

Publications (2)

Publication Number Publication Date
KR20160075351A true KR20160075351A (ko) 2016-06-29
KR102401894B1 KR102401894B1 (ko) 2022-05-24

Family

ID=56128756

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150180225A KR102401894B1 (ko) 2014-12-19 2015-12-16 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
KR1020220061760A KR102514303B1 (ko) 2014-12-19 2022-05-20 웨이퍼 에지에서의 후면 증착을 감소시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220061760A KR102514303B1 (ko) 2014-12-19 2022-05-20 웨이퍼 에지에서의 후면 증착을 감소시키는 방법

Country Status (5)

Country Link
US (1) US10648079B2 (ko)
KR (2) KR102401894B1 (ko)
CN (2) CN110060941B (ko)
SG (1) SG10201510239RA (ko)
TW (2) TWI688671B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180101243A (ko) * 2017-03-03 2018-09-12 램 리써치 코포레이션 리모트 플라즈마 막 증착시 웨이퍼 레벨 균일도 제어
KR20210148985A (ko) * 2016-09-09 2021-12-08 램 리써치 코포레이션 플라즈마 불안정성의 uv 기반 억제를 위한 시스템들 및 방법들
US11830759B2 (en) 2020-02-11 2023-11-28 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102584339B1 (ko) * 2016-10-12 2023-09-27 램 리써치 코포레이션 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US20180138074A1 (en) * 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
JP7110195B2 (ja) * 2016-12-07 2022-08-01 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 半導体デバイスを製造するためのウェハエッジ・リフトピンの設計
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
TWI768849B (zh) * 2017-10-27 2022-06-21 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
CN109003884A (zh) * 2018-07-04 2018-12-14 上海晶盟硅材料有限公司 无背面硅单晶的外延片的制备方法、外延片和半导体器件
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
JP6999614B2 (ja) * 2019-07-26 2022-01-18 株式会社バルカー 支持部材
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
CN113802110A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种提高清洗效率的等离子腔室
JP7076499B2 (ja) * 2020-06-22 2022-05-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN115407087A (zh) * 2021-05-26 2022-11-29 长鑫存储技术有限公司 纳米探针测试的样品固定机构、测试装置及样品测试方法
CN114318305B (zh) * 2021-12-28 2023-06-30 拓荆科技股份有限公司 晶圆薄膜沉积装置
CN115478262B (zh) * 2022-09-19 2023-11-10 拓荆科技股份有限公司 晶圆承载结构、热力学原子层沉积设备及薄膜制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0180805B1 (ko) * 1994-03-18 1999-02-18 니시히라 순지 기판의 기계적 이탈기구 및 그 기구를 사용한 이탈방법
KR100239254B1 (ko) * 1995-12-07 2000-03-02 가네꼬 히사시 반도체 장치 제조기 및 동일한 제조기를 사용함으로써 반도체 장치를 제조하는 방법
KR20080059189A (ko) * 2005-10-12 2008-06-26 마츠시타 덴끼 산교 가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6225223B1 (en) 1999-08-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US8221602B2 (en) * 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP5395633B2 (ja) 2009-11-17 2014-01-22 東京エレクトロン株式会社 基板処理装置の基板載置台
JP5638405B2 (ja) * 2010-10-08 2014-12-10 パナソニック株式会社 基板のプラズマ処理方法
US20120227667A1 (en) * 2011-03-10 2012-09-13 Applied Materials, Inc. Substrate carrier with multiple emissivity coefficients for thin film processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0180805B1 (ko) * 1994-03-18 1999-02-18 니시히라 순지 기판의 기계적 이탈기구 및 그 기구를 사용한 이탈방법
KR100239254B1 (ko) * 1995-12-07 2000-03-02 가네꼬 히사시 반도체 장치 제조기 및 동일한 제조기를 사용함으로써 반도체 장치를 제조하는 방법
KR20080059189A (ko) * 2005-10-12 2008-06-26 마츠시타 덴끼 산교 가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210148985A (ko) * 2016-09-09 2021-12-08 램 리써치 코포레이션 플라즈마 불안정성의 uv 기반 억제를 위한 시스템들 및 방법들
KR20180101243A (ko) * 2017-03-03 2018-09-12 램 리써치 코포레이션 리모트 플라즈마 막 증착시 웨이퍼 레벨 균일도 제어
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US11830759B2 (en) 2020-02-11 2023-11-28 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge
US11837495B2 (en) 2020-02-11 2023-12-05 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge

Also Published As

Publication number Publication date
US10648079B2 (en) 2020-05-12
US20160177444A1 (en) 2016-06-23
KR20220073715A (ko) 2022-06-03
CN105719989B (zh) 2018-11-16
TWI688671B (zh) 2020-03-21
TWI673387B (zh) 2019-10-01
CN105719989A (zh) 2016-06-29
CN110060941B (zh) 2023-08-08
KR102401894B1 (ko) 2022-05-24
KR102514303B1 (ko) 2023-03-24
CN110060941A (zh) 2019-07-26
SG10201510239RA (en) 2016-07-28
TW201940735A (zh) 2019-10-16
TW201632652A (zh) 2016-09-16

Similar Documents

Publication Publication Date Title
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
TWI791020B (zh) 用以消除在晶圓背側邊緣及凹口處之沉積的晶圓邊緣接觸硬體及方法
KR102537265B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US11670535B2 (en) Carrier plate for use in plasma processing systems
US9698042B1 (en) Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US9353439B2 (en) Cascade design showerhead for transient uniformity
US10242848B2 (en) Carrier ring structure and chamber systems including the same
US10541117B2 (en) Systems and methods for tilting a wafer for achieving deposition uniformity
KR20160129730A (ko) 증착 불균일성을 보상하기 위한 전극간 갭 변동 방법들
US10475627B2 (en) Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
CN113491003A (zh) 利用边缘环升降的动态鞘控制
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
US20170275756A1 (en) Asymmetric pedestal/carrier ring arrangement for edge impedance modulation

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant