KR20160072217A - 공정 장치 - Google Patents

공정 장치 Download PDF

Info

Publication number
KR20160072217A
KR20160072217A KR1020167013021A KR20167013021A KR20160072217A KR 20160072217 A KR20160072217 A KR 20160072217A KR 1020167013021 A KR1020167013021 A KR 1020167013021A KR 20167013021 A KR20167013021 A KR 20167013021A KR 20160072217 A KR20160072217 A KR 20160072217A
Authority
KR
South Korea
Prior art keywords
transfer
carriage
substrate
sealable chamber
drive
Prior art date
Application number
KR1020167013021A
Other languages
English (en)
Other versions
KR102316440B1 (ko
Inventor
로버트 티. 케이브니
율리시스 길크리스트
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20160072217A publication Critical patent/KR20160072217A/ko
Application granted granted Critical
Publication of KR102316440B1 publication Critical patent/KR102316440B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0214Articles of special size, shape or weigh
    • B65G2201/022Flat

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

개시된 실시예의 하나 이상의 태양들에 따라 반도체 공정 장치가 제공된다.
상기 반도체 공정 장치는, 종축 및 상기 종축의 양쪽으로 벌어진 측면들을 가지며, 내부에 밀봉된 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임; 상기 밀봉가능한 챔버에 장착되며 신축적 캐리지를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈; 및 상기 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암을 갖는, 상기 적어도 하나의 전달 로봇;을 포함한다.

Description

공정 장치{Processing apparatus}
[1] 본 출원은 "공정 장치(Processing apparatus)"라는 명칭으로 2013년 10월 18일자로 출원된 미국 예비특허출원 일련번호 제61/892,849호 및 "공정 장치(Processing apparatus)"라는 명칭으로 2013년 11월 15일자로 출원된 미국 예비특허출원 일련번호 제61/904,908호의 이익과 이로부터의 우선권을 주장하며, 모두 그들의 전체로서 여기에 참조로써 통합된다.
[2] 예시적 실시예들은 일반적으로 공정 장치에 관한 것이며, 보다 상세하게는 기판 이송 시스템들을 갖는 공정 장치에 관한 것이다.
[3] 일반적으로, 다중의 인라인(in-line) 프로세스 툴 어레이를 지지하는 세장형(narrow elengated) 이송 시스템들을 갖는 반도체 공정 시스템들이 반도체 제조자들에 의해 요구된다. 이들 세장형 이송 시스템들은 집적된 프로세스 툴 시스템의 패키징 밀도(packaging density)를 향상시킬 수 있다. 일부 태양들에서, 선형의 자동화 솔루션들이 상기 이송 챔버의 일부로서 내장되며, 여기서 선형의 베어링 또는 부양(levitation) 메카니즘이 상기 자동화 구성요소들의 실장 및 견고성을 위해 상기 챔버에 의존한다. 탠덤 클러스터 툴 포맷들(tandem cluster tool formats)과 같은 다른 태양들에서, 다중의 로봇들이 기판 공정 툴의 예정된 공정 시퀀스를 따라 상기 기판들을 이동시키기 위해, 서로에게 및/또는 서로로부터 기판들을 넘겨줄 것이다. 상기 툴의 상기 이송 챔버를 통과하여 상기 기판을 이동시키는 동안에 상기 기판들의 다수의 접촉들이 상기 탠덤 클러스터 툴에서 이루어질 수 있으며, 증가된 웨이퍼 접촉으로부터 발생된 오염 및 웨이퍼 처리량에서의 병목들을 야기할 수 있다.
[4] 또한 선형의 기판 공정 툴들은 상기 이송 챔버 부분(들)과 같은, 상기 공정 툴 구성요소들의 수송 및 설치에 관하여 크기에 대한 제한들을 받을 것이다. 부양 또는 자기적으로 결합된 이송 시스템들의 경우에는, 모터 커플링(motor coupling)을 위한 얇은 성벽으로 둘러싼 갭에 대한 요구들을 위한 상기 이송 챔버들을 기계화하기 위한 가능성은 상기 이송 챔버 길이들이 3m 이상에 도달함에 따라 유리하지 않을 수 있으며, 밀봉된 얇은 벽 장벽과 진공을 유지하면서 두 개의 챔버들을 결합하기 위한 가능성은 불가능할 수도 있다.
[5] 선형의 좁은 툴 포맷에 구성된 모듈형 진공 자동화 시스템을 제공하는, 기판 접촉 수를 감소시키는, 평행한 한 쌍의 공정 모듈 구성들 또는 단일 공정 모듈들과 독립적으로 상호작용할 수 있는 이송 장치를 제공하는, 하나가 다른 것 위로 적층되도록, 나란하도록 또는 하나의 다른 것에 대하여 각을 갖도록 배열된 로드락들과 상호작용할 수 있는 이송 장치를 제공하는, 및/또는 이어서 SEMI(Semiconductor Equipment and Materials International) 표준 E72 가이드라인들 내에 맞는 모듈로서의 이송 챔버 속으로 설치된 모듈식 이송 장치들을 제공하는, 공정 시스템을 갖는 것은 유리할 것이다.
본 발명이 해결하려는 과제는 개선된 공정 장치를 제공하는 것이다.
[6] 개시된 실시예의 전술한 태양들 및 다른 특징들이 첨부하는 도면들과 관련하여 취해진, 이하의 설명에서 설명될 것이다.
[7] 도 1은 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[8] 도 1a는 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[9] 도 1b 내지 1g는 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분들의 개략도이다.
[10] 도 1h 내지 1i는 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분들의 개략도이다.
[11] 도 1j 내지 1m은 개시된 실시예의 태양들에 따른 로봇 아암의 개략도이다.
[12] 도 2는 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[13] 도 2a는 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[14] 도 3a 및 3b는 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[15] 도 4a 내지 4m은 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분들의 개략도이다.
[16] 도 5는 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분의 개략도이다.
[17] 도 6은 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분의 개략도이다.
[18] 도 7, 7a 및 7b는 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분들의 개략도이다.
[19] 도 8은 개시된 실시예의 태양들에 따른 전달 로봇 구동부의 부분들의 개략도이다.
[20] 도 9는 개시된 실시예의 태양들에 따른 전달 로봇의 개략도이다.
[21] 도 10은 개시된 실시예의 태양들에 따른 전달 로봇의 개략도이다.
[22] 도 11은 개시된 실시예의 태양들에 따른 전달 로봇의 개략도이다.
[23] 도 12a 및 12b는 개시된 실시예의 태양들에 전송 로봇을 보여준다.
[24] 도 12c는 개시된 실시예의 태양들에 따른 전송 로봇의 부분을 보여준다.
[25] 도 13은 개시된 실시예의 태양들에 따른 기판 공정 장치의 부분의 개략도이다.
[26] 도 14는 개시된 실시예의 태양들에 따른 기판 공정 장치의 개략도이다.
[27] 도 1은 개시된 실시예의 태양에 따른 공정 장치의 개략도이다. 상기 개시된 태양들이 도면들을 참조하여 기술되겠지만, 상기 개시된 실시예의 태양들은 많은 형태들로 실현될 수 있다는 것으로 이해되어야 한다. 부가적으로, 구성요소들 또는 재료들의 어떠한 적합한 크기, 형상 또는 형태가 사용될 수 있다.
[28] 예를 들어, 반도체 툴 스테이션과 같은 공정 장치(100)가 개시된 실시예의 태양에 따라 도시된다. 반도체 툴 스테이션이 도면들에서 보여지지만, 여기에서 기술된 개시된 실시예의 태양들은 로봇 매니퓰레이터들을 채용하는 어떠한 툴 스테이션 또는 응용에 적용될 수 있다. 본 실시예에서, 상기 공정 장치(100)는 선형으로 배열된 툴(tool)로써 보여지지만, 개시된 실시예의 태양들은 어떠한 적합한 툴 스테이션에 적용될 수 있다. 상기 장치(100)는 일반적으로 대기의(atmospheric) 프론트 엔드(101), 적어도 하나의 진공 로드락(102A, 102B) 및 진공의 벡 엔드(103)를 포함한다. 상기 적어도 하나의 진공의 로드락은 어떠한 적합한 배열에서는 상기 프론트 엔드(101) 및/또는 벡 엔드(103)의 어떠한 적합한 포트(들) 또는 개구부(들)에 결합될 수 있다. 예를 들어, 하나의 태양에서, 상기 하나 이상의 로드락들(102A, 102B)이 도 1b에서 보여질 수 있는 바와 같이, 나란한 배열에서 공통의 수평 평면에 배열될 수 있다. 다른 태양들에서, 상기 하나 이상의 로드락들은 적어도 두 개의 로드락들(102A, 102B, 102C, 102D)이 로우들(예를 들어, 이격된 수평 평면들을 갖는) 및 칼럼들(예를 들어, 이격된 수직 평면들을 갖는)에서 배열되도록 그리드 포맷(grid format)으로 배열될 수 있다. 또 다른 태양들에서, 상기 하나 이상의 로드락은 도 1d 및 1e에서 보여지듯이, 단일의 인라인 로드락(102A, 102B)일 수 있다. 또 다른 태양들에서, 상기 적어도 하나의 로드락(102A, 102B, 102C, 102D)이 도 1f 및 1g에서 보여지듯이, 적층된 인라인 배열로 배열될 수 있다. 로드락들이 다른 태양들에서 이송 챔버(125)의 이송 챔버(125)의 두 개의 측면들(100S1, 100S2) 상에 도해되는 반면에 상기 하나 이상의 로드락은 상기 이송 챔버(125)의 단일의 측면 상에 또는 하나 이상의 단부들(100E1, 100E2) 상에 배열될 수 있다는 것을 이해해야 할 것이다. 상기 적어도 하나의 로드락의 각각은 기판들이 상기 각 로드락 내에서 적합한 지지대들 상에 고정되는 하나 이상의 웨이퍼/기판 정지 평면들(WRP)을 또한 포함할 수 있다. 다른 태양들에서, 상기 툴 스테이션은 어떠한 적합한 구성을 가질 수도 있다. 상기 프론트 엔드(101), 상기 적어도 하나의 로드락(102A, 102B) 및 벡 엔드(103)의 각각의 구성요소들은 예를 들어, 클러스터화 아키텍처 컨트롤과 같은 어떠한 적합한 컨트롤 아키텍처의 일부일 수 있는 컨트롤러(110)에 연결될 수 있다. 상기 컨트롤 시스템은 2011년 3월 8일자로 발행된 "Scalable Motion Control System"라는 명칭의 미국 특허번호 제7,904,182호에 개시된 것들과 같은 마스터 컨트롤러, 클러스터 컨트롤러들 및 자율적 리모트 컨트롤러들을 갖는 폐쇄 루프 컨트롤러일 수 있으며, 그 개시 내용은 전체로서 참조로서 본 명세서에 통합된다. 다른 태양들에서, 어떠한 적합한 컨트롤러 및/또는 컨트롤 시스템이 활용될 수 있다.
[29] 다른 태양에서, 상기 프론트 엔드(101)는 일반적으로 예를 들어, 장비 프론트 엔드 모듈(EFEM; equipment front end module)과 같은 로드 포트 모듈들(105) 및 미니-환경(mini-environment, 106)을 포함한다. 상기 로드 포트 모듈들(105)은 300 mm 로드 포트들, 전면 개구부 또는 바닥 개구부 박스들/포트들 및 카세트들을 위한 SEMI 표준들 E15.1, E47.1, E62, E19.5 또는 E1.9에 맞는 BOLTS(box opener/loader to tool standard) 인터페이스들일 수 있다. 다른 태양들에서, 상기 로드 포트 모듈들은 200mm 웨이퍼/기판 인터페이스들, 450 mm 웨이퍼/기판 인터페이스들 또는 예를 들어, 더욱 큰 또는 작은 반도체 웨이퍼들/기판들, 플랫 패널 디스플레이들을 위한 플랫 패널들, 태양전지 패널들, 레티클들 또는 다른 적합한 물체들과 같은 어떠한 다른 적합한 기판 인터페이스들로서 구성될 수 있다. 3 개의 로드 포트 모듈들(105)이 도 1에 도시되어 있지만, 다른 태양들에서 어떠한 적합한 수의 로드 포트 모듈들이 상기 프론트 엔드(101) 속으로 결합될 수 있다. 상기 로드 포트 모듈들(105)은 오버헤드 이송 시스템, 자동화 안내 운송수단들, 인간 안내 운송수단들, 레일 안내 운송수단들 또는 어떠한 다른 적합한 이송 방법으로부터 기판 캐리어들 또는 카세트들(C)을 수령하도록 구성될 수 있다. 상기 로드 포트 모듈들(105)은 로드 포트들(107)을 통하여 상기 미니-환경(106)과 인터페이스될 수 있다. 상기 로드 포트들(107)은 상기 기판 카세트들과 상기 미니-환경(106) 사이에서 기판들의 통로를 허용할 수 있다. 상기 미니-환경(106)은 일반적으로 여기에서 기술된 상기 개시된 실시예의 하나 이상의 태양들과 결합할 수 있는 어떠한 적합한 전달 로봇을 포함한다. 하나의 태양에서, 상기 로봇(108)은 예를 들어, 1999년 12월 14일자로 발행된 미국 특허 번호 제6,002,840호, 2013년 4월 16일자로 발행된 제8,419,341호, 및 2010년 1월 19일자로 발행된 제7,648,327호에 기술된 것과 같은 트랙 실장된 로봇일 수 있으며, 이들의 개시내용들은 그 전체로서 여기에 참조로서 통합된다. 다른 태양들에서, 상기 로봇(108)은 상기 벡 엔드(103)에 대하여 여기에서 기술된 것과 실질적으로 유사할 수 있다. 상기 미니-환경(106)은 다수의 로드 포트 모듈들 사이에서 기판 전달을 위한 제어된, 청정 영역을 제공할 수 있다.
[30] 상기 적어도 하나의 진공 로드락(102A, 102B)은 상기 미니-환경(106)과 상기 벡 엔드(103) 사이에 위치하며, 상기 미니-환경(106)과 상기 벡 엔드(103)에 연결될 수 있다. 다른 태양들에서, 상기 로드 포트들(105)은 상기 적어도 하나의 로드락(102A, 102B) 또는 이송 챔버(125)에 실질적으로 직접적으로 결합될 수 있으며(도 1h 및 1i를 보라), 여기서 상기 기판 캐리어(C)는 상기 공정 챔버(125)의 진공까지 아래로 펌핑되며, 기판들이 상기 기판 캐리어(C)와 상기 로드락 또는 공정 챔버 사이에서 직접적으로 전달된다. 본 태양에서, 상기 기판 캐리어(C)는 상기 이송 챔버의 공정용 진공이 상기 기판 캐리어(C) 속으로 연장되도록 로드락으로써 기능할 수도 있다. 실현될 수 있는 바와 같이, 상기 기판 캐리어(C)가 적합한 로드 포트를 통하여 상기 로드락에 실질적으로 직접적으로 결합되는 경우에, 어떠한 적합한 전달 장치가 상기 기판 캐리어(C)를 향하여 그리고 상기 기판 캐리어(C)로부터 기판을 전달하기 위하여 상기 로드락 내에 제공될 수도 있다. 여기서 사용된 상기 용어 진공은 상기 기판들이 공정되는 10-5 Torr 이하와 같은 높은 진공을 나타낸다. 상기 적어도 하나의 로드락(102A, 102B)은 일반적으로 대기의 그리고 진공의 슬롯 밸브들을 포함한다. 상기 로드락들(102A, 102B)(상기 공정 스테이션들(130)을 위해서 뿐만 아니라)의 상기 슬롯 밸브들은 기판을 상기 대기의 프론트 엔드로부터 로딩한 후에 상기 로드락을 배기하기 위해, 그리고 질소와 같은 불활성 가스로 상기 락을 배기할 때 상기 이송 챔버 내에서 상기 진공을 유지하기 위해 채용된 환경적 격리를 제공할 수 있다. 여기서 기술되는 바와 같이, 상기 공정 장치(100)의 상기 슬롯 밸브들은 상기 이송 챔버(125)에 결합된 적어도 상기 공정 스테이션들(130) 및 로드락들(102A, 102B)을 향하여 그리고 이들로부터 기판들의 전달을 수용하기 위해 동일 평면, 상이하게 수직적으로 적층된 평면들 또는 상기 동일 평면에 위치한 슬롯 밸브들 및 상이하게 수직적으로 적층된 평면들에 위치한 슬롯 밸브들의 조합에 위치할 수 있다. 상기 적어도 하나의 로드락(102A, 102B)은 또한 상기 기판의 기준을 원하는 공정 위치로 정렬하기 위한 정렬기 또는 어떠한 다른 적합한 기판 도량형학(metrology) 장비를 포함할 수 있다. 다른 태양들에서, 상기 진공의 로드락은 상기 공정 장치의 어떠한 적합한 위치에 위치될 수 있으며, 어떠한 적합한 구성을 가질 수 있다.
[31] 상기 진공의 벡 엔드(103)는 일반적으로 이송 챔버(125), 하나 이상의 공정 스테이션(들)(103S, 130T)(일반적으로 여기서 공정 스테이션(들)(130)로서 지칭됨) 및 여기서 기술된 상기 개시된 실시예들의 하나 이상의 태양들을 포함하는 하나 이상의 전달 로봇(104A, 104B)을 포함하는 어떠한 적합한 전달 유닛 모듈 또는 이송 모듈(104)을 포함한다. 상기 이송 챔버(125)는 SEMI 표준 E72 가이드라인들과 부합하는 길이와 같은 어떠한 적합한 길이 L을 가질 수 있다. 적어도 하나의 전달 아암을 갖는 두 개의 전달 로봇들(104A, 104B)이 도 1에 도시되어 있지만, 어떠한 적합한 수의 전달 아암들을 갖는 두 개보다 많거나 적은 전달 로봇들이 상기 이송 챔버(125) 내에 위치될 수 있다는 것은 이해될 것이다. 성기 전달 유닛 모듈(104) 및 상기 하나 이상의 전달 로봇(104A, 104B)은 아래에서 기술될 것이며, 상기 로드락(102A, 102B) (또는 로드 포트에 위치한 카세트)과 상기 여러 가지 공정 스테이션들(130) 사이에서 기판들을 이송하기 위한 상기 이송 챔버(125) 내에 위치할 수 있다. 하나의 태양에서 상기 전달 유닛 모듈(104)은 상기 전달 유닛 모듈(104)이 SEMI 표준 E72 가이드라인들과 부합하도록 모듈 유닛으로써 상기 이송 챔버(125)로부터 제거가능할 수 있다. 상기 공정 스테이션들(130)은 상기 기판들 상에 전기적 회로들 또는 다른 원하는 구조를 형성하기 위해 여러 가지 퇴적, 식각 , 또는 다른 공정 형태들을 통하여 상기 기판들에 대하여 동작할 수 있다. 전형적인 공정들은, 이들로 제한되는 것은 아니지만, 플라즈마 식각 또는 다른 식각 공정들, 화학 기상 퇴적(CVD), 플라즈마 기상 퇴적(PVD), 이온 주입과 같은 주입, 도량형학, 급속 열처리(RTP), 건식 스트립 원자층 퇴적(ALD), 산화/확산, 질화물들의 형성, 진공 리쏘그라피, 에피택시(EPI), 와이어 본더 및 증발과 같은 진공을 사용하는 박막 공정들 또는 진공 압력들을 사용하는 다른 박막 공정들을 포함한다. 상기 공정 스테이션들(130)은 기판들이 상기 이송 챔버(125)로부터 상기 공정 스테이션들(130)로 통과하도록 그리고 그 반대로 통과되도록 허용하기 위해, 슬롯 밸브들(SV)과 같은 어떤 적합한 방식으로 상기 이송 챔버(125)에 연통가능하게 연결된다. 상기 이송 챔버(125)의 상기 슬롯 밸브들(SV)은 한 쌍의 공정 스테이션들(130T)(예를 들어, 공통 하우징 내에 위치한 하나보다 많은 기판 공정 챔버), 단일의 공정 스테이션들(130S) 및/또는 적층된 공정 모듈들/로드락들(아래에서 기술되는 바와 같이)의 연결을 허용하도록 배열될 수 있다.
[32] 또한 도 1에서 보여질 수 있는 바와 같이, 상기 벡 엔드(103)는 또한 상기 이송 챔버(125)로부터 또는 이를 향하여 기판들을 전달하기 위하여 로드락(140)의 상기 연결을 허용하기 위한 하나 이상의 슬롯 밸브들(SV)을 포함할 수 있다. 실현될 수 있는 바와 같이, 하나의 태양에서 상기 벡 엔드(103)에 상기 로드락(140)의 포함은 기판들이 상기 프론트 엔드(101)를 통하여 상기 공정 장치(100)로 들어가고 그리고 상기 벡 엔드를 통하여 상기 공정 장치(100)로부터 나오도록 허용한다. 다른 태양들에서, 상기 로드락(140)은 기판들이 상기 벡 엔드(103)를 통하여 상기 공정 장치로 들어가고 상기 프론트 엔드(101)를 통하여 나오도록 허용할 수 있다. 또 다른 태양들에서 기판들은 상기 프론트 엔드 또는/및 상기 벡 엔드 중의 어느 하나 또는 모두로부터 상기 공정 장치로 들어가고 나올 수 있다(또는, 아래에서 기술되는 바와 같이 상기 이송 챔버(125)의 엔드들 사이의 어떤 지점에서).
[33] 도 2를 참조하면, 공정 장치(100)와 실질적으로 유사한 공정 장치(100')(예를 들어, 언급된 곳을 제외)가 도시된다. 본 태양에서 다수의 이송 챔버들(125A, 125B)가 상기 공정 장치(100')를 형성하기 위해 서로 결합될 수 있으며, 이것은 상기 공정 장치(100')를 형성하는 각 이송 챔버 모듈이 SEMI 표준 E72 가이드라인들에 부합하는 길이 L(도 1을 보라)를 갖도록 어떠한 적합한 조합된/조립된 길이를 갖는다. 여기서 상기 이송 챔버들(125A, 125B)은 모듈로 하여금 모듈 기판을 건내주게 할 수 있도록 적어도 하나의 로드락(202A, 202B)을 통하여 서로에 결합된다. 다른 태양에서 상기 로드락들(202A, 202B)은 각각의 이송 챔버(125A, 125B)들로 하여금 다른 이송 챔버(들)(125A, 125B)과 다른 내부 환경을 갖도록 허용할 수 있다. 다른 태양들에서, 상기 이송 챔버들(125A, 125B)은 실질적으로 동일한 내부 분위기를 가질 수 있다. 실현될 수 있는 바와 같이, 각각의 이송 챔버는 기판이 상기 공정 장치(100')의 어느 하나의 엔드(100E1, 100E2)를 통해 기판들을 상기 공정 장치(100')를 향하여 그리고 상기 공정 장치로부터 전달하기 위해 로드락들(102A102D)을 포함한다. 다른 태양들에서, 도 1a를 또한 참조하면, 어떠한 적합한 로드락(로드락들(202A, 202B, 140)과 같은)이 기판들이 상기 공정 장치(100, 100', 100'')로 들어가고 그리고 나오기 위한 중간-입구(mid-entry)/출구 지점을 제공하기 위해 상기 엔드들(100E1, 100E2)(또한 도 1 및 도 1a를 보라) 사이에 위치할 수 있다. 다른 태양에서, 상기 이송 챔버(125)로부터 분리되며 그리고 구별되는 기판 이송 터널(183)(예를 들어, 리턴 터널)이 상기 중간-입구/출구 지점을 예를 들어, 프론트 엔드(101) 또는 상기 공정 장치의 다른 적합한 기판 로딩 스테이션에 연통적으로 결합시킬 수 있다. 상기 기판 이송 터널(183)은 예를 들어, 상기 중간-입구/출구 스테이션과 상기 프론트 엔드 또는 다른 적합한 기판 고정 스테이션 사이에 실질적으로 방해받지 않는 기판 통로를 제공할 수 있다. 다른 태양에서, 상기 기판 이송 터널(183)은 상기 이송 챔버(125)와 실질적으로 동일한 수평 평면에 위치할 수 있으며, 반면에 다른 태양들에서 상기 기판 이송 터널(183)은 상기 이송 챔버(125)가 위치하는 평면으로부터 수직적으로 이격된(예를 들어, 위로 또는 아래로) 평면에 위치할 수도 있다. 상기 중간-입구/출구 모듈들 및 기판 이송 터널들(예를 들어, 리턴 터널들)은 2011년 6월 14일자로 발행된 미국 특허번호 제7,959,403호에 기술된 것들과 실질적으로 유사할 수 있으며, 그 개시 내용은 전체로써 여기에 참조로 통합된다. 도 2a를 또한 참조하면, 다른 공정 장치(100''')가 개시된 실시예의 태양들에 따라 도시된다. 여기에서 상기 이송 챔버들(125A, 125B)은 하나의 이송 챔버(125A)의 전달 유닛 모듈(104)이 슬롯 밸브 또는 상기 이송 챔버들(125A, 125B)을 연결하는 다른 적합한 개구부를 통하여 다른 이송 챔버(125B)의 전달 유닛 모듈(104)로 기판들을 실질적으로 직접적으로 넘겨줄 수 있도록 연통적으로 결합될 수 있다. 도 2a에서 보여지는 다른 태양에서, 상기 이송 챔버들(125A, 125B)은 어떠한 적합한 개구부 또는 슬롯 밸브(SV)를 통하여 실질적으로 직접적으로 서로 결합될 수 있지만, 다른 태양들에서는 어떠한 적합한 로드락 또는 다른 기판 고정 스테이션이 상기 이송 챔버들(125A, 125B) 사이에 위치할 수 있다. 실현될 수 있는 바와 같이, 각각의 이송 챔버(125)는 다른 이송 챔버들과 다른 내부 환경을 가질 수 있거나, 또는 하나 이상의 이송 챔버들은 내부 환경을 공유할 수도 있다.
[34] 도 3a 및 3b를 참조하면, 공정 장치(100)와 실질적으로 유사한 공정 장치(100'')(예를 들어, 언급된 곳을 제외)가 상기 개시된 실시예의 다른 태양에 따라 도시된다. 본 태양에서 상기 로드락들(140)(또는 기판 캐리어들을 상기 이송 챔버에 실질적으로 직접적으로 연결하기 위한 로드 포트들)은 단지 상기 이송 챔버(125)의 측면들(100S1, 100S2) 상에만 위치한다. 그러나, 다른 태양들에서 상기 로드락들(140)(또는 로드 포트들)은 도 1에 관하여 위에서 기술된 바와 같이 상기 엔드들(100E1, 100E2) 및/또는 상기 측면들(100S1, 100S2) 상에 위치할 수 있다. 실현될 수 있는 바와 같이, 여기에서 기술된 상기 공정 장치(100, 100', 100'')를 통한 공정 플로우는 예를 들어, 도 3a 및 3b에 도시된 것과 같이 어떠한 적합한 공정 플로우일 수 있다. 다른 태양에서, 기판들은 상기 로드락들(140A, 140B)의 하나를 통하여 상기 이송 챔버(125)로 들어갈 수 있다. 다른 태양에서, 기판들이 하나의 로드락을 통하여 상기 공정 장치로 들어가고 나머지 다른 로드락을 통하여 나갈 수 있도록, 하나의 로드락(140A, 140B)은 입구 로드락이며, 다른 로드락(140A, 140B)은 출구 로드락일 수 있다. 다른 태양들에서, 상기 로드락들(140A, 140B)은 기판들이 어느 하나의 로드락으로 들어가고 나올 수 있도록 입구 및 출구 양쪽의 로드락들일 수 있다. 도 3a 및 3b에서 보여질 수 있는 바와 같이, 하나의 태양에서 여기에서 기술된 상기 전달 유닛 모듈(104)은 기판들을 공정을 위해 반대쪽 공정 스테이션들(130)(예를 들어, 상기 이송 챔버(125)의 어느 하나의 측(100S1, 100S2) 상에)로 실질적으로 동시에 전달하도록, 및/또는 나란한 공정 스테이션들(130)(예를 들어, 상기 이송 챔버(125)의 동일 측(100S1, 100S2) 상에 위치하는)으로 기판들을 실질적으로 동시에서 전달하도록, 및/또는 단일의 공정 스테이션에서 기판들의 빠른 교환(예를 들어, 상기 이송 로봇의 베이스는 실질적으로 정지되게 유지하면서 상기 공정 스테이션으로부터 기판을 집어들고 그리고 연속적으로 상기 공정 스테이션에 다른 기판을 위치시키는)을 제공하도록 구성될 수 있다. 다른 태양에서, 도 3a에서 보여질 수 있는 바와 같이, 상기 기판이 어떠한 적합한 공정 스테이션(130)에서 교환될 수 있도록 어떠한 적합한 순서로 하나 이상의 공정 스테이션들(130)을 통하여 공정 처리될 수 있다. 다른 태양에서, 도 3b에서 도시된 바와 같이, 상기 기판들은 상기 입구 및/또는 출구 로드락(140A, 140B)의 위치에 의해 한정될 수 있는 어떠한 적합한 순서로 공정 처리될 수 있다.
[35] 도 4a 내지 4c를 참조하면, 상기 전달 유닛 모듈(104)은 신축식(telescoping) 선형 횡단 메카니즘 또는 상기 신축식 선형 횡단 메카니즘의 구성 부품들이 실장되는 캐리지 프레임(400F)을 갖는 캐리지(400)를 포함할 수 있다. 위에서 언급된 바와 같이, 상기 신축식 선형 횡단 메카니즘(400)은, 상기 전달 유닛 모듈(104)의 상기 선형의 횡단 태양이 위에서 언급된 바와 같이 SEMI 표준 E72에 부합되도록 설치 동안에 더 작은 패키지로 수축되도록 허용하는, 그리고 상기 전달 유닛 모듈(104)의 상기 전달 아암(들)(450, 451) 또는 로봇(들)으로 하여금 상기 이송 챔버(125)에 결합된 각각의 공정 스테이션(130) 및 로드락/로드 포트에 접근하도록 허용하는, 모듈 유닛일 수 있다. 상기 신축식 선형 횡단 메카니즘(400)은 어떠한 적합한 드라이브 섹션(구동부)을 포함할 수 있으며, 이것은 Z-축 리프트 드라이브 및 하나 이상의 확장/수축 드라이브들을 포함할 수 있다. 상기 신축식 선형 횡단 메카니즘(400)의 상기 구동부는, 상기 신축신 선형 횡단 메카니즘(400) 및 상기 전달 아암 드라이브들의 실질적으로 독립적인 운동학적 동작을 허용하는 상기 신축식 선형 횡단 메카니즘(400)에 의해 운반된 상기 전달 아암들(아래에 기술됨)의 구동부로부터 실질적으로 결합되지 않을 수 있으며, 또는 이것에 근접하게 결합되지 않을 수 있다. 하나의 태양에서, 상기 신축식 선형 횡단 메카니즘(400)은 리프트(예를 들어, Z-축 리프트 드라이브(401))에 장착될 수 있으며, 이 리프트는 상기 이송 챔버(125)의 상기 슬롭 밸브들(SV)을 통하여 기판 전달을 허용하기 위한 어떠한 적합한 원하는 높이까지 유닛으로써 상기 신축식 선형 횡단 메카니즘(400)(및 그 위에 장착된 상기 로봇 아암들)을 화살표(499)의 방향으로 이동시킬 수 있다. 상기 Z-축 리프트 드라이브(401)는 적어도 부분적으로 상기 이송 챔버(125) 내에서 고정 위치(예를 들어, 상기 드라이브는 X 및 Y 방향들에서 이동하지 않을 수 있다 - 도 1을 보라)에 위치할 수 있다(다른 태양들에서, 상기 Z-축 드라이브는 상기 이송 챔버 내에서 위치하지 않을 수 있으며, 및/또는 상기 X 및 Y 방향들 중의 하나 이상으로 이동할 수 있다). 상기 Z-축 리프트 드라이브(401)는, 이들로 제한되는 것은 아니지만, 볼-스크류 리프트 드라이브들, 시저(scissor) 리프트 드리이브들, 유압 액튜에이터들, 공압 액튜에이터들 및 자기 액튜에이터들을 포함하는 어떠한 적합한 드라이브일 수 있다. 실현될 수 있는 바와 같이, 어떠한 적합한 씨일(seal)이 상기 이송 챔버(125)의 내부 환경으로부터 상기 Z-축 리프트 드라이브(401)를 분리하기 위해 제공될 수 있다. 다른 태양들에서, 상기 전달 유닛 모듈(104)은 어떠한 Z-축 이동 능력을 가지지 않을 수 있다. 또 다른 태양들에서, 상기 전달 유닛 모듈(104)의 각 전달 아암, 또는 어느 하나의 전달 아암 중의 적어도 하나는 상기 전달 유닛 모듈(104)의 다른 전달 아암들의 Z-축 이동과 독립적으로 화살표(499)의 방향으로 각 로봇 아암을 독립적으로 이동시키기 위한 각각의 Z-축 드라이브(401')를 가질 수 있다. 적어도 하나 또는 각각의 전달 아암(450, 451)을 위한 이러한 독립적이고 전담적인 Z-축 드라이브(들)(401')는 집합적 Z-축 드라이브(401)와 조합하여 제공될 수 있다(또는 상기 집합적 Z-축 드라이브는 제공되지 않을 수 있다).
[36] 상기 신축적 선형 횡단 메카니즘(400)은 예를 들어 이송 챔버 중심라인 CL(도 1)을 따라 상기 이송 챔버(125) 내에 중심적으로 장착될 수 있으며, 상기 전달 유닛 모듈(104)의 상기 전달 아암들로 하여금 상기 공정 스테이션들(130) 및 로드 포트들/로드락들에 접근할 수 있도록 화살표(199)의 방향으로 양-방향 확장(예를 들어, 상기 이송 챔버 중심 라인 CL의 어느 한 측 상에서의 확장이 가능한)을 위해 구성될 수 있다. 다른 태양들에서, 상기 신축적 선형 횡단 메카니즘(400)은 상기 이송 챔버의 어떠한 적합한 길이를 따라 연장하도록, 그리고 전달 아암으로 하여금 상기 공정 스테이션들(130) 및 로드 포트들/로드락들에 접근을 허용하도록 상기 이송 챔버(125)의 엔드(100E1, 100E2)에 인접하여 장착될 수 있다. 또 다른 태양들에서, 상기 신축적 선형 횡단 메카니즘(400)은 상기 이송 챔버(125)의 어떠한 적합한 위치에서 장착될 수도 있다.
[37] 텔리스코핑 선형 횡단(traversing) 메카니즘(400)(다르게는 신축식 캐리지(carrage) 메카니즘으로서 지칭될 수도 있다)은 베이스 부재(410), 및 베이스 부재(410)에 일련적으로 이동가능하게 장착된 신축식 부재 또는 캐리지(carrage)(420, 430)를 포함할 수 있다. 베이스는, 예컨대 Z-축 리프트 드라이브(401)을 통해서 임의의 적합한 위치에서 이송 챔버(125)의 벽에 고정되게 연결될 수 있다. 예를 들면, 베이스 부재(410)는, 이송 챔버(125)의 내부 환경 내에 적어도 부분적으로 위치하도록 임의의 적합한 방식으로 Z-축 리프트 드라이브(401)에 장착될 수 있다. 베이스 부재(410)는, 적어도 하나의 신축식 부재(420, 430)를 베이스 부재(410)에 이동가능하게 장착하기 위하여 레일들이나 트랙들과 같은 임의의 적합한 슬라이드 메카니즘(410T)을 포함할 수 있다. 본 측면에서 신축식 부재(420)는, 신축식 부재(420)를 베이스 부재(410)에 이동가능하게 장착하도록 슬라이드 메카니즘(410T)와 접하는(interface) 임의의 슬라이드 메카니즘(420T1)을 포함한다. 실현될 수 있는 바와 같이, 슬라이드 메카니즘들(410T, 420T1) 사이 경계는 베이스 부재(410)에 대한 베이스 부재 중심선(CL1)의 일측에서 화살표(199) 방향으로 신축식 부재(420)의 왕복 이동을 허용하도록 구성될 수 있다. Z-축 드라이브 유닛이 중심선(CL)에 실질적으로 위치하는 것으로 도시되었으나, 다른 측면들에서 Z-축 드라이브 유닛(401)은 중심선으로부터 오프셋(offset)될 수 있는 점은 이해되어야 한다. 베이스 부재(410)의 중심선(CL1)이 중심선(CL)과 실질적으로 일치하거나 오프셋될 수 있는 점 또한 이해되어야 한다. 신축식 부재(420)는 또한, 신축식 부재나 로봇 지지대(support)(430)를 신축식 부재(420)에 이동가능하게 장착하기 위하여 (슬라이드 메카니즘(410T)와 실질적으로 유사할 수 있는) 슬라이드 메카니즘(420T2)을 포함할 수 있다. 신축식 부재(430)는 하나 이상의 전달 아암들(450, 451)이 위치하는 로봇 지지대일 수 있다. 신축식 부재(430)는, 경계가 신축식 부재(420)에 대하여 신축식 부재 중심선(CL2)의 일측에서 화살표(199)의 방향으로 신축식 부재(430)의 왕복 이동을 허용하도록 구성되도록, 슬라이드 메카니즘(420T2)과 접하도록 구성된 슬라이드 메카니즘(430T)을 포함할 수 있다. 베이스 부재(410) 및 신축식 부재들(420, 430) 중 각각은 이송 챔버(125)의 엔드들(100E1, 100E2) 사이에서 전달 유닛 모듈(104)의 전달 아암(들)의 횡단을 허용하기 위해 임의의 적합한 각각의 길이(L2, L3, L4)를 가질 수 있다. 신축식 선형 횡단 메카니즘(400)이 3개의 부재들(410, 420, 430)을 가지는 것으로서 도해되었으나, 다른 측면들에서 신축식 선형 횡단 메카니즘은 하나 이상의 전달 아암들 (및 그것들의 각각의 드라이브들)이 장착된 신축식 플랫폼이나 로봇 지지대를 제공하기 위하여 그보다 적거나 많은 부재들을 가질 수 있는 점은 이해되어야 한다.
[38] 일 측면에서, 신축식 부재들 중 각각의 이동은, 각각의 신축식 부재(및/또는 전달 아암)가 임의의 적합한 방식으로 임의의 적합한 드라이브(470)에 의해서 화살표(199) 방향으로 독립적으로 왕복으로 구동되도록, 신축식 부재들(및/또는 전달 아암들)의 다른 것들의 이동으로부터 결합되지 아니할 수 있다. 일 측면에서, 도 4k를 참조하면, 드라이브(470)는, 화살표(199) 방향으로 신축식 부재들(410, 420, 430) 중 각각 그리고 전달 아암들(450, 451) 중 하나 이상을 독립적으로 (다른 신축식 부재들 및/또는 베이스 부재에 대하여 그리고 그렇게 함으로써 신축식 선형 횡단 메카니즘(400)의 적어도 부분적인 신축식 운동에 독립적으로 영향을 미치는 것과 같이) 구동하기 위하여 (하나 이상의 전달 아암들의 독립적인 이동은 도 7 및 도 7a에 대하여 이하에서 보다 상세하게 설명될 것이다) 하나 이상의 구동 모터들(471, 471', 471'', 471''')을 포함할 수 있다. 각각의 구동 모터(471, 471', 471'', 471''')는 신축식 부재(420)를 위한 구동 모터(471)에 대하여 이하에서 설명되는 그러한 임의의 적합한 방식으로 자신의 각각의 신축식 부재(410, 420, 430)에 결합될 수 있다. 예시로서, 일 측면에서 구동 모터(471)는, 본 예시에서 신축식 부재(420)인 구동되는 신축식 부재를 구동하기 위해 베이스 부재(410)(또는 구동되는 신축식 부재가 달려있는 신축식 부재)의 임의의 적합한 부분에 고정되게 장착될 수 있다. 다른 측면들에서 구동 모터(471)는 구동되는 신축식 부재에 장착될 수 있고 (후술되는) 구동 부재(474) 는 베이스 부재(410)(또는 구동되는 신축식 부재가 달려있는 신축식 부재)에 고정될 수 있다. 구동 모터(471)는 이송 챔버(125) 내에서 발견될 수 있는 그러한 진공 환경 (또는 임의의 다른 적합한 환경) 내에서의 사용에 적합한 임의의 적합한 구동 모터(예를 들면, 선형 브러시리스(brushless) 모터, 선형 스텝(stepper), 선형 가변 자기저항(reluctance) 모터 등)일 수 있다. 다른 측면들에서, 모터들은 회전형(rotary) 구성 및 적합한 변속기(transmission)를 가질 수 있다. 임의의 적합한 드라이브 풀리(pulley)(472)가 구동 모터(471)의 출력에 장착될 수 있다. 아이들러 풀리(idler pulley)들(473A, 473B)이 신축식 부재(420)의 엔드들(420E1, 420E2)(또는 임의의 다른 적합한 위치)에 장착되거나 인접할 수 있다. 하나 이상의 (예를 들면, 코드, 케이블, 밴드, 와이어, 체인, 벨트 등과 같은) 적합한 구동 부재(474)가, 하나의 엔드가 구동 풀리 주변에서 감기고 다른 엔드가 구동 풀리로부터 풀리도록, 엔드들(또는 구동 부재(474)의 다른 적합한 부분)이 역회전하는 방식으로 구동 풀리(472) 둘레에서 둘러싸이도록 풀리들(473A, 473B) 사이에 가로지를 수 있고 풀리들(473A, 473B)과 접할 수 있다. 플리들(473A, 473B) 사이에서 가로지르는 구동 부재(474)의 일부는, 임의의 적합한 기계적 혹은 화학적 잠금장치(fastener)들(475)과 같은 임의의 적합한 방식으로 신축식 부재(420)의 임의의 적합한 부분에 고정될 수 있다. 단일 구동 부재(474)가 도시되었으나, 다른 측면들에서 2개 이상의 구동 부재가 본 명세서에서 설명된 바와 유사한 구성으로 사용될 수 있는 점이 유의된다.
[39] 실현될 수 있는 바와 같이, 본 예시에서, 구동 풀리(472)가 일 방향(497)으로 회전할 때, 엔드(474B)가 풀리는 동안 구동 부재(474)의 엔드(474A)는 구동 풀리(472) 둘레에서 감기고, 신축식 부재(420)가 일 방향(472)로 이동하는 것을 야기한다. 유사하게, 구동 풀리(472)가 일 방향(496)으로 회전할 때, 엔드(474A)가 풀리는 동안 구동 부재(474)의 엔드(474B)는 구동 풀리(472) 둘레에서 감기고, 신축식 부재(420)가 방향(199A)로 이동하는 것을 야기한다. 유사한 구동 배열이, 구동 모터(471)가 신축식 부재(420)의 임의의 적합한 부분에 장착되고 풀리들(473A, 473B)이 신축식 부재(430)의 임의의 적합한 부분에 장착되도록, 신축식 부재(420)에 대하여 신축식 부재(430)의 이동을 허용하기 위해 신축식 부재들(420, 430) 사이에 제공될 수 있다. 구동 부재(474)는, 구동 풀리(472)가 회전함에 따라 신축식 부재가 구동 풀리의 회전 방향에 의존하여 화살표(199)의 방향으로 왕복되도록, 전술된 바와 유사한 방식으로 신축식 부재(430)에 고정될 수 있다. 실현될 수 있는 바와 같이, 신축식 선형 횡단 메카니즘(400)의 확장 및 수축이 베이스 부재 및 신축식 부재들에 분배된 모터들로서 수행되는 것으로 설명되었으나, 다른 측면들에서 신축식 선형 횡단 메카니즘(400)의 확장 및 수축을 위한 모터들은, 적합한 구동 메카니즘들/연결들이 신축식 선형 횡단 메카니즘(400)의 확장 및 수축을 허용하기 위해 신축식 선형 횡단 메카니즘(400)의 각각의 구동 부재에 각각의 모터들을 결합하도록 공통의 위치에 위치할 수 있다.
[40] 다른 측면에서, 화살표(199) 방향으로의 신축식 부재들(410, 420, 430)의 이동은, 하나의 구동 모터가 중심선(CL)의 일측을 향하여 화살표(199)의 방향으로 신축식 부재들(410, 420, 430)의 신축적 확장/수축에 영향을 주도록, 임의의 적합한 방식으로 같이 연결될 수 있다. 예를 들면, 임의의 적합한 풀리 및 구동 부재 배열이 신축식 선형 횡단 메카니즘(400)의 확장을 위해 다른 신축식 부재들 중 하나 이상에 하나의 신축식 부재의 운동을 결합할 수 있다.
[41] 다시 도 4a 내지 도 4c를 참조하면, 일 측면에서 전달 유닛 모듈(104)은 이송 챔버의 일 측면(100S1, 100S2)에 인접한 것으로부터 이송 챔버의 다른 측면(100S1, 100S2)에 인접한 것으로 실질적으로 가로지를 수 있는 (예를 들면, 신축식 선형 횡단 메카니즘(400)은 이송 챔버의 폭(W)을 가로지른다) 신축식 선형 횡단 메카니즘(400)을 포함할 수 있다. 다른 측면들에서, 도 4d에서 알 수 있는 바와 같이, 전달 유닛 모듈(104)은, 각각이 이송 챔버(125) 내에서 측면으로 나란히 위치하는 각각의 신축식 선형 횡단 메카니즘(400A, 400B)을 가지는, 하나 이상의 전달 유닛 모듈(104', 104'')을 포함할 수 있고, 이 때 각각의 전달 유닛 모듈(104', 104'')의 이송 아암(들)(450, 451)(도 4a)은, 각각의 아암이 (예를 들면, 공정 스테이션들, 로드락들 및/또는 그것들에 연통적으로(communicably) 연결된 로드 포트들에 접근하기 위하여) 이송 챔버의 일측면(100S1, 100S2)에 위치하는 슬롯 밸브들(SV)을 통해서 기판들을 전달할 수 있을 뿐만 아니라 이송 챔버(125)의 엔드들(100E1, 100E2)에 위치하는 기판 유지(holding) 스테이션들에 접근할 수 있도록, 도달거리(reach)를 가진다. 본 측면에서, 나란한 신축식 선형 횡단 메카니즘들(400A, 400B)은, 2011년 3월 8일 발행된 미국 특허번호 제7,901,539호, 2012년 11월 6일 발행된 제8,303,764호, 2012년 10월 23일 발행된 제8,293,066호, 2013년 4월 16일 발행된 제8,419,341호에 설명된 바와 실질적으로 유사한 방식으로 이송 챔버(125)의 길이를 따라 화살표(199)의 방향으로 각각의 로봇 지지대(430)(도 7)의 실질적으로 독립적인 이동을 허용하고(예를 들면, 그리하여 로봇 아암들 또는 로봇 지지대들 사이 거리(YA)는 변경된다), 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다. 예를 들면, 도 4g를 다시 참조하면, 선형 횡단 메카니즘(400)은 이전에 참조로서 포함된, 미국 특허번호 제8,419,341호, 제6002,840호 및 제7,648,327호에 설명된 바와 유사한 방식으로 선형 트랙(493)에 장착될 수 있다. 선형 트랙(493)은 트랙의 길이를 따라 선형 횡단 메카니즘(400)을 이동시키도록 구성된 임의의 적합한 드라이브를 포함할 수 있다. 실현될 수 있는 바와 같이, 신축식 선형 횡단 메카니즘들(400A, 400B) 중 각각은 각각의 신축식 선형 횡단 메카니즘들(400A, 400B)의 실질적으로 독립적인 Z-축 이동을 허용하나, 다른 측면들에서 신축식 선형 횡단 메카니즘들(400A, 400B)은 신축식 선형 횡단 메카니즘들(400A, 400B)이 단위로 상승하고 하강하도록 공통의 Z-축 드라이브에 장착될 수 있다. 하나의 측면에서, 선형 횡단 메카니즘이 선형 트랙(493)에 장착될 때, 선형 횡단 메카니즘(400)은 임의의 적합한 방식으로 Z-축 플랫폼이나 드라이브에 장착될 수 있다. 예를 들면, 도 4h 내지 도 4j를 참조하면 Z-축 트랙(493z, 493z')은 선형 트랙(493)을 따르는 이동을 위하여 선형 트랙(493)에 장착될 수 있다. Z-축 트랙(493z, 493z')은, 선형 횡단 메카니즘이 임의의 적합한 방식으로 캐리지(493C, 493C')에 장착될 때, Z-축 트랙(493Z)을 따라서 캐리지(493C, 493C')를 구동하기 위해 임의의 적합한 드라이브를 포함할 수 있다. 일 측면에서, Z-축 트랙은 신축적 이동을 위해 구성될 수 있고, 도 4b 및 도 4c에 대하여 전술된 바와 실질적으로 유사할 수 있는 신축적 구동 메카니즘(493D)(도 4j)을 포함할 수 있다. 또 다른 측면들에서, 신축식 선형 횡단 메카니즘(400A, 400B)은, 하나의 신축식 메카니즘이 다른 신축식 부재에 달려있도록 2개 이상의 신축식 메카니즘을 포함할 수 있다. 예를 들면, (전술된 바와 같은) 신축식 Z-축 트랙은 캐리지(430)에 장착될 수 있고, 또는 (도 4b에 도시된 바와 같은) 신축식 아암은 신축식 Z-축 트랙의 캐리지(493C, 493C')에 장착될 수 있다. 다른 측면들에서, 도 4f에서 알 수 있는 바와 같이, (후술될) 로봇 드라이브들(501, 502)은, 로봇 드라이브(501, 502)가 그것들에 장착된 하나 이상의 로봇 아암들을 구동하도록 구성될 때, 공통의 로봇 지지대(430)에 측면으로 나란히 위치될 수 있다. 일 측면에서, 측면으로 나란한 로봇 드라이브들(501, 502)은 로봇 지지대(430)에 서로에 대하여 고정될 수 있으나, 다른 측면들에서 측면으로 나란한 로봇 드라이브들(501, 502) 중 하나 이상은 도 7 및 슬라이드 부재(700)에 대하여 본 명세서에서 설명된 바와 실질적으로 유사한 방식으로 측면으로 나란한 로봇 드라이브(501, 502) 중 서로에 대해 화살표(199) 방향으로 이동가능할 수 있다. 실현될 수 있는 바와 같이, 드라이브들(501, 502) 중 각각은 그것들에 장착된 하나 이상의 로봇 아암의 실질적으로 독립적인 Z-축 이동을 허용하도록 구성된 각각의 Z-축 드라이브를 포함할 수 있으나, 다른 측면들에서 드라이브들(501, 502)은 드라이브들(501, 502)이 단위로 상승하고 하강하도록 공통의 Z-축 드라이브에 장착될 수 있다. 또 다른 측면들에서, 2개 이상의 신축식 선형 횡단 메카니즘(400)이, 2개 이상의 신축식 선형 횡단 메카니즘(400)의 전달 로봇들(104)이 서로 수직으로 대향하도록, 수직으로 대항하는(opposing) 배열로서 배열될 수 있다. 실현될 수 있는 바와 같이, 전달 유닛 모듈(104)의 신축식 이동 행로(및 전달 로봇들/아암들이 위치하는 캐리지의 행로)는 슬롯 밸브를 통과하는 입구/출구(entry/exit) 또는, 유지 스테이션이 이송 챔버(125)에 연통적으로 통과하여 결합되는 다른 이송 챔버의 개구부의 축을 교차할 수 있다.
[42] 이송 챔버(125) 내에서 전달 아암들(450, 451)을 위치시키기 위하여 신축식 부재의 위치설정(positioning)은 임의의 적합한 방법으로 수행될 수 있다. 일 측면에서, 신축식 맴버(들)의 위치설정은 임의의 적합한 센서/센서 배열로서 수행될 수 있다. 실현될 수 있는 바와 같이, (본 측면에서 신축식 부재(430)인) 전달 아암들(450, 451)이 위치하는 로봇 지지대의 위치는 적어도, 전달 아암들(450, 451)을 위치시키기 위하여 임의의 적합한 방식으로 트래킹(tracking)된다. 일 측면에서, 신축식 부재(430)의 위치는 각각의 신축식 부재(420, 430)가 확장/축소됨에 따라, 구동 모터들(471)의 인코더들을 사용하여 수행될 수 있다. 다른 측면에서(도 2를 참조하면), 하나 이상의 센서들(280S)이 이송 챔버(125) 내에서 하나 이상의 벽들을 따라서 또는 벽들에서 미리 정해진 위치들에 위치할 수 있다. 센서들(280S)은, 예를 들면 레이져나 빔 센서들, 용량성(capacitive) 센서들 및/또는 유도성(inductive) 센서들과 같은 임의의 적합한 센서들일 수 있다. 일 측면에서, 센서들(280S)은, 각각의 센서(280S)가 하나 이상의 슬롯 밸브들(및 슬롯 밸브들에 연통적으로 결합된 공정 스테이션들)에 대하여 미리 정해진 관계를 가지도록 이송 챔버 내에서 위치할 수 있다. 센서들(280S)은, 목표물들(280T)이 하나 이상의 전달 아암(450, 451)에 대하여 미리 정해진 공간적인 관계를 가질 때, 로봇 지지대(예를 들면, 본 측면에서 신축식 부재(430))에 위치하는 하나 이상의 목표물들(280T)을 검출하거나 감지하도록 구성될 수 있다. 로봇 지지대가 이송 챔버 내에서 이동함에 따라, 센서들(280S)은, 센서들(280S)이 목표물들(280T)을 검출한 때, 컨트롤러(110)와 같은 임의의 적합한 컨트롤러에 임의의 적합한 신호들을 보낼 수 있다. 신호들은 로봇 지지대(430)의 위치, 및 목표물들(280T)과 하나 이상의 전달 아암(450, 451) 사이 공간적인 관계를 통해서 하나 이상의 전달 아암(450, 451)의 위치를 식별할 수 있다.
[43] 다른 측면에서, 신축식 부재(430)는, 예를 들면 핀들, 리세스들(recesses), 돌출부들(protrusions) 또는 신축식 부재(430)과 미리 정해진 공정 스테이션(130) 및/또는 로드락/로드포트(140, 105) 사이에서 (X, Y 및 Z 평면들에서) 정렬을 형성하도록 구성된 임의의 다른 적합한 운동학적 결합구와 같은 임의의 적합한 로봇 지지대 위치설정 요소들(locating features)로서 기계적으로 수행될 수 있다. 일 측면에서, 위치설정 요소(218S)는, 미리 정해진 위치 관계가 위치설정 요소(281S) 및 대응하는 공정 스테이션(130) 및/또는 로드락/로드 포트(140, 105) 사이에서 알려지도록, 이송 챔버(125) 내에서 임의의 적합한 위치에 장착될 수 있다. (위치설정 요소와 운동학적으로 짝을 짓도록 구성된) 메이팅(mating) 피쳐(281T)가 신축식 부재(430)에 장착된 하나 이상의 전달 아암(450, 451)과 미리 정해진 관계를 가지도록 신축식 부재(430)의 미리 정해진 위치에 장착될 수 있다. 신축식 부재(430)가 이송 챔버(125) 내에서 이동함에 따라, 메이팅 피쳐(281T)는 알려진 위치에 이송 챔버(125) 내에서 신축식 부재가 위치하도록 위치설정 요소(281S)와 맞물리고(engage) 짝을 지을 수 있다. 일 측면에서, 위치설정 요소들(281T)은, 신축식 부재(430)가 하나 이상의 미리 정해진 기판 유지 스테이션들 쪽으로 전진함에 따라 컨트롤러(110)가 기판들이 그쪽으로/그로부터 집어 들리거나 놓이게 되는 하나 이상의 미리 정해진 기판 유지 스테이션들(예를 들면, 105, 130, 140)에 대응하는 위치 설정 요소들(281T)의 배치에 영향을 줄 수 있다. 다른 측면들에서, 로봇 지지대는, 이송 챔버(125)의 측면에 인접하게 위치하는 정지형(stationary) (예를 들면, 비신축성의(non-retractable)) 위치설정 요소(281T)를 맞물리게 하기 위하여 임의의 적합한 방식으로, 예를 들면 (예컨대, 화살표(199)를 횡단하는) Y 방향으로 이동가능할 수 있다.
[44] 실현될 수 있는 바와 같이, 로봇 지지대(예를 들면, 신축식 부재(430)) 위치설정은 전달 아암 위치설정을 위한 (예를 들면, 기판들을 집어 들고 기판 유지 스테이션들에 놓기 위한) 운동학(kinematics)에 대하여 실질적으로 독립적일 수 있다. 예를 들면, 신축식 부재(430)가 이송 챔버 내에서 미리 정해진 위치로 위치가 설정되면, (예를 들면, 기판을 유지하기 위한) 전달 아암 엔드 이펙터의 위치는, 예를 들면 전달 아암 구동부, 전달 아암 구동부의 인코더들, 전달 아암 및/또는 아암에 의해서 운반되는 기판을 검출하는 근접 센서, 또는 임의의 다른 적합한 센서들에 의한 그러한 임의의 적합한 방식으로 결정될 수 있다. 전달 아암의 확장 및 수축과 관련된 운동학의 실질적으로 독립적인 신축식 부재의 위치설정은 또한, 자동적 기판 센터링(centering)을 허용할 수 있다. 예를 들면, 하나 이상의 기판 센터링 센서들(AWCS)(도 2)은 이송 챔버(125) 내에 그리고/또는 인접하게 임의의 적합한 위치(들)에 위치될 수 있다. 일 측면에서, 하나 이상의 기판 센터링 센서들(AWCS)은 신축식 부재(430)에 위치될 수 있다. 전달 아암(들)이 센서들을 지나 확장됨에 따라, 기판의 위치가, 예를 들면 2013년 7월 8일 출원된 "Process Apparatus with On-The-Fly substrate Centering" 명칭의 미국 예비특허출원 일련번호 61/843,685호, 2011년 2월 1일 발행된 미국 특허번호 제7,880,155호, 2006년 1월 24일 발행된 제6,990,430호, 2011년 4월 12일 발행된 제7,925,378호, 2010년 9월 7일 발행된 제7,792,350호, 2010년 12월 28일 발행된 제7,859,685호, 2012년 2월 28일 발행된 제8,125,652호, 2012년 8월 28일 발행된 제8,253,948호, 2011년 2월 22일 발행된 제7,894,657호, 2012년 9월 18일 발행된 제8,270,702호 및 2012년 9월 14일 출원된 미국 특허출원 일련번호 13/617,333호 (특허 공개 제2013/0085595호) 중 하나 이상에서 설명된 바와 실질적으로 유사한 방식으로 기판을 위치시키기 위하여 전달 아암에 의해서 자동으로 조절될 수 있도록(예를 들면, 자동적 웨이퍼 센터링), 센서들은 전달 아암에 유지된 기판을 검출할 수 있으며, 기판의 위치에 대응하는 신호들을 임의의 컨트롤러에 보낼 수 있고, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다. 실현될 수 있는 바와 같이, 로봇 지지대의 위치(및 회전의 구동 축과 같은 전달 아암의 알려진 위치)는 알려지고, 기판이 전달됨에 따라 기판의 위치는 센서들(AWCS)에 의해서 정확하게 결정될 수 있으며, 기판의 위치는 임의의 적합한 유지 위치에 위치시키기 위하여 기판의 이송 동안 보정될 수 있다.
[45] 전술된 바와 같이, 적어도 하나의 전달 로봇(104A, 104B)이 (예를 들면, 본 명세서에서 설명된 예시들에서 신축식 부재(430)인) 각각의 로봇 지지대에 위치하고, 적어도 하나의 전달 로봇의 적어도 하나의 전달 아암이 캐리지 및 로봇 지지대에 대하여 회전 가능하도록 구성된다. 일 측면에서, 2 이상의 전달 로봇들(104A, 104B)이 도면들에 도해된 바와 같이 공통의 모바일 베이스 또는 로봇 지지대에 위치할 수 있다. 나란히 평행한 공정 스테이션들(예를 들면, 도 1에서 공정 스테이션들(130T, 130) - 또한 도 2 및 3a-3b를 보라)에 대한 접근 및/또는 말단에 위치한 웨이퍼 입구 로드락들(예를 들면, 로드락들(102A-102D, 202A, 202B))과의 상호작용을 허용하기 위하여 하나 이상의 로봇 드라이브들(501, 502)이 신축식 맴버(430)에 위치될 수 있는 점이 유의된다(예를 들면, 각각의 전달 아암(450, 451)이 각각의 로봇 드라이브를 가진다 - 도 7). 로봇 드라이브들(501, 502)은, 단일 구동 스핀들(spindle), 동축(coaxial) 구동 스핀들(예를 들면, 동축으로 배열된 2개의 구동 샤프트들), 3축 구동 스핀들(예를 들면, 동축으로 배열된 3개의 구동 샤프트들), 또는 동축으로나 나란히 혹은 그 조합에 의해서 배열된 임의의 다른 개수의 스핀들들(예를 들면, 하나 이상의 구동 샤프트들)과 동작하도록 구성된 임의의 적합한 전달 아암(450, 451) 디자인과 결합하도록 구성될 수 있다. 하나 이상의 기판들을 동시에 또는 점진적으로 처리할 수 있는 동축으로 구성된 방식으로 일련의 스핀들 샤프트들과 결합될 수 있는 임의의 아암 연결 메카니즘이 본 메카니즘에 적용될 수 있음은 고려되어야 한다. 아암 연결 메카니즘들의 적합한 예시들은, 예컨대 2009년 8월 25일 발행된 미국 특허번호 제7,578,649호, 1998년 8월 18일 발행된 제5,794,487호, 2011년 5월 24일 발행된 제7,946,800호, 2002년 11월 26일 발행된 제6,485,250호, 2011년 2월 22일 발행된 제7,891,935호, 2013년 4월 16일 발행된 제8,419,341호, 및 2011년 11월 10일 출원된 "Dual Arm Robot" 명칭의 미국 특허출원 일련번호 13/293,717호, 2013년 9월 5일 출원된 "Linear Vacuum Robot with Z Motion and Articulated Arm" 명칭의 일련변호 13/861,693호에서 확인될 수 있으며, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다. 개시된 실시예의 측면들에서, 전달 아암들(450, 451)은, 상위 아암, 밴드-구동 포아암(forearm) 및 밴드-구속된(constrained) 엔드-이펙터를 포함하는 종래의 스카라(SCARA; selective compliant articulated robot arm) 유형의 디자인 또는 신축식 아암이나 임의의 다른 적합한 아암 디자인으로부터 구동될 수 있다. 전달 아암들의 적합한 예시들은, 예를 들면2008년 5월 8일 출원된 "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism" 명칭의 미국 특허출원 일련번호12/117,415호 및 2010년 1월 19일 발행된 미국 특허번호 제7,648,327호에서 확인될 수 있으며, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다. 전달 아암들의 동작은 서로 독립적일 수 있거나(예를 들면, 각각의 아암의 확장/수축은 다른 아암들로부터 독립적이다), 로스트(lost) 운동 스위치를 통해서 동작될 수 있거나, 또는 아암들이 적어도 하나의 공통된 구동 축을 공유하는 그러한 임의의 적합한 방식으로 동작가능하게 연결될 수 있다. 단지 예시적인 목적으로, 전달 아암(450, 451)은, 상위 아암(UA), 포아암(FA) 및 엔드 이펙터나 기판 홀더(EE를 가지는 일반적인 SCARA 아암 구성을 가지는 것으로서 본 명세서에서 설명된다)(도 7을 보라). 다른 측면들에서 SCARA 아암(들)은, 하나의 링크, 2개의 링크들 또는 3개 이상의 링크들을 가질 수 있고, 2:1숄더 풀리(shoulder pulley) 대 엘보 풀리(elbow pulley) 배열 및 1:2 엘보 풀리 대 리스트 풀리(wrist pulley) 배열과 같은 임의의 적합한 구동 풀리(pulley) 배열을 가질 수 있다. 또 다른 측면들에서 전달 아암들은, 프로그-레그(frog-leg) 아암(195)(도 1j) 구성, ? 프로그(leap frog) 아암(193)(도 1l) 구성, 좌우대칭형 아암(194)(도 1m) 구성, 신축적 아암(196)(도 1k) 구성, 좌우대칭형 구성 등과 같은 임의의 다른 바람직한 배열을 가질 수 있다. 전달 아암들의 적합한 예시들은, 1993년 1월 19일 발행된 미국 특허번호 제6,231,297호, 2002년 10월 15일 발행된 제6,464,448호, 2001년 5월 1일 발행된 제6,224,319호, 1995년 9월 5일 발행된 제5,447,409호, 2009년 8월 25일 발행된 제7,578,649호, 1998년 8월 18일 발행된 제5,794,487호, 2011년 5월 24일 발행된 7,946,800호, 2002년 11월 26일 발행된 제6,485,250호, 2011년 2월 22일 발행된 제7,891,935호와, 2011년 11월 10일 출원된 "Dual Arm Robot" 미국 특허출원 일련번호 제13/293,717호 및 2011년 10월 11일 출원된 "Coaxial Drive Vacuum Robot" 명칭의 제13/270,844호에서 확인될 수 있으며, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다.
[46] 개시된 실시예의 일측면에서 로봇 드라이브(501, 502)는, 도 4a에서 확인될 수 있는 다른 드라이브(501, 502)보다 높은 높이에서 신축식 부재(430)에 위치될 수 있고(예를 들면, 다른 드라이브(들) 위에 미리 정해진 높이 H1로 위치될 수 있다), 전달 아암들(450, 451)(및 그것들 각각의 엔드 이펙터들)을 상이한 높이들에 위치시킬 수도 있다. 로봇 드라이브들(501, 502)(및 그것들 각각의 아암들(450, 451))을 상이한 높이들에 위치시키는 것은 전달 아암들(450, 451)이, 예를 들면 도 5에 도시된 바와 같이 이송 챔버(125)의 엔드(100E1, 100E2)에 위치한 로드 락들(102A, 102B)에 접근하는 것을 가능하게 할 수 있다. 예를 들면 도 5에 도시된 바와 같이, 로봇 드라이브들(501, 502)은 신축식 부재(430)의 세로방향 중심선(CLC)을 따라 세로방향으로 줄지어 배열될 수 있다. 다른 측면들에서 드라이브들(501, 502)(및 그것들 각각의 전달 아암들(450, 451))은 (아암들에 의해서 이동되는 기판들이 실질적으로 동일한 평면에서 전달되도록) 동일한 높이에 위치될 수 있다. 본 측면에서 전달 아암들(450, 451)의 운동은, 예를 들면 각각의 아암이 공통 혹은 상이한 기판 유지 위치들로부터나 그 위치들에 기판들을 집어 들거나 놓아두는 것을 허용하기 위하여 하나의 이송 아암(450, 451)이 다른 전달 아암(450, 451) 옆을 지나가는 것을 허용하는 컨트롤러(110)에 의해서 제어될 수 있다(예를 들면, 본 명세서에서 설명되고 개시된 실시예들의 측면들에서 적합한 인터록(interlock)들은, 아암들이 공통 구동축 또는 분리된 구동 축들에 위치하는지 여부와 무관하게 하나의 아암이 다른 아암을 방해하는 것을 방지하기 위하여 기계적으로나 컨트롤러를 통해서 제공된다). 예를 들면, 전달 아암(450)과 같은 하나의 전달 아암은 기판 유지 위치로부터 기판을 집어들 수 있고, 기판을 그 기판의 유지 위치에 놓아두기 위하여 전달 아암들(451) 중 다른 하나를 아암(450) 주변에 도달하게 하는 위치에 전달 아암(450)을 이동시키도록 제어될 수 있다. 실현될 수 있는 바와 같이, 아암(451)은 아암(450)이 기판 유지 위치에 접근하는 것을 허용하기 위하여 유사하게 이동될 수 있다. 수평으로 평면이고 그리고/또는 수직으로 오프셋 전달 평면들을 따라 다양한 기판 유지 스테이션들(예를 들면, 로드락들, 로드 포트들, 공정 스테이션들, 등)에 접근하는 것에 관한 이펙터들 및 슬롯 밸브들(SV) 사이에서의 상대적인 위치설정(positioning)은 이하에서 매우 상세하게 설명될 것이다.
[47] 실현될 수 있는 바와 같이, 다른 측면들에서 전달 아암들(450, 451)은, 예를 들면 다른 전달 아암이 이송 챔버(125)의 다른 엔드(100E1)에서 기판들을 전달하는 동안, 하나의 전달 아암이 이송 챔버(125)의 엔드(100E1)에서 기판들을 전달하도록 컨트롤러(110)에 의해서 제어될 수 있다. 예를 들면, 도 5를 참조하면, 하나의 측면에서 이송 아암(450)은 로드락들(102A, 102B)로 확장하는 것이 가능하지 아니할 수 있다. 여기서 컨트롤러(110)는 로드락들(102A, 102B)에 위치될 임의의 기판이 전달 아암(451)(예를 들면, 엔드(100E1)에 가장 가까운 전달 아암)에 의해서 (공정 스테이션들(130S, 130T)과 같은) 기판 유지 스테이션으로부터 제거되도록 적합하게 프로그램될 수 있다. 유사하게, 이송 챔버(125)의 엔드(100E2)에 위치하는 기판 유지 스테이션들에서 기판들의 배치(placement)(또한 도 2를 보라)는 전달 아암(450)(예를 들면, 엔드(100E2)에 가장 가까운 전달 아암)에 의해서 공정 스테이션들로부터 제거될 수 있다.
[48] 일 측면에서 각각의 로봇 드라이브(501, 502)는, 도 6에 도시된 바와 같이 신축식 부재(430)에 대하여 세로방향으로 그리고 가로방향으로 (예를 들면, X 및 Y 방향들에서) 정지하거나 고정되도록 신축식 부재(430)에 고정되게 장착될 수 있다. 실현될 수 있는 바와 같이, 로봇 드라이브들(501, 502) (각각의 전달 아암(450, 451)의 확장 및 수축의 축들과 일치할 수 있는 회전 축들) 사이 간격(SPR)은 공정 스테이션들(130), 로드 포트들(105) 및/또는 로드락들(140) 사이 간격(SPHS)과 실질적으로 동일할 수 있다. 실현될 수 있는 바와 같이, 전달 아암들(450, 451)이 도 1 및 5에 도시된 바와 같이 이송 챔버(125)의 엔드에 위치된 로드락들(102A, 102B)(또는 유사하게 배열된 로드 포트들)에 접근해야 할 때, 아암들(450) 중 하나의 길이나 도달길이(reach)는 로드 락들(102A, 102B)(또는 유사하게 배열된 로드 포트들)을 접근하기 위해 아암(450)이 아암(451) 주변에 도달하는 것을 허용하도록 다른 아암(451)의 길이나 도달 길이보다 클 수 있는 점이 유의된다. 아암들의 길이들은, 일 측면에서 실질적으로 모두 유사한 길이를 가지나, 다른 측면들에서 동일하지 아니한 길이들을 가지는 아암 링크들과 같은, 하나 이상의 아암 링크들(UA, FA, EE) 의 길이를 증가시킴으로써 달성될 수 있다.
[49] 다른 측면들에서, 전달 아암들(450, 451)의 길이 및 도달길이는, 적어도 하나의 로봇 드라이브들(501, 502)이 로봇 드라이브들(501, 502) 중 다른 하나 및 신축식 부재(430)에 대하여 이동가능할 때, 실질적으로 유사할 수 있다. 또 다른 측면들에서, 전달 아암들(450, 451)의 길이들은, 적어도 하나의 로봇 드라이브들(501, 502)이 로봇 드라이브들(501, 502) 중 다른 하나 및 신축식 부재(430)에 대하여 이동가능할 때, 상이할 수 있다. 도 7 및 7b를 참조하면, 본 예시에서 로봇 드라이브(502)인 로봇 드라이브들 중 하나는 신축식 부재(430)에 고정되게 장착된다. 여기서 신축식 부재(430)는, 슬라이드(slide) 부재가 신축식 부재(430)에 대하여 일 방항(199)으로 (예를 들면, 이송 챔버(125)의 길이를 따라 세로방향으로) 이동하도록 구동 모터(471'')(도 4k)에 의해서 구동될 때, 임의의 적합한 방식으로 신축식 부재(430)에 이동가능하게 장착된 슬라이드 부재(700)를 포함할 수 있다. 다른 측면들에서 슬라이드 부재(700)는 일 방향(199)을 횡단하여 이동하도록 구성될 수도 있다. 일 측면에서, 슬라이드 부재(700) 및 신축식 부재(430) 사이 이동가능한 결합은 신축식 부재들(430, 420) 및 베이스 부재(410)에 대하여 전술된 것과 실질적으로 유사할 수 있다. 다른 로봇 드라이브(501)는, 슬라이드 부재(700)가 이동함에 따라 로봇 드라이브(501)가 로봇 드라이브(502)에 대하여 드라이브들 사이 간격(SPR)(도 6)을 변경하면서 이동하도록, 슬라이드 부재(700)에 고정되게 장착될 수 있다. 다른 측면에서, 또한 도 4k를 참조하면, 로봇 드라이브들(501, 502) (및 그에 따라 그것들에 달려있는 대응하는 전달 아암들(450, 451)) 양자는 텔레스코핑 부재(430) 및 서로에 대하여 (예를 들면, 화살표(199A, 199B) 방향으로) 독립적으로 이동가능할 수 있다. 예를 들면, 로봇 드라이브(502)는, 전술된 슬라이드 부재(700)와 실질적으로 유사할 수 있는 슬라이드 부재(700')에 의해서 신축식 부재(430)에 장착될 수도 있다. 슬라이드 부재(700')는 신축식 부재(430)에 대하여 일 방향(199) (예를 들면, 이송 챔버(125)의 길이를 따라 세로방향으로) 이동하도록 구동 모터(471'')에 의해서 구동될 수 있다. 실현될 수 있는 바와 같이, 신축식 부재(430) 및 서로에 대하여 각각의 로봇 드라이브(501, 502)의 독립적인 선형 이동은 신축식 시스템에 대한 위치 및 드라이브들(501, 502) (및 각각의 전달 아암들(450, 451)) 사이 간격을 변경하는 것을 가능하게 한다. 로봇 드라이브들(501, 502) 중 각각의 독립적인 선형 이동은 상이한 이송 속도들에서 화살표(199) 방향으로 각각의 전달 아암들(450, 451) 중 각각의 횡단(traversal)을 가능하게도 한다. 예를 들면, 드라이브들(471, 471', 471'', 471''')은 (일치하는 운동으로 지칭될 수 있는, 챔버를 따라 최대 선형 횡단을 위한 그러한) 동일한 방향으로 또는 (교차하는 운동 또는 반대 운동으로 지칭될 수 있는, 적어도 하나의 신축식 부재 또는 신축식 시스템의 캐리지나 아암/드라이브가 다른 신축식 부재 또는 캐리지나 아암/드라이브와 반대의 선형 방향으로 이동하도록 하는) 반대 방향들로 그것들의 각각의 신축식 부재들/로봇 드라이브들을 구동할 수 있다. 일 측면에서, 아암(451)이 화살표(199B)의 방향으로 전달 아암(450) 쪽으로 또는 그 반대로 이동하는 동안, 드라이브(471'')는 화살표(199A) 방향으로 로봇 드라이브(501)를 이동시킬 수 있는 한편, 드라이브들(471, 471', 471''') 중 하나 이상은 전달 아암(450)이 화살표(199)의 방향으로 실질적으로 정지된 채로 유지되도록 화살표(199B) 방향으로 드라이브들(471, 471', 471''')의 각각의 신축식 부재/로봇 드라이브를 이동시킨다.
[50] 실현될 수 있는 바와 같이, 일 측면에서 슬라이드 부재들(700, 700') 중 하나 이상으로 드라이브들 사이 간격(SP1)을 변경하는 것은, 전달 아암들(450, 451)의 피벗(pivot)이나 숄더(shoulder) 축들이 함께 가까이 이동하게 하고, 도 6에 관하여 전술된 고정된 간격(SPR)을 가지는 로봇 드라이브들과 비교할 때 전달 아암들(450, 451)의 길이나 도달거리를 실질적으로 동일하게 하거나 아니면 전달 아암들 사이 도달거리나 길이의 차이를 감소시키는 것을 허용한다. 다른 측면에서 슬라이드 부재들(700, 700') 중 하나 이상으로 드라이브들 사이 간격(SP1)을 변경하는 것은, 전달 아암들 사이 간격(SP1)이 임의의 인접한 공정 모듈들(130T) 사이와의 간격(SP2)와 실질적으로 매칭(matching)되기 위하여 조절될 수 있도록, 전달 아암들(450, 451)의 피벗이나 숄더 축들이 함께 가까이 이동하도록 한다(도 1을 보라). 또한, 전달 아암들의 숄더 축들을 함께 가까이 이동하게 하는 것은, 아암들이 공통의 기판 스테이션에 접근하는 것을 허용할 수 있고, 실질적으로 신축식 부재(430)의 이동 없이 기판들의 고속 교환(swapping)을 허용할 수 있다. 실현될 수 있는 바와 같이, 슬라이드 부재(700)는, 슬라이드 부재(700)가 일 방향(199)로 이동함에 따라, 이송 챔버(125)의 엔드들(100E1, 100E2) 중 하나에서 로드락들(102A, 102B) (또는 유사하게 배열된 로드 포트들(105))에 접근하는 것을 허용할 수 있는 신축식 부재(430)의 길이(LX)가 변경되도록, 도 7a에 도시된 바와 같이 신축식 부재(430)로부터 캔틸레버(cantilever)처럼 튀어나올 수 있다.
[51] 도 4l 및 4m을 참조하면, 개시된 실시예의 일 측면에 따라, 전달 아암들(450, 451)은 (전술된 바와 대체로 유사한 방식으로) 서로에 대하여 독립적으로 선형으로 이동가능할 수 있다. 본 측면에서 베이스 부재(410')는 드라이브(401)에 장착된다. 하나 이상의 신축식 부재들이나 캐리지들(420', 420'')은 베이스 부재(410')에 이동가능하게 결합되거나 장착된다(각각의 캐리지는 다른 캐리지와 독립적이다). 전달 아암들(450, 451)은, 각각의 신축식 부재(420', 420'')에 이동가능하게 결합되거나 장착된, 신축식 부재들이나 캐리지들(430', 430'')의 각각의 아암 드라이브에 장착된다. 본 측면에서, 신축식 부재들(420', 420'', 430', 430'')은, 예를 들면 선형 모터와 같은 직접 구동 모터에 의해서 구동될 수 있다. 예를 들면, 임의의 적합한 선형 모터들(480, 480')이 각각의 신축식 부재(420', 420'')를 구동하기 위하여 베이스 부재(410')에 장착될 수 있다(예를 들면, 모터의 권선들은 베이스 부재 상에 배치될 수 있고, 이동가능한 플래튼(platen)이나 구동되는 부재는 텔레스코핑 부재 상에 또는 그 반대로 배치될 수 있다). 임의의 적합한 선형 모터들(481, 481')이 각각의 신축식 부재(430', 430'')를 구동하기 위하여 각각의 신축식 부재(420', 420'')에 장착될 수 있다(예를 들면, 모터의 권선들은 신축식 부재(420', 420'') 상에 배치될 수 있고, 이동가능한 플래튼이나 구동되는 부재는 신축식 부재(430', 430'') 상에 또는 그 반대로 배치될 수 있다). 다른 측면들에서, 도 4c에 대하여 전술된 바와 같은 회전형(rotary) 모터가, 화살표(199) 방향으로 신축식 부재들을 이동시키기 위하여 베이스 부재(410') 및 신축식 부재들(420', 420'', 430', 430'') 중 하나 이상에 배치될 수 있다. 임의의 적합한 인코더들(483)이 신축식 부재들(420', 420'', 430', 430'') 중 각각의 위치를 감지하거나 혹은 추적하기 위하여 제공될 수 있다. 실현될 수 있는 바와 같이, 신축식 부재(430', 430'')는 각각의 전달 아암들(450, 451)의 아암 링크(들) 및 엔드 이펙터(들)을 구동하기 위하여 임의의 적합한 개수의 드라이브들(484)을 포함할 수 있다. 본 측면에서, 전달 아암들(450, 451) 및 신축식 부재들(420', 420'') 중 각각의 서로에 대한 그리고 베이스 부재(410')에 대한 독립적인 선형 이동은, 임의의 이동 속도에서 2차 링크 부재에 대하여 화살표(199) 방향으로 전달 아암들(450, 451)의 이동을 허용한다. 예를 들면, 본 측면에서 선형 신축식 시스템은 하나 이상의 상치(resident) 아암들을 각각 가지는 2개 이상의 독립적인 선형 신축식 부분들, 및 (비제한적으로) 화살표(199) 방향으로 2이상의 선형 자유도들을 가지는 독립적인 선형 신축식 부분을 가질 수 있다. 각각의 독립적인 선형 신축식 부분에 상치된 아암들은 적어도 2개의 자유도를 가질 수 있다(예를 들면, 회전 및 확장을 위한 2개의 구동 축들이 고속 교환(swap) 자유도를 제공할 수 있다). 일 측면에서 전달 아암들(450, 451)은 공통의 Z 축 이동 드라이브를 가질 수 있으나, 다른 측면들에서 전달 아암들(410, 451) 중 하나 이상은 Z 방향으로 독립적으로 이동가능할 수 있다. 예를 들면, 베이스 부재(410')는, (각 신축식 부재(420', 420'')에 결합된) 로봇 아암(450, 4501)이 각각의 신축식 부재(420', 420'') 내에서 이동 범위까지 각각의 신축식 부재(420', 420'')를 따라서 이동함에 따라 고정된 채로 유지될 수 있다. 이는 각각의 신축식 부재(420', 420'')의 이동 없이 화살표(199) 방향으로 전달 아암들(450, 451)의 이동을 허용할 수 있다.
[52] 도 8을 참조하면, 로봇 드라이브(800)의 일부분에 대한 개략적인 도해가 도시된다. 로봇 드라이브는 이송 챔버의 진공 환경과 같은 임의의 적합한 환경에 채용될 수 있다. 로봇 드라이브는 전술된 드라이브들(501, 502)과 실질적으로 유사할 수 있고, 적어도 부분적으로 그 내부에 배치된 적어도 하나의 구동 샤프트(810, 811)를 가지는 구동 하우징(800H)을 포함할 수 있다. 일 측면에서 로봇 드라이브 하우징(800H)은, 로봇 드라이브의 전기적으로 구동되는 구성요소들이 이송 챔버(125) 내에서 환경으로부터 격리(isolation)되거나 혹은 밀봉(seal)되도록 구성된 밀봉된 하우징일 수 있다. 예를 들면, 고정자(stator)들(800S1, 800S2)이 하나 이상의 밀봉된 챔버들(820)에 위치할 수 있다. 밀봉된 챔버들은 적어도 임의의 적합한 (하우징(800H)의 적어도 일부를 형성할 수 있는) 하우징 부재들(820H) 및 장벽(barrier)이나 씨일(seal)(830)로부터 형성될 수 있다. 하우징 부재들(820H)은 하우징(800H)로서 완전하게(integrally) 형성될 수도 있고, 또는 하우징 맴버들(820H)은 하우징(800H)을 형성하기 위하여 프레임에 결합될 수도 있다. 실현될 수 있는 바와 같이, 임의의 적합한 씨일들(820S)이, 드라이브가 동작하는 외부 환경으로부터 로봇 드라이브의 전기적으로 구동되는 구성요소들을 밀봉하기 위하여 하우징 부재들(820H) 및/또는 하우징(800H) 사이에 제공될 수 있다. 장벽(830)은, 회전자(rotor)들이 외부 환경 내에서 동작하고 (로봇 드라이브 및/또는 센서들의 이동 부분들 및 로봇 드라이브 및/또는 센서들의 대응하는 정지 부분들 사이에 배치될 수 있는) 장벽(830)을 통과하여 고정자들에 의해 구동되도록, 고정자들(800S1, 800S2) 및 그것들의 각각의 회전자들(800R1, 800R2) 사이에 위치할 수 있다. 본 예시에서, 드라이브(800)는 2 축 드라이브이고, 다른 측면들에서 드라이버는 임의의 적합한 개수의 축들을 가질 수 있다.
[53] 구동 샤프트들(810, 811)은 임의의 적합한 방식으로 하우징(800H) 내에서 기계적으로 부유되거나(suspended) 자기적으로 부유될 수 있다. 본 측면에서 구동 샤프트들(810, 811)은 임의의 적합한 베어링들(800B)에 의해서 하우징 내에서 부유되고, 다른 측면들에서 구동 샤프트는 2012년 10월 9일 발행된 "Robot Drive with Magnetic Spindle Bearings" 명칭의 미국 특허번호 제8,283,813호에 설명된 바와 실질적으로 유사한 방식으로 자기적으로 부유될 수 있고(예를 들면, 자가-베어링 구동), 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다. 드라이브(800)의 각각의 드라이브 샤프트(810, 811)는, 각각의 모터가 고정자(800S1, 800S2) 및 회전자(800R1, 800R2)를 포함할 때, 각각의 모터(800R1, 800R2)에 의해서 구동될 수 있다. 본 명세서에서 설명된 구동 모터들은 영구 자석 모터들, (대응하는 코일 유닛들을 갖는 적어도 하나의 철 극(salient pole) 및 투자율(magnetic permeable) 물질의 적어도 하나의 철 극을 갖는 적어도 하나의 각각의 회전자를 가지는) 자기 가변 자기저항(reluctance) 모터들, 또는 임의의 다른 적합한 구동 모터들일 수 있는 점이 유의된다. 고정자(들)(800S1, 800S2)은 전술된 하우징 내에 고정될 수 있고, 회전자(들)(800R1, 800R2)은 각각의 구동 샤프트(810, 811)에 임의의 적합한 방식으로 고정될 수 있다. 일 측면에서, 전술된 바와 같이, 고정자들(800S1, 800S2)은, 벽(wall) 또는 장벽(barrier)(830)의 채용을 통해서 로봇 아암(들)(450, 451)이 동작하는 대기로부터 밀봉된 환경에 위치될 수 있는 한편(로봇 아암(들)이 동작하는 대기는 본 명세서에서 진공이나 임의의 다른 적합한 환경이 될 수 있는 "밀봉된(sealed)" 환경으로서 지칭된다), 회전자들(800R1, 800R2)은 1998년 2월 24일 발행된 미국 특허번호 제5,720,590호, 1000년 5월 4일 발행된 제5,899,658호 및 1998년 9월 29일 발행된 제5,813,823호에 설명된 바와 실질적으로 유사한 방식으로 밀봉된 환경 내에 위치하고, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다.
[54] 도 8을 다시 참조하면, 본 측면에서 모터들(800M1, 800M2)은 (예를 들면, 일렬로 그리고 하나 위에 혹은 다른 것에 앞서서 배열되는) 적층된 배열로서 도시된다. 그러나, 모터들(800M1, 800M2)은 도 9에 도시된 바와 같이 나란하거나 동심원형으로 배열되는 것과 같은 임의의 적합한 재열을 가질 수 있다. 예를 들면, 일 측면에서, 모터들이 2011년 8월 30일 발행된 "Substrate Processing Apparatus with Motors Integral to Chamber Walls" 명칭의 미국 특허번호 제8,008,884호 및 2012년 10월 9일 발행된 "Robot Drive with Magnetic Spindle Bearings" 명칭의 제8,283,813호에 설명된 바와 실질적으로 유사한 방식으로 서로 동심원형으로 안착될(nested) 때, 모터들은 낮은 프로파일(profile)의 평면이거나 "팬케이크(pancake)" 유형의 로봇 드라이브 구성일 수 있고, 그 개시내용들은 본 명세서에서 전체로서 참조되어 통합된다.
[55] 본 명세서에서 설명된 드라이브들은, 예를 들면 반도체 웨이퍼들, 평면(flat panel) 디스플레이들, 태양 전지판들(solar panels), 레티클들(reticles) 또는 임의의 다른 적합한 탑재물을 이송하도록 구성된 (전술된 바와 같은) 전달 아암의 임의의 적합한 유형을 운반할 수 있는 점이 유의된다. 본 명세서에서 설명된 드라이브들은 또한 임의의 적합한 개수의 전달 아암들을 운반할 수 있는 점이 유의된다. 각각의 드라이브는 그것에 장착된 상이하거나 동일한 개수의 전달 아암들을 가질 수 있는 점이 (도 1에 도시된 바와 같이) 유의된다. 예를 들면, 일 측면에서, 엔드 이펙터가 전달 아암의 확장 및 수축하는 축을 따라서 움직이고 실질적으로 평행하게 유지하기 위하여 종속되도록(다른 측면들에서 임의의 적합한 종속된 구동 배열이 채용될 수 있다), 상위 아암이, 예컨대 구동 샤프트(811)에 의해서 구동되고 포아암이 구동 샤프트(810)에 의해서 구동되도록, 도 8에 도시된 드라이브는 단일 전달 아암을 구동하도록 구성될 수 있다(예를 들면, 도 1에서 전달 로봇(104A)을 보라). 다른 측면들에서, 도 9에서 확인할 수 있는 바와 같이(또한 도 1에서 전달 로봇(104)을 보라), 신축식 부재(430)에 장착된, 드라이브(900D)와 같은 하나 이상의 드라이브들 중 각각은, 2011년 2월 22일 발행된 미국 특허번호 제7,891,935호에 설명된 바와 실질적으로 유사한 방식으로 2개 이상의 전달 아암들(904A, 904B)을 (예를 들면, 확장 및 회전에서) 독립적으로 구동하거나 연대하여(jointly) 구동하도록 구성될 수 있고(예를 들면, 회전 및 확장 중 하나 이상이 결합된다), 그 개시내용들은 본 명세서에 전체로서 참조되어 통합된다.
[56] 도 9를 참조하면, 4-축 구동 시스템이 도시된다. 여기서 로봇 어셈블리(900)의 공통 구동 스핀들에 실장된 두 개의 전달 아암들(904A, 904B)이 독립적으로 동작할 수 있다. 실현될 수 있는 바와 같이, 컨트롤러(110)는, 이송 챔버(125)의 측면들 및/또는 단부들에 위치한 기판 유지 스테이션들로, 그리고 기판 유지 스테이션들로부터 기판들을 집고 놓을 때, 하나의 아암의 동작이 다른 아암의 동작을 방해하지 않도록 각각의 아암을 회전하도록 구성된 임의의 적합한 인터록들(기계적 인터록들 또한 채용될 수 있다)을 포함할 수 있다. 이러한 맥락에서는, 용어 "4-축"은 극성 R-θ 좌표들에 의해 설명되는 평면 내에서 상기 아암들의 사지 운동(motion of the limbs)을 가능하게 하는 회전 조인트/링크 쌍들(revolute joint/link pairs)의 시스템을 가리키는 것으로 이해될 수 있을 것이다. 상기 아암의 수직 변위의 메카니즘은 용어 "4-축" 내에 포함되지 않는다. 따라서, 자유도(degree of freedom)의 수는(4-축으로 설명된) 로봇의 매티퓰레이터 전체를 고려한 것이 아니고, 오히려 전달 아암들만을 고려한 것이다.
[57] 이러한 태양에서, 전달 아암들은 회전 조인트들(T1, T6)에 대하여 독립적으로 회전 가능하고, 개별적인 전달 아암들의 회전은 상기 매니퓰레이터의 최종 링크인 엔드 이펙터 마운팅 플랜지(end effector mounting flange)의 θ 좌표 내의 변화이다. T1 및 T6 조인트들의 동축 위치의 결과, 회전은 공통축(22)에 대하여 발생한다. 또한, 엔드 이펙터 마운팅 플랜지들(E1, E2)은 이너 링크들(L1, L3), 아우터 링크들(L2, L4)(예를 들어 상부 아암들), 및 로터리 조인트들(T1 내지 T6)에 의해 정의되는 링키지(linkage)를 통해, 상기 엔드 이펙터를 따라 그려지고 및 공통축(22)을 향해 전사된(projected) 중심선을 따라 개별적으로 확장될 수 있고, 수축될 수 있다. 두 개의 액츄에이터 어셈블리들(actuator assemblies)이 각각의 아암에 이러한 확장/수축 및 회전 운동들을 가능하게 하기 위하여 제공된다. 네 개의 액츄에이터들은 임의의 적합한 하우징(전술한 하우징(800H)과 같은) 내에 수용되고, 신축성 부재(430)에 장착되어 동축으로 위치하는 섀프트들(34, 44, 54, 64)을 통해 전달 아암들(904A, 904B)에 연결된다. 두 개의 액츄에이터들은 이너 링크들(L1, L3)의 하우징들에 연결되는 한편, 다른 두 개의 액츄에이터들은 이너 링크들(L1, L3)의 조인트들(T1, T6) 내에 위치한 도르래들에 연결된다. 특히 모터들(M1, M2, M3, M4)과 같이 구현될 때의 링키지들 및 액츄에이터 어셈블리들의 운동이 아래에서 더욱 논의된다.
[58] 이러한 태양에서, 엔드 이펙터 마운팅 플랜지들(E1, E2)의 운동이 일련의 벨트들 및 풀리들을 병합한 이너 및 아우터 링크들의 조작에 의해 생성된다. 도시된 것과 같이, 이너 링크(L1)가 숄더 로터리 조인트(T1)를 통해 신축성 부재(430)에 연결된다. 아우터 링크(L2)는 엘보 로터리 조인트(T2)를 통해 이너 링크(L1)에 연결된다. 엔드 이펙터 마운팅 플랜지(E1)는 리스트(wrist) 로터리 조인트(T3)을 통해 아우터 링크(L2)에 연결된다. 상기 매니퓰레이터의 이러한 부분의 링크들 및 조인트들은 일 단부에서 열려있고 다른 단부에서 신축성 부재(430)에 연결되는 운동학적 체인(kinematic chain)을 형성한다. 상기 도식의 일부분이 아니고 도시되지 않은 상기 엔드 이펙터는 상기 엔드 이펙터 마운팅 플랜지(E1)에 연결된다.
[59] 전달 아암(904B)을 참조하면, 풀리(d1)가 숄더 로터리 조인트(T1)에 제공되고, 풀리(d2)가 엘보 로터리 조인트(T2)에 제공된다. 이너 링크(L1)를 따라 확장되는 벨트(t1)가 풀리들(d1, d2)에 연결된다. 물리적으로 이너 링크(L1) 내에 위치하는 풀리(d2)는 상기 링크(L2)에 장착되고, 엘보 로터리 조인트(T2)의 일부분으로서 전술한 링크(L1)의 조인트 축에 대하여 상기 링크(L2)의 회전을 가능하게 한다. 풀리(d3)는 또한 엘보 조인트(T2)에 제공되고, 풀리(d4)는 리스트 로터리 조인트(T3)에 제공된다. 물리적으로 상기 링크(L2) 내에 위치하는 풀리(d3)는 상기 링크(L1)에 부착되고, 상기 링크(L2)의 엘보 조인트(T2)가 그 주위로 회전하는 상기 축의 일부분이다. 물리적으로 상기 링크(L2) 내에 위치하는 풀리(d4)는 엔드 이펙터 마운팅 플랜지(E1)에 부착되고, 리스트 조인트(T3)의 일부분으로서 전술한 링크(L2)의 조인트 축에 대한 엔드 이펙터 마운팅 플랜지(E1)의 회전을 가능하게 한다. 벨트(t2)는 풀리들(d3, d4)에 연결된다. 상기 링크(L2)의 엘보 조인트(T2)가 그 주위로 회전하는 상기 축에서 상기 링크(L1)에 고정된 풀리(d3)는, 상기 링크(L1)의 숄더 조인트(T1)가 공통축(22) 주위로 회전할 때 상기 링크(L1)의 상기 하우징과 함께 움직인다. 상기 링크(L1)가 회전될 때, 풀리(d2)는 또한 상기 링크(L1)와 함께 움직이도록 구속되고(constrained), 이는 풀리(d2)가 유성 기어 박스(planetary gear box)의 위성 기어(satellite gear)의 운동과 유사한 방식으로 움직이는 것을 유발한다. 풀리(d2)는, 엘보 조인트(T2)를 통해 이너 링크(L1)의 말단축(distal axis)에 부착되기 때문에, 숄더 조인트(T1)의 공통축(22) 주위를 회전한다. 엘보 조인트(T2)의 일부분으로서, 풀리(d2)는 또한 전술한 링크(L1)의 상기 말단축에 대하여 회전한다. 이러한 회전은, 풀리(d2)가 트리밍 벨트, 체인 또는 케이블과 같은 벨트(t1)를 통하여 풀리(d1)에 연결되는 결과로서 발생한다.
[60] 풀리들(d1, d2)의 직경들 사이의 비율은, 상기 링크(L1)에 연결된 액츄에이터 입력(예를 들어 모터(M1)) 및 풀리(d1)에 연결된 상기 액츄에이터 입력(예를 들어 모터(M2))에 주어진 각 변위의 양에 따라, 풀리(d2)의 상대 각 변위(relative angular displacement)에 영향을 준다. 숄더 조인트(T1)의 상기 축(22)과 동축으로 위치하는 극축(polar axis)에 기초한 극 좌표 시스템으로의, 엘보 조인트(T2)(풀리(d2)가 그 일부분인)의 축의 위치 및 방향의 완전한 설명은 상기 링크(L1)의 길이, 풀리(d1)(모터(M2)를 통한) 및 상기 링크(L1)(모터(M1)를 통한)에 대한 입력 각 변위 값들, 및 풀리 직경 비율(d1/d2)에 의존한다. 따라서, 엘보 조인트(T2)에 부착된 후속 링크(L2)의 중심단부(proximal end)의 R-θ 좌표들과 T2 조인트 회전 축 주위의 상기 링크(L2)의 방향이 정의된다. 리스트 조인트(T3)의 회전 축을 포함하는 상기 링크(L2)의 상기 말단부의 R-θ 좌표들은 상기 링크(L2)의 길이에 의존한다.
[61] R-θ 좌표 시스템에서의 상기 엔드 이펙터 마운팅 플랜지의 중심 단부, 리스트 조인트(T3)에 부착된 링크(E1),의 위치 및 T3 조인트 회전축 주위의 E1의 방향은 다음 조건들에 의존한다: 상기 링크(L1)에 대한(모터(M1)를 통한) 각 입력 값, 풀리(d2)에 대한(모터(M2)를 통한) 각 입력 값, 상기 링크(L1)의 길이, 풀리 직경 비율(d1/d2), 상기 링크(L2)의 길이, 및 풀리 직경 비율(d3/d4).
[62] 다른 전달 아암(904A)은 유사하다. 따라서, 이너 링크(L3)가 숄더 로터리 조인트(T6)를 통해 신축성 부재(430)에 연결된다. 아우터 링크(L4)는 엘보 로터리 조인트(T5)를 통해 이너 링크(L3)에 연결된다. 엔드 이펙터 마운팅 플랜지(E1)가 리스트 로터리 조인트(T5)를 통해 아우터 링크(L4)에 연결된다. 상기 매니퓰레이터의 이러한 부분의 상기 링크들 및 조인트들은 일 단부에서 열려있고 다른 단부에서 신축성 부재(430)에 연결되는 운동학적 체인을 형성한다. 아우터 링크(L4)는 리스트 로터리 조인트(T5)를 통해 엔드 이펙터 마운팅 플랜지(E2)에 결합된다.
[63] 풀리(d5)가 숄더 로터리 조인트(T6)에 제공되고, 풀리(d6)가 엘보 로터리 조인트(T4)에 제공된다. 이너 링크(L3)를 따라 확장되는 벨트(t3)가 풀리들(d5, d6)에 연결된다. 물리적으로 이너 링크(L3) 내에 위치하는 풀리(d6)는 상기 링크(L4)에 장착되고, 엘보 조인트(T4)의 일부분으로서 전술한 링크(L3)의 조인트 축에 대하여 상기 링크(L4)의 회전을 가능하게 한다. 풀리(d7)는 또한 엘보 조인트(T4)에 제공되고, 풀리(d8)는 리스트 로터리 조인트(T5)에 제공된다. 물리적으로 상기 링크(L4) 내에 위치하는 풀리(d7)는 상기 링크(L3)에 부착되고, 상기 링크(L4)의 엘보 조인트(T4)가 그 주위로 회전하는 상기 축의 일부분이다. 물리적으로 상기 링크(L4) 내에 위치하는 풀리(d8)는 엔드 이펙터 마운팅 플랜지(E2)에 부착되고, 리스트 조인트(T5)의 일부분으로서 전술한 링크(L4)의 조인트 축에 대한 엔드 이펙터 마운팅 플랜지(E2)의 회전을 가능하게 한다. 벨트(t4)는 풀리들(d7, d8)에 연결된다. 상기 링크(L4)의 엘보 조인트(T4)가 그 주위로 회전하는 상기 축에서 상기 링크(L3)에 고정된 풀리(d7)는, 상기 링크(L3)의 숄더 조인트(T6)가 공통축(22) 주위로 회전될 때 상기 링크(L3)의 상기 하우징과 함께 움직인다. 상기 링크(L3)가 회전될 때, 풀리(d6)는 또한 상기 링크(L3)와 함께 움직이도록 구속되고, 이는 풀리(d6)가 유성 기어 박스의 위성 기어의 운동과 유사한 방식으로 움직이는 것을 유발한다. 풀리(d6)는, 엘보 조인트(T4)를 통해 이너 링크(L1)의 말단축에 부착되기 때문에, 숄더 조인트(T6)의 공통축(22) 주위를 회전한다. 엘보 조인트(T4)의 일부분으로서, 풀리(d6)는 또한 전술한 링크(L3)의 상기 말단축에 대하여 회전한다. 이러한 회전은, 풀리(d6)가 트리밍 벨트, 체인 또는 케이블 작업들과 같은 벨트(t3)를 통하여 풀리(d5)에 연결되는 결과로서 발생한다.
[64] 풀리들(d5, d6)의 직경들 사이의 비율은, 상기 링크(L3)에 연결된 액츄에이터 입력(예를 들어 모터(M3)) 및 풀리(d5)에 연결된 상기 액츄에이터 입력(예를 들어 모터(M4))에 주어진 각 변위의 양에 따라, 풀리(d6)의 상대 각 변위에 영향을 준다. 숄더 조인트(T6)의 상기 축(22)과 동축으로 위치하는 극축에 기초한 극 좌표 시스템으로의, 엘보 조인트(T4)(풀리(d6)가 그 일부분인)의 축의 위치 및 방향의 완전한 설명은 상기 링크(L3)의 길이, 풀리(d5)(모터(M4)를 통한) 및 상기 링크(L3)(모터(M3)를 통한)에 대한 입력 각 변위 값들, 및 풀리 직경 비율(d5/d6)에 의존한다. 따라서, 엘보 조인트(T4)에 부착된 후속 링크(L4)의 중심단부의 R-θ 좌표들과 T4 조인트 회전 축 주위의 상기 링크(L4)의 방향이 정의된다. 리스트 조인트(T5)의 회전 축을 포함하는 상기 링크(L4)의 상기 말단부의 R-θ 좌표들은 상기 링크(L4)의 길이에 의존한다.
[65] R-θ 좌표 시스템에서의 상기 엔드 이펙터 마운팅 플랜지의 중심 단부, 리스트 조인트(T5)에 부착된 링크(E2),의 위치 및 T5 조인트 회전축 주위의 E2의 방향은 다음 조건들에 의존한다: 상기 링크(L3)에 대한(모터(M3)를 통한) 각 입력 값, 풀리(d5)에 대한(모터(M4)를 통한) 각 입력 값, 상기 링크(L3)의 길이, 풀리 직경 비율(d5/d6), 상기 링크(L4)의 길이, 및 풀리 직경 비율(d7/d8).
[66] 계속 도 9를 참조하면, 모터(M1)는 섀프트(34)를 통해 이너 링크(L1)와 결합된다. 모터(M2)는 섀프트(44)를 통해 풀리(d1)와 결합된다. 모터(M3)는 섀프트(54)를 통해 풀리(d5)와 결합된다. 모터(M4)는 섀프트(64)를 통해 풀리(d5)와 결합된다. 모터(M1)는 공통축(22)을 동심으로(concentrically) 둘러싸는 고정자(stator)(30) 및 회전자(rotator)(32)를 포함한다. 상기 회전자는 이너 링크(L1)의 하우징(35)과 결합되도록 상향으로 연장되는 속이 빈(hollow) 섀프트(hollow shaft)(34)에 결합된다. 이러한 방식으로, 상기 섀프트가 상기 회전자와 함께 회전한다.
[67] 모터(M2)는 고정자(40) 및 회전자(42)를 포함하며, 또한 공통축(22)을 동심으로 둘러싸고, 모터(M1)의 내부를 향해 위치한다. 모터(M2)의 회전자(42)는 풀리(d1)와 결합되도록 상향으로 연장되는 속이 빈 샤프트(44)에 결합된다. 상기 샤프트는 모터(M1)의 샤프트(34)의 안쪽으로 동심으로 위치하며, 회전자(42)와 함께 회전한다.
[68] 모터들(M3, M4)은 모터들(M1, M2) 아래에 위치한다. 모터(M3)는 공통축(22)을 동심으로 둘러싸는 고정자(50) 및 회전자(52)를 포함한다. 회전자(52)는 이너 링크(L3)의 하우징(55)과 결합되도록 상향으로 연장되는 속이 빈 샤프트(54)와 결합된다. 샤프트(54)는 모터들(M1, M2)의 샤프트들(34, 44)의 안쪽으로 동심으로 위치하며, 회전자(52)와 함께 회전한다.
[69] 모터(M4)는 또한 공통축(22)을 동심으로 둘러싸는 고정자(60) 및 회전자(62)를 포함하고, 모터(M3)의 바깥쪽으로 위치한다. 모터(M4)의 상기 회전자는 속이 빌 수도 있고 비지 않을 수도 있는 샤프트(64)에 결합되고, 이는 풀리(d5)와 결합되도록 상향으로 연장된다. 샤프트(64)는 모터들(M1, M2, M3)의 샤프트들(34, 44, 54)의 안쪽으로 동심으로 위치하고, 회전자(62)와 함께 회전한다. 요구된다면, 속이 빈 샤프트는 상기 엔드 이펙터들에 대한 전력 또는 신호 케이블을 포함하는 데 유용할 수 있다.
[70] 전술한 바와 같이, 하우징(800H)과 같은 적절한 하우징이 상기 모터들의 상기 고정자들을 둘러싸는 데 제공된다. 바람직하게는 모터들(M1, M2)은 하나의 모듈(82)로서 제공되고, 상기 모터들(M3, M4)은 제2 모듈(84)로서 제공된다. 상기 모터들은 백-투-백 구성(back-to-back configuration)으로 배열되고, 여기서 상기 모터들이 2-모듈 유닛(two-module unit)으로 조립될 때 상기 모터 모듈들의 단부 샤프트들이 반대 방향들로 배향한다(oriented). 상기 고정자들이 대기 분위기 내에 또는 전달 아암들(904A, 904B)이 동작하는 분위기로부터 고립된 임의의 적합한 분위기 내에 위치하도록 얇은 벽 실린더들과 같은 진공 격리 배리어들(vacuum isolation barriers)(86)(전술한 배리어(830)과 유사한)은 회전자들(32, 42, 52, 62) 및 고정자들(30, 40, 50, 60) 사이에 제공된다.
[71] 다른 태양들에서, 상기 전달 아암들의 확장 및 회전은 도 10에 도시된 것과 같은 임의의 적합한 방식으로 결합될 수 있다. 예를 들어, 도 10은 개별적인 드라이브 샤프트들(1344, 1334, 1354)에 결합된 세 개의 모터들(M1', M2', M3')을 구비하는 3 축 구동 시스템(1000D)을 도시한다. 이러한 실시예들에서, 엔드 이펙터 마운팅 플랜지들(E1, E2)은 동일한 방향으로 배향한다. 상기 링크들(L1 내지 L4, E1, E2) 및 조인트들(T1 내지 T6)은 전술한 것과 같은 동일한 풀리들(d1 내지 d8) 및 벨트들(t1 내지 t4)과 함께 구현되고, 따라서 동일한 참조부호들이 이러한 성분들을 위하여 사용된다. 그러나, 풀리들(d1, d5)은 단일 샤프트 상에서 모터(M1')에 결합된다. 따라서, 모터(M1')의 회전은 풀리들(d1, d5)의 동시 회전을 유발한다. 모터(M2')는 이너 링크(L1)와 결합되고, 모터(M3')는 이너 링크(L3)와 결합된다. 따라서, 이너 링크들(L1, L3)은 엔드 이펙터 마운팅 플랜지들(E1, E2) 각각을 확장하고 수축하도록 개별적으로 작동 가능하다.
[72] 일 태양에서, 풀리들의 직경들의 비율(d1:d2 및 d5:d6)은 2:1이다. 풀리들의 직경들의 비율(d3:d4 및 d7:d8)은 1:2이다. 다른 태양들에서, 상기 풀리들은 임의의 적합한 구동 비율들을 가질 수 있다. 3개의 모터 구성의 일 예시로서, 엔드 이펙터 마운팅 플랜지(E1)를 확장하기 위하여 이너 링크(L1)에 연결된 모터(M2')는 반시계 방향으로 회전하도록 구동하는 한편, 모터들(M1', M3')은 고정 위치 모드에서 유지된다. 엔드 이펙터 마운팅 플랜지(E1)의 수축은 모터(M2')의 시계 방향 회전에 의해 유발된다. 유사하게, 엔드 이펙터 마운팅 플랜지(E2)를 확장하기 위하여, 이너 링크(L3)에 연결된 모터(M3')는 시계 방향으로 회전하도록 구동하는 한편, 모터들(M1', M2')은 고정 위치 모드에서 유지된다. 상기 엔드 이펙터들의 방향을 변화시키기 위하여, 3개의 모터들 모두가 작동된다. 3개의 모터들 모두의 반시계 방향 회전은 아암들 및 상기 엔드 이펙터들 모두가 반시계 방향으로 회전하도록 유발한다. 유사하게, 3개의 모터들 모두의 시계 방향 회전은 아암들 및 상기 엔드 이펙터들 모두가 시계 방향으로 회전하도록 유발한다. 모터(M1') 단독의 회전이 상기 엔드 이펙터들의 확장 또는 수축을 또한 유발할 수 있음을 주목한다. 따라서, 그 확장 또는 수축을 유발하지 않고 상기 엔트 이펙터들의 방향을 변화시키는 것은 3개의 모터들 모두의 작동을 요구한다.
[73] 계속 도 10을 참조하면, 모터(M1')은 상기 구동 샤프트 칼럼의 중심축(1322)(전술한 축(22)과 실질적으로 유사한)을 동심으로 둘러싸는 고정자(1330) 및 회전자(1332)를 포함한다. 회전자(1332)는 풀리들(d1, d5)과 결합되도록 상향으로 연장되는 속이 빈 샤프트(1334)에 결합된다. 모터(M2')는 상기 칼럼의 중심축(1322)과 모터(M1')를 동심으로 둘러싸는 고정자(1340) 및 회전자(1342)를 포함한다. 모터(M2')의 회전자(1342)는 이너 링크(L1)와 결합되도록 모터(M1')의 샤프트(1334)의 바깥쪽으로 동심으로 위치하는 속이 빈 샤프트(1344)에 결합된다. 모터(M3')는 모터들(M1', M2') 아래에 위치하나, 다른 태양들에서는 모터들(M1', M2') 위에 위치할 수도 있다. 모터(M3')는 상기 칼럼의 중심축(1322)을 동심으로 둘러싸는 고정자(1350) 및 회전자(1352)를 포함한다. 회전자(1352)는 이너 링크(L3)에 결합되도록 상향으로 연장되는 속이 빈 샤프트(1354)에 결합된다. 샤프트(1354)는 모터들(M1', M2')의 샤프트들(1334, 1344)의 안쪽으로 동심으로 위치한다.
[74] 위의 3-자유도 실시예에서, 상기 엔드 이펙터 마운팅 플랜지들은 동일한 방향으로 배향한다. 앞서 그 개시 내용이 전체로서 참조로서 본 명세서에 통합된 미국 특허 번호 제7,891,935호에 설명된 것과 같이, 상기 엔드 이펙터 마운팅 플랜지들은 또한 반대 방향들에서 또는 서로에 대하여 예각에서 마주보도록 배향할 수 있다.
[75] 도 11을 참조하면, 다른 모터 및 아암 조합들이 개시된 실시예의 태양들에 따라 가능하다. 예를 들어, 3축 모터 배열(도 8 및 도 9에서 도시된 하나의 모터 배열 또는 이들의 조합과 실질적으로 유사한)이 임의의 적합한 방식으로(도 9를 참조로 앞서 설명한 상기 벨트 및 풀리 시스템들과 같이) 단일의 상부 아암 링크(L1)에 장착된 2개의 포어아암 링크들(forearm links)(L2, L4)을 구동하기 위하여 제공될 수 있다.
[76] 도 12a를 참조하면, 2축 모터 배열(도 8 및 도 9에서 도시된 하나의 모터 배열 또는 이들의 조합과 실질적으로 유사한)이 이중 대향 스카라 아암(dual opposing SCARA arm)(1200, 1201) 배열을 구동하기 위하여 제공될 수 있고, 여기서 각각의 아암이 다른 아암과 실질적으로 동시에 확장되거나 수축되도록(예를 들어 단일 구동 축을 통해) 임의의 적합한 방식으로 각각의 아암(1200, 1201)이 반대 방향들로 구동된다. 예를 들어, 아암들(1200, 1201)의 반대 방향으로의, 실질적으로 동시 확장을 가져오게 하기 위하여 제1 또는 공통 구동 축은 포어아암들(1200FA, 1201FA) 모두와 결합될 수 있는 한편(벨트들 및 풀리들에 의해서와 같이 임의의 적합한 방식으로), 제2 구동 축은 임의의 적합한 방식으로(예를 들어, 상기 모터의 구동 샤프트에 직접 또는 벨트들 또는 풀리해서와 같이) 상부 아암들(1200UA, 1201UA)에 연결될 수 있고, 단독으로 또는 아암들(1200, 1201)을 회전시키도록 상기 공통 구동 축과 결합하여 유닛으로서 예를 들어, 기판들을 이송 챔버(125)의 일 측면으로부터 다른 측면으로 이송하기 위하여 사용될 수 있다. 실현될 수 있는 바와 같이, 이러한 태양에서, 상기 엔드 이펙터들은 상기 아암(1200, 1201)의 확장/수축될 수 있다. 이러한 태양에서, 서로를 방해하지 않고 아암들(1200, 1201)이 수축될 수 있도록 포어아암들(1200FA, 1201FA)은 Z-축을 따라 옵셋될(예를 들어 이격될) 수 있다(예를 들어 다른 높이들에서 배열될 수 있다). 다른 태양들에서, 추가적인 구동 축들이 각각의 아암(1200, 1201) 상에 배치되는 상기 엔드 이펙터(들)을 구동하기 위하여 추가될 수 있다. 예를 들어, 아암(1200)은 적층 구성으로 배열된 하나 이상의 엔드 이펙터(1200EE)를 구비할 수 있고, 여기서 각각의 엔드 이펙터(1200EE)가 기판들의 빠른 교환을 가능하게 하기 위하여 아암(1200)의 리스트 축(wrist axis)(WA)에 대하여 개별적으로 회전 가능하다. 유사하게, 아암(1201)은 적층 구성으로 배열된 하나 이상의 엔드 이펙터(1201EE)를 구비할 수 있고, 여기서 각각의 엔드 이펙터(1201EE)가 기판들의 빠른 교환을 가능하게 하기 위하여 아암(1201)의 리스트 축(WA)에 대하여 개별적으로 회전 가능하다. 다른 태양들에서, 각각의 아암(1200, 1201)과 함께 기판들의 빠른 교환을 가능하게 하기 위하여 각각의 아암(1200, 1201)을 위한 엔드 이펙터들(EEX)이 공통 구동 축에 의해 회전될 수 있도록, 상기 엔드 이펙터들(EEX) 각각이 선형 축을 따라 기판을 유지하도록 배열될 수 있다(도 12c를 참조).
[77] 도 12b는 전술한 것과 유사한 아암 배열을 도시하나, 이러한 태양에서 각각 도 12a를 참조로 앞서 설명한 것과 유사한 방식으로 아암(1200)의 확장/수축이 아암(1201)의 확장/수축과 링크될 수 있고, 아암(1200A)의 확장/수축이 아암(1201A)의 확장/수축과 링크될 수 있도록 3축 구동(도 8 및 도 9에서 도시된 하나의 모터 배열 또는 이들의 조합과 실질적으로 유사한)이 네 개의 아암(1200, 1201, 1200A, 1201A) 배열을 구동하기 위하여 제공될 수 있다. 다른 태양들에서, 아암(1200)의 확장/수축은 아암(1200A)의 확장/수축과 링크될 수 있고, 아암(1201)의 확장/수축은 아암(1201A)의 확장/수축과 링크될 수 있다. 여기서, 상기 아암들이 반대 방향들로 실질적으로 동시에 확장되고/수축되도록(상기 엔드 이펙터들이 개별적인 아암의 확장/수축 축을 따르도록 종속된 전술한 바와 같이) 포어아암 링크들(1200FA, 1201FA)은 제1 공통 구동 축에 결합될 수 있다. 마찬가지로, 상기 아암들이 반대 방향들로 실질적으로 동시에 확장되고 수축되도록 포어아암 링크들(1200AFA, 1201AFA)은 제2 공통축에 결합될 수 있다. 제3 구동 축은 아암들(1200, 1201, 1200A, 1201A)을 회전시키기 위하여(상기 제1 및/또는 제2 구동 축들의 회전과 결합하여) 임의의 적합한 방식으로(앞서 설명한 것과 같이) 상부 아암들(1200UA, 1200AUA, 1201UA, 1201AUA)에, 예를 들어 이송 챔버(125)의 일 측면으로부터 다른 측면으로 기판들을 전달시키기 위하여 유닛으로서 결합될 수 있다. 실현될 수 있는 바와 같이, 각각의 아암이 아암들 중 다른 것들로부터의 방해 없이 실질적으로 동작할 수 있도록 상기 아암 링크 길이들은 아암들(1200, 1200A, 1201A, 1201AFA) 사이에서 달라질 수 있다. 예를 들어, 아암(1200)은 길이(L1)을 갖는 상부 아암 및 길이(L2)를 갖는 포어아암을 구비하는 한편, 아암(1200A)은 길이(L3)을 갖는 상부 아암 및 길이(L4)를 갖는 포어아암을 구비할 수 있다. 이는 아암들(1200, 1200A)의 엘보들(EB)이 서로 방해하지 않고 아암들(1200, 1200A)이 수축되는 것을 가능하게 한다. 유사하게, 아암들(1201, 1201A)의 엘보들(EB)이 서로 방해하지 않고 아암들(1201, 1201A)이 수축되는 것을 가능하게 하도록 아암(1201)은 길이(L1)을 갖는 상부 아암 및 길이(L2)를 갖는 포어아암을 구비하는 한편, 아암(1201A)은 길이(L3)을 갖는 상부 아암 및 길이(L4)를 갖는 포어아암을 구비할 수 있다. L1은 L3보다 작을 수 있고(또는 그 반대일 수 있고), L2는 L4보다 작을 수 있다(또는 그 반대일 수 있다)는 점에 주목한다. 앞서 설명한 것과 실질적으로 유사한 방식으로, 다른 태양들에서, 각각의 아암(1200, 1201, 1200A, 1201A) 상에 배치된 상기 엔드 이펙터(들)을 구동하기 위하여 추가적인 구동 축들이 추가될 수 있다. 예를 들어, 아암들(1200, 1201, 1200A, 1201A)은 적층 구성으로 배열된 하나 이상의 엔드 이펙터(1200EE)를 구비할 수 있고, 여기서 기판들의 빠른 교환을 가능하게 하기 위하여 각각의 엔드 이펙터(1200EE)가 개별적인 아암(1200, 1201, 1200A, 1201A)의 리스트 축(WA)에 대하여 독립적으로 회전 가능하다. 다른 태양들에서, 각각의 아암(1200, 1201)과 함께 기판들의 빠른 교환을 가져오기 위하여 아암 쌍(1200, 1201) 및 아암 쌍(1200A, 1201A) 각각을 위한 엔드 이펙터들(EEX)이 공통 구동 축에 의해 회전될 수 있도록 엔드 이펙터들(EEX)이 개별적인 아암(1200, 1201, 1200A, 1201A)의 리스트 축에 회전 가능하도록 결합될 수 있다. 예를 들어, 4-축 구동이 채용될 수 있고, 여기서 하나의 구동 축이 상부 아암들(1200UA, 1201UA, 1200AUA, 1201AUA)에 결합되고, 하나의 구동 축이 포어아암들(1200FA, 1201FA)에 결합되며, 하나의 구동 축이 포어아암들(1200AFA, 1201AFA)에 결합되고, 공통 구동 축이 각각의 아암(1200, 1201, 1200A, 1201A)을 위한 엔드 이펙터들(1200EE, EEX)에 결합된다. 다른 태양들에서, 하나 또는 그 이상의 아암들(1200, 1201, 1200A, 1201A)을 위한 하나 또는 그 이상의 엔드 이펙터들은 다른 아암들(1200, 1201, 1200A, 1201A)의 엔드 이펙터들의 다른 것들과는 독립적으로 회전 가능하다.
[78] 실현될 수 있는 것과 같이, 전력 및 신호 케이블들 및 냉각 배기 라인(cooling-vent line)(도시되지 않음)이 하우징(800H) 내에 적절히 밀봉된 개구부들(90)(또한 도 8을 참조)을 통해 도입될 수 있다. 다른 태양들에서, 상기 모터들과 센서들을 위한 전력 및 제어가 인덕티브 파워 결합들(inductive power couplings), 충전 스테이션들(charging stations), 또는 다른 적합한 무선 통신 장치들을 통해 제공될 수 있다. 앞서 언급한 바와 같이, 모터 제어 증폭기들(또는 다른 적합한 제어 전자기기들)이 전기 연결 시스템을 단순화하기 위하여 분포될(distributed) 수 있다. 예를 들어, 일 태양들에서, 파이어와이어(FireWire) 또는 이더캣(EtherCat)에 기초한 토폴로지들이 채용될 수 있다.
[79] 슬롯 밸브들(SV) 및 기판 유지 스테이션들은 하나 또는 그 이상의 전달 로봇(704A, 704B)의 구성에 의존하여 배열될 수 있다는 점에 주목한다. 예를 들어, 도 3a, 도 3b 및 도 7을 다시 참조하면, 각각의 엔드 이펙터가 각각의 슬롯 밸브를 통해 통과할 수 있도록 전달 로봇들(704A, 704B)의 엔드 이펙터들(EE)이(이는 전달 로봇들이 도 9 및 도 10을 참조로 앞서 설명한 것과 같은 단일 스핀들 상의 하나 이상의 전달 아암을 포함하는 경우를 포함한다) 실질적으로 동일한 수평 평면 내에 위치하고 개별적인 Z-축 움직임이 실질적으로 없으며, 슬롯 밸브들(SV)이 공통의 수평 평면(도 4a의 평면(PL1)을 참조) 내에 위치할 수 있다. 실현될 수 있는 바와 같이, 엔드 이펙터들(EE) 및 슬롯 밸브들(SV)이 공통 평면 내에 있기 때문에, 전달 아암들(450, 451)의 리스트가 슬롯 밸브들(SV)을 통해 통과할 수 있다. 또한 실현될 수 있는 바와 같이, 엔드 이펙터들(EE)이 공통 평면 내에 있기 때문에, 앞서 설명한 바와 같은 적합한 인터록들(기계적 및/또는 컨트롤러를 통한)이 하나의 아암의 동작이 다른 아암의 동작을 방해하는 것을 실질적으로 방지하도록 채용될 수 있다. 둘 또는 그 이상의 아암들이 사용되는 경우(상기 아암들이 도 7에서 도시된 것과 같이 다른 스핀들들 상에 있거나, 도 9 및 도 10에서 도시된 것과 같이 공통의 스핀들 상에 있는), 포어아암(FA) 및 엔드 이펙터(EE)의 위치를 바꿈(inverting)에 의해 엔드 이펙터들(EE)은 공통 평면 상에 위치할 수 있다. 예를 들어, 도 7에서 보일 수 있는 것과 같이, 아암(451)은 상부 아암(UA), 포어아암(FA) 및 엔드 이펙터(EE)를 포함한다. 엔드 이펙터(EE)는 포어아암(FA) 위에 위치한다(용어들 위에 또는 아래에는 오로지 예시적인 목적을 위하여 사용되었고, 다른 태양들에서 임의의 적합한 공간적 용어들이 사용될 수 있다). 아암(450)은 상부 아암(UA), 포어아암(FA1) 및 엔드 이펙터(EE1)를 포함한다. 엔드 이펙터(EE1)가 엔드 이펙터(EE)와 실질적으로 동일한 수평 평면 상에 있고, 엔드 이펙터(EE1)와 대향하는 관계로 배열되도록 엔드 이펙터(EE1)는 포어아암(FA1) 아래에 배치된다.
[80] 도 13을 참조하면, 각각의 엔드 이펙터가 각각의 슬롯 밸브를 통해 통과할 수 있도록 전달 로봇들(704A, 704B)의 엔드 이펙터들(EE)이(이는 전달 로봇들이 도 9 및 도 10을 참조로 앞서 설명한 것과 같은 단일 스핀들 상의 하나 이상의 전달 아암을 포함하는 경우를 포함한다) 수직으로 적층된 다른 수평 평면들(PL3, PL4) 내에 위치하고 개별적인 Z-축 움직임이 실질적으로 없으며, 슬롯 밸브들(SV)이 공통의 수평 평면(도 4a의 평면(PL1)을 참조) 내에 위치할 수 있다. 이러한 태양에서, 평면들(PL3, PL4)은 기판들을 운반하는 엔드 이펙터들(EE, EE1)이 평면(PL1) 내에 위치한 슬롯 밸브들을 통해 통과할 수 있도록 배열되거나 수직으로 이격될 수 있다. 실현될 수 있는 바와 같이, 이러한 태양에서, 아암들(450,451)의 리스트는 슬롯 밸브(SV)를 통해 통과할 수 없다. 또한 실현될 수 있는 바와 같이, 엔드 이펙터들(EE)이 밀접하게 이격된 수직 평면들(PL3, PL4) 내에 있기 때문에, 전술한 바와 같은 적합한 인터록들(기계적 및/또는 컨트롤러를 통한)이 하나의 아암의 동작이 다른 아암의 동작을 방해하는 것을 실질적으로 방지하도록 채용될 수 있다.
[81] 일 태양에서, 엔드 이펙터들(EE, EE1)이 실질적으로 동일한 평면(PL1) 상에 위치하고, 및/또는 밀접하게 이격된 수직 평면들(PL3, PL4) 내에 위치하는 경우에, 전달 아암들(450, 451) 각각은 이송 챔버(125)의 단부들(100E1, 100E2)(도 1 및 도 2) 상에 위치한 기판 유지 스테이션들(로드락들(102A-102D, 202A, 202B)과 같은)에 도달하지 못할 수 있음에 주목한다. 그럼으로써, 기판 유지 스테이션들의 위치는 도 3a 및 도 3b에서 도시된 것과 같이 이송 챔버(125)의 측면들로 제한될 수 있다. 그러나, 다른 태양들에서, 하나 또는 그 이상의 전달 아암들(450, 451)의 길이 및/또는 이송 챔버(125)의 폭은, 이송 챔버(125)의 단부들에 위치한 기판 유지 스테이션 내부로 기판들을 위치시키기 위하여 하나의 아암이 다른 아암 주위에 도달할 수 있도록 정해질 수 있다.
[82] 도 7을 계속 참조하면, 개시된 실시예의 다른 태양에서, 각각의 아암(450, 451)의 리스트가 슬롯 밸브를 통해 통과할 수 있도록, 아암들(450, 451)의 엔드 이펙터들(EE, EE1)은 독립적인 Z-축 움직임이 실질적으로 없이 분리된 수직 적층된 평면들(PL1, PL2) 상에 위치할 수 있다. 이러한 태양에서, 엔드 이펙터 아암(450)(평면(PL2) 내에 위치한)이 평면(PL2) 내에 위치한 슬롯 밸브들에 접근할 수 있고, 엔드 이펙터 아암(451)(평면(PL1) 내에 위치한)이 평면(PL1) 내에 위치한 슬롯 밸브들에 접근할 수 있도록 상기 슬롯 밸브들은 평면들(PL1, PL2) 내에 위치할 수 있다. 프로세스 모듈들(130T)과 같은 탠덤 프로세스 모듈들의 경우에, 분리된 공정 챔버들 각각 내의 기판들이 탠덤 프로세싱 모듈의 다른 공정 챔버들의 상태와 독립적으로 공정될 수 있도록, 탠덤 프로세스 모듈(130T)은 평면들(PL1, PL2)의 각각에 대응되는 분리된 공정 챔버들을 구비할 수 있음에 주목한다.
[83] 앞서 언급한 바와 같이, 신축성 선형 횡단 메카니즘(400)은 신축성 선형 횡단 메카니즘(400)의 이동과 그 상부의 상기 전달 아암들이 화살표 방향(499)으로 이동하는 것을 가능하게 하는 Z-축 리프트 드라이브(Z-axis lift drive)(401)를 포함할 수 있다. 실현될 수 있는 바와 같이, Z-축 리프트 드라이브(401)가 채용되는 경우에, 엔드 이펙터들(EE, EE1)이 다른 평면들(PL1, PL2, PL3, PL4) 내에 위치할 수 있고, 여전히 단일 수평 평면 내에 위치하는 슬롯 밸브들(SV)에 접근하는 것이 가능할 수 있다. 예를 들어, 하나의 평면 상의 엔드 이펙터(EE)는 Z-축 리프트 드라이브(401)를 갖는 평면(PL2)과 정렬될 수 있고, 기판을 집고/놓기 위하여 슬롯 밸브(SV)를 통해(예를 들어 평면(PL2) 상의) 확장된다. 엔드 이펙터(EE)는 슬롯 밸브(SV)로부터 수축될 수 있고, Z-축 리프트 드라이브(401)가 예를 들어 평면(PL2) 상의 슬롯 밸브(SV)와 다른 엔드 이펙터(EE1)를 정렬시키도록 신축성 선형 횡단 메카니즘(400)을 화살표(499) 방향으로 이동시킬 수 있다. 따라서, 엔드 이펙터(EE1)는 기판을 집고/놓기 위하여 슬롯 밸브(SV)를 통해 확장될 수 있다. 실현될 수 있는 바와 같이, 앞서 언급한 상기 인터록들은 상기 집고/놓는 동작들 동안에 하나의 아암의 동작이 다른 아암의 동작을 방해하는 것을 실질적으로 방지하도록 채용될 수 있다.
[84] 다른 태양들에서, 신축성 선형 횡단 메카니즘(400)은 Z-축 리프트 드라이브를 구비하지 않을 수 있다. 여기서, 상기 엔드 이펙터들을 상기 슬롯 밸브들의 평면과 정렬시키기 위하여 각각의 아암이 화살표 방향(499)으로 독립적으로 이동될 수 있도록(또는 복수의 아암들이 공통의 스핀들 상에 위치하는 경우에, 상기 아암들이 유닛으로서 화살표 방향(499)으로 이동될 수 있도록) 로봇 아암 드라이브들(501, 502, 800, 900D, 1000D) 각각은 드라이브(401)과 실질적으로 유사한 개별적인 Z-축 리프트 드라이브들을 포함할 수 있다.
[85] 도 14를 참조하면, 앞서 언급한 바와 같이, 대기 프론트 엔드(101)는 신축성 선형 횡단 메카니즘(400)(앞서 설명한 하나 또는 그 이상의 특징들을 포함할 수 있는)을 구비하는 이송 로봇(108)을 포함할 수 있다. 앞서 설명한 것과 유사한 방식으로, 하나 또는 그 이상의 전달 아암들은 로드락(200)과 로드 포트들(105) 사이에서 기판을 전달하기 위하여 선형 횡단 메카니즘(400) 상에 장착될 수 있다. 일 태양에서, 도 4g 내지 도 4j를 참조로 앞서 설명한 것과 같이, 이송 로봇(108)은 선형 트랙(493) 상에 장착될 수 있다. 이러한 태양에서, 상기 프론트 엔드는 개별적인 전달 챔버들(125I)(각각의 내부에 전달 아암을 구비하는)을 포함하는 진공 벡 엔드(103)와 연통 가능하도록(communicably) 연결되고, 상기 전달 챔버들(125I)은 연통 가능하도록 서로에게 결합되어 2011년 7월 14일자로 발행된 미국 특허번호 제7,959,403호에 개시된 것들과 같은 임의의 적합한 길이를 갖는 이송 터널을 형성하며, 그 개시 내용은 전체로서 참조로서 본 명세서에 통합된다. 다른 태양들에서, 진공 벡 엔드(103)는 앞서 설명한 것들과 같은 임의의 적합한 구성을 가질 수 있다.
[86] 개시된 실시예의 하나 이상의 태양들에 따라 반도체 공정 장치가 제공된다. 상기 반도체 공정 장치는 다음을 포함한다.
[87] 종축 및 상기 종축의 양쪽으로 벌어진(astride) 측면들을 가지며, 내부에 밀봉된 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임,
[88] 상기 밀봉가능한 챔버에 장착되며 신축적 캐리지를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈; 및
[89] 상기 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 피가공체(workpiece)를 유지하도록 구성된 적어도 하나의 전달 아암을 갖는, 상기 적어도 하나의 전달 로봇.
[90] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 신축적 캐리지의 신축적 행로는, 기판 유지 스테이션이 상기 이송 챔버에 연통적으로(communicably) 결합되도록 하는 이송 챔버 개구부를 통하여 입구/출구의 축을 교차한다.
[91] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 유닛으로써 상기 밀봉가능한 챔버로부터 제거가능하다.
[92] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇은, 상기 적어도 하나의 전달 아암이 상기 신축적 캐리지에 대하여 회전가능하도록 구성된다.
[93] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉된 환경은 진공 환경이며, 상기 적어도 하나의 전달 로봇은 상기 진공 환경 내에 배치된 밀봉된 구동부(drive section)를 포함한다.
[94] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 이송 모듈은 상기 신축적 캐리지가 이동가능하게 장착되는 베이스 부재를 포함하며, 상기 베이스 부재는 상기 프레임에 대하여 고정적으로 그리고 정지적으로 장착된다.
[95] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇 및 신축적 캐리지는, 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 정하는 것이 기판들을 집기 및 놓기 위한 상기 적어도 하나의 전달 아암의 위치를 정하는 것과 실질적으로 관계없이 결과지어지도록 구성된다.
[96] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 위치시키기 위해 상기 신축적 캐리지를 맞물리도록 구성된 운동학적 위치설정 요소들을 더 포함한다.
[97] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 하나 이상의 센서들로부터의 신호들에 기초하여 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 결정하도록 구성된다.
[98] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 적어도 하나의 전달 로봇에 의해 운반된 상기 밀봉가능한 챔버 내의 기판을 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 적어도 하나의 전달 로봇에 의해 상기 기판의 이송 동안에 자동적 웨이퍼 센터링(centering)이 결과되도록 구성된다.
[99] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 신축적 캐리지는 상기 밀봉가능한 챔버의 인접한 하나의 측면으로부터 상기 밀봉가능한 챔버의 다른 측면까지 연장하는 폭을 가로지른다.
[100] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 상기 밀봉가능한 챔버 내에서 측방향으로 나란히 배열된 두 개의 신축적 캐리지들을 포함한다.
[101] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 일련적으로 연결된 신축적 부재들을 갖는 다단식 신축적 캐리지 및 각각의 신축적 부재들 상에 분포된 구동 모터들을 갖는 구동 시스템을 포함한다.
[102] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇은 적어도 하나의 스카라(SCARA) 아암을 포함하며, 상기 적어도 하나의 SCARA 아암의 각각은 하나 이상의 아암 링크들을 가진다.
[103] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇은 두 개의 전달 로봇들을 포함하며, 각각은 상기 신축적 캐리지 상에 종적으로(longitudinally) 배열된 대응하는 구동축을 가진다.
[104] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 각기 구동 스핀들을 포함하며, 여기서 상기 두 개의 전달 로봇들의 제1의 것의 전달 아암이 상기 두 개의 전달 로봇들의 제2의 것의 전달 아암과 상이한 구동 스핀들에 장착된다.
[105] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 슬롯 밸브들을 포함하며, 여기서 상기 두 개의 전달 로봇들 사이의 종적 공간은 슬롯 밸브들 사이의 종적 공간과 실질적으로 동일하다.
[106] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 각각은 서로에 대하여 종적으로 옵셋(offset)되며 그리고 공간적으로 고정된 대응하는 구동축을 갖는다.
[107] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 신축적 캐리지는 캐리지 프레임 및 상기 캐리지 프레임에 이동가능하게 장착된 로봇 지지대를 포함하며, 상기 두 개의 전달 로봇 중의 하나는 상기 신축적 캐리지 상에서 상기 두 개의 전달 로봇들 중의 다른 하나에 대하여 종적으로 대체될(displaceable) 수 있도록 상기 로봇 지지대에 장착된다.
[108] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 중의 적어도 하나는 상기 밀봉가능한 챔버에 연통적으로 결합된 공통 기판 유지 스테이션에서 기판들을 빠르게 교환하기 위해 구성된 다수의 독립적으로 작동가능한 엔드 이펙터들(end effectors)을 포함한다.
[109] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 적어도 하나의 엔드 이펙터와 다른 평면에 위치한다.
[110] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각의 상기 적어도 하나의 엔드 이펙터는 대항하는 관계에서 다른 것 위에 위치한다.
[111] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 상기 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 상기 적어도 하나의 엔드 이펙터와 실질적으로 동일 평면에 위치한다.
[112] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는, 상기 두 개의 전달 아암들 중의 하나의 동작이 상기 두 개의 전달 아암들 중의 다른 것의 동작에 방해되지 않도록 인터록들을 제공하도록 구성된 컨트롤러를 포함한다.
[113] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇 중의 적어도 하나는 Z-축 드라이브를 포함한다.
[114] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 전달 로봇의 각각은 Z-축 드라이브를 포함한다.
[115] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 공통 Z-축 드라이브를 포함한다.
[116] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 로봇은 공통 드라이브 스핀들을 갖는 구동부 및 상기 공통 드라이브 스핀들에 장착된 다수의 이송 아암들을 포함한다.
[117] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 다수의 이송 아암들의 각각은 독립적으로 동작가능하다.
[118] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 다수의 이송 아암들은 적어도 하나의 공통 구동축을 갖는다.
[119] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 밀봉가능한 포트들을 형성하는 슬롯 밸브들을 포함하며, 상기 슬롯 밸브들은 한 쌍의 공정 모듈들 및 단일의 공정 모듈들 중의 하나 이상을 상기 밀봉가능한 챔버에 연통적으로 결합시키도록 배열된다.
[120] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 공통의 수평 평면, 수직적으로 이격된 수평 평면들 및 이들의 조합 중의 하나 이상에 배열된다.
[121] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 전측(longitudinal front)에 기판 입구 및 상기 밀봉가능한 챔버의 종적 후측(longitudinal rear)에 기판 출구, 또는 상기 밀봉가능한 챔버의 종적 후측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 전측에 기판 출구를 제공하도록 배열된다.
[122] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 엔드들(longitudinal ends) 사이에 배치된 지점에서 상기 밀봉가능한 챔버로부터 기판 입구 및 출구를 제공하도록 배열된다.
[123] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 대기 모듈 및 상기 밀봉가능한 챔버와 분리되고 구별되는 기판 이송 터널을 더 포함하며, 상기 기판 이송 터널은 상기 기판 이송 터널을 통하여 상기 밀봉가능한 챔버로부터 상기 대기 모듈까지 기판 통로를 제공하도록 상기 밀봉가능한 챔버에 연통적으로 결합된다.
[124] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 적어도 두 개의 챔버 모듈들 사이에서 기판을 넘겨줄 수 있도록 서로 연통적으로 결합된 적어도 두 개의 챔버 모듈을 포함한다.
[125] 개시된 실시예의 하나 이상의 태양들에 따라, 반도체 공정 장치가 제공된다. 상기 반도체 공정 장치는 다음을 포함한다.
[126] 종축 및 측면들을 가지며, 내부에 밀봉된 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임,
[127] 상기 밀봉가능한 챔버에 장착되며 신축적 캐리지(telescoping carriage)를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈, 및
[128] 두 개의 전달 로봇들 모두가 상기 신축적 캐리지와 유닛으로써 이동할 수 있도록 상기 신축적 캐리지에 장착된 두 개의 전달 로봇들로서, 상기 두 개의 전달 로봇들의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암 및 상기 신축적 캐리지에 의해 운반된 구동부를 갖는, 상기 두 개의 전달 로봇들.
[129] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉된 환경은 진공 환경이며, 상기 구동부는 상기 진공 환경 내에 배치된 밀봉된 구동부이다.
[130] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 상기 신축적 캐리지가 이동가능하게 장착되는 베이스 부재를 포함하며, 상기 베이스 부재는 상기 프레임에 대하여 고정적으로 그리고 정지적으로 장착된다.
[131] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 및 상기 신축적 캐리지는, 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 정하는 것이 기판들을 집기 및 놓기 위한 상기 적어도 하나의 전달 아암의 위치를 정하는 것과 실질적으로 관계없이 결과지어지도록 구성된다.
[132] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 위치시키기 위해 상기 신축적 캐리지를 맞물리도록 구성된 운동학적 위치설정 요소들을 포함한다.
[133] 개시된 실시예의 하나 이상의 태양들에 따라, 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 하나 이상의 센서들로부터의 신호들에 기초하여 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 결정하도록 구성된다.
[134] 개시된 실시예의 하나 이상의 태양들에 따라, 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 두 개의 전달 로봇들의 각각에 의해 운반된 상기 밀봉가능한 챔버 내의 기판을 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 두 개의 전달 로봇들의 각각에 의해 상기 기판의 이송 동안에 자동적 웨이퍼 센터링(centering)이 결과되도록 구성된다.
[135] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 신축적 캐리지는 상기 밀봉가능한 챔버의 인접한 하나의 측면으로부터 상기 밀봉가능한 챔버의 다른 측면까지 연장하는 폭을 가로지른다.
[136] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 상기 밀봉가능한 챔버 내에서 측방향으로 나란히 배열된 두 개의 신축적 캐리지를 포함한다.
[137] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 일련적으로 연결된 신축적 부재들을 갖는 다단식 신축적 캐리지 및 각각의 신축적 부재들 상에 분포된 구동 모터들을 갖는 구동 시스템을 포함한다.
[138] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 적어도 하나의 스카라(SCARA) 아암을 포함하며, 상기 적어도 하나의 SCARA 아암의 각각은 하나 이상의 아암 링크들을 가진다.
[139] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 각각은 상기 신축적 캐리지 상에 종적으로 옵셋된 대응하는 구동축을 가진다.
[140] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 각기 구동 스핀들을 포함하며, 여기서 상기 두 개의 전달 로봇들의 제1의 것의 전달 아암이 상기 두 개의 전달 로봇들의 제2의 것의 전달 아암과 상이한 구동 스핀들에 장착된다.
[141] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 슬롯 밸브들을 포함하며, 여기서 상기 두 개의 전달 로봇들 사이의 종적 공간은 슬롯 밸브들 사이의 종적 공간과 실질적으로 동일하다.
[142] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 각각은 서로에 대하여 종적으로 옵셋(offset)되며 그리고 공간적으로 고정된 대응하는 구동축을 갖는다.
[143] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 신축적 캐리지는 캐리지 프레임 및 상기 캐리지 프레임에 이동가능하게 장착된 로봇 지지대를 포함하며, 상기 두 개의 전달 로봇들 중의 하나는 상기 신축적 캐리지 상에서 상기 두 개의 전달 로봇들 중의 다른 하나에 대하여 종적으로 대체될 수 있도록 상기 로봇 지지대에 장착된다.
[144] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들 중의 적어도 하나는 상기 밀봉가능한 챔버에 연통적으로 결합된 공통 기판 유지 스테이션에서 기판들을 빠르게 교환하기 위해 구성된 다수의 독립적으로 작동가능한 엔드 이펙터들을 포함한다.
[145] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 적어도 하나의 엔드 이펙터와 다른 평면에 위치한다.
[146] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각의 상기 적어도 하나의 엔드 이펙터는 대항하는 관계에서 다른 것 위에 위치한다.
[147] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 상기 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 상기 적어도 하나의 엔드 이펙터와 실질적으로 동일 평면에 위치한다.
[148] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는, 상기 두 개의 전달 아암들 중의 하나의 동작이 상기 두 개의 전달 아암들 중의 다른 것의 동작에 방해되지 않도록 인터록들을 제공하도록 구성된 컨트롤러를 포함한다.
[149] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 전달 로봇들의 각각은 Z-축 드라이브를 포함한다.
[150] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 적어도 하나의 이송 모듈은 공통 Z-축 드라이브를 포함한다.
[151] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 두 개의 이송 로봇들 각각은 공통 드라이브 스핀들을 갖는 구동부 및 상기 공통 드라이브 스핀들에 장착된 다수의 이송 아암들을 포함한다.
[152] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 다수의 이송 아암들의 각각은 독립적으로 동작가능하다.
[153] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 다수의 이송 아암들은 적어도 하나의 공통 구동축을 갖는다.
[154] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 밀봉가능한 포트들을 형성하는 슬롯 밸브들을 포함하며, 상기 슬롯 밸브들은 한 쌍의 공정 모듈들 및 단일의 공정 모듈들 중의 하나 이상을 상기 밀봉가능한 챔버에 연통적으로 결합시키도록 배열된다.
[155] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 공통의 수평 평면, 수직적으로 이격된 수평 평면들 및 이들의 조합 중의 하나 이상에 배열된다.
[156] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 전측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 후측에 기판 출구, 또는 상기 밀봉가능한 챔버의 종적 후측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 전측에 기판 출구를 제공하도록 배열된다.
[157] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 엔드들 사이에 배치된 지점에서 상기 밀봉가능한 챔버로부터 기판 입구 및 출구를 제공하도록 배열된다.
[158] 개시된 실시예의 하나 이상의 태양들에 따라, 대기 모듈 및 상기 밀봉가능한 챔버와 분리되고 구별되는 기판 이송 터널을 더 포함하며, 상기 기판 이송 터널은 상기 기판 이송 터널을 통하여 상기 밀봉가능한 챔버로부터 상기 대기 모듈까지 기판 통로를 제공하도록 상기 밀봉가능한 챔버에 연통적으로 결합된다.
[159] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 밀봉가능한 챔버는 적어도 두 개의 챔버 모듈들 사이에서 기판을 넘겨줄 수 있도록 서로 연통적으로 결합된 적어도 두 개의 챔버 모듈을 포함한다.
[160] 개시된 실시예의 하나 이상의 태양들에 따라, 반도체 공정 장치가 제공된다. 상기 반도체 공정 장치는 아래를 포함한다.
[161] 종축 및 측면들을 가지며, 내부에 진공 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임,
[162] 상기 밀봉가능한 챔버에 고정적으로 장착되며 신축적 캐리지를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈, 및
[163] 상기 신축적 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암 및 상기 진공 내에 배치된 밀봉된 구동부를 가지며, 여기서 상기 밀봉된 구동부는 전력, 데이터 통신 및 냉각 연결부들을 포함하는, 상기 적어도 하나의 전달 로봇.
[164] 개시된 실시예의 하나 이상의 태양들에 따라, 반도체 공정 장치가 제공된다. 상기 반도체 공정 장치는 다음을 포함한다.
[165] 종축, 상기 종축의 양쪽으로 벌어진 측면들 및 적어도 하나의 로드 포트를 가지며, 내부에 제어된 환경을 유지하도록 구성된 챔버를 형성하는 프레임,
[166] 상기 챔버 내에 적어도 부분적으로 배치되며, 신축적 캐리지가 상기 챔버의 다른 부분에 대하여 선형적으로 이동가능하도록 구성된 신축적 캐리지로서, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 신축적 캐리지, 및
[167] 상기 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암을 갖는, 상기 적어도 하나의 전달 로봇.
[168] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 상기 신축적 캐리지에 연결되며, 상기 신축적 캐리지의 확장 및 수축 방향에 실질적으로 수직하는 방향으로 상기 신축적 캐리지를 이동시키도록 구성된 Z-축 드라이브를 포함한다.
[169] 개시된 실시예의 하나 이상의 태양들에 따라, 상기 반도체 공정 장치는 상기 신축적 캐리지에 장착되며, 상기 신축적 캐리지의 확장 및 수축 방향에 실질적으로 수직하는 방향으로 상기 적어도 하나의 전달 로봇을 이동시키도록 구성된 Z-축 드라이브를 포함한다.
[170] 전술한 설명은 개시된 실시예의 태양들에 대한 단지 예시적인 것이라는 것임을 이해해야 할 것이다. 다양한 대안들 및 수정들이 개시된 실시예의 태양들로부터 벗어남이 없이 통상의 기술자에 의해 창안될 수 있다. 따라서, 개시된 실시예의 태양들은 첨부된 청구항들의 범위 내에 들어가는 모든 이러한 대안들, 수정들 및 변경들을 수용하도록 의도된다. 나아가, 상이한 특징들이 상호간에 상이한 종속항들 또는 독립항들에 인용된다는 단순한 사실이 이들 특징들의 조합이 유리하게 사용될 수 없다는 것을 보여주는 것은 아니며, 이러한 조합은 본 발명의 태양들의 범위 내에 유지된다.

Claims (72)

  1. 종축 및 상기 종축의 양쪽으로 벌어진 측면들을 가지며, 내부에 밀봉된 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임;
    상기 밀봉가능한 챔버에 장착되며 신축적 캐리지(telescoping carriage)를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈; 및
    상기 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암을 갖는, 상기 적어도 하나의 전달 로봇;을 포함하는 반도체 공정 장치.
  2. 청구항 1에 있어서,
    상기 신축적 캐리지의 신축적 행로는, 기판 유지 스테이션이 상기 이송 챔버에 연통적으로(communicably) 결합되도록 하는 이송 챔버 개구부를 통하여 입구/출구의 축을 교차하는 것을 특징으로 하는 반도체 공정 장치.
  3. 청구항 1에 있어서,
    상기 적어도 하나의 이송 모듈은 유닛으로써 상기 밀봉가능한 챔버로부터 제거가능한 것을 특징으로 하는 반도체 공정 장치.
  4. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇은, 상기 적어도 하나의 전달 아암이 상기 신축적 캐리지에 대하여 회전가능하도록 구성된 것을 특징으로 하는 반도체 공정 장치.
  5. 청구항 1에 있어서,
    상기 밀봉된 환경은 진공 환경이며, 상기 적어도 하나의 전달 로봇은 상기 진공 환경 내에 배치된 밀봉된 구동부를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  6. 청구항 1에 있어서,
    상기 이송 모듈은 상기 신축적 캐리지가 이동가능하게 장착되는 베이스 부재를 포함하며, 상기 베이스 부재는 상기 프레임에 대하여 고정적으로 그리고 정지적으로 장착되는 것을 특징으로 하는 반도체 공정 장치.
  7. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇 및 신축적 캐리지는, 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 정하는 것이 기판들을 집기 및 놓기 위한 상기 적어도 하나의 전달 아암의 위치를 정하는 것과 실질적으로 관계없이 결과지어지도록 구성되는 것을 특징으로 하는 반도체 공정 장치.
  8. 청구항 1에 있어서,
    상기 반도체 공정 장치는 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 위치시키기 위해 상기 신축적 캐리지를 맞물리도록 구성된 운동학적 위치설정 요소들을 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  9. 청구항 1에 있어서,
    상기 반도체 공정 장치는 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 하나 이상의 센서들로부터의 신호들에 기초하여 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 결정하도록 구성된 것을 특징으로 하는 반도체 공정 장치.
  10. 청구항 1에 있어서,
    상기 반도체 공정 장치는 컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 적어도 하나의 전달 로봇에 의해 운반된 상기 밀봉가능한 챔버 내의 기판을 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 적어도 하나의 전달 로봇에 의해 상기 기판의 이송 동안에 자동적 웨이퍼 센터링(centering)이 결과되도록 구성된 것을 특징으로 하는 반도체 공정 장치.
  11. 청구항 1에 있어서,
    상기 신축적 캐리지는 상기 밀봉가능한 챔버의 인접한 하나의 측면으로부터 상기 밀봉가능한 챔버의 다른 측면까지 연장하는 폭을 가로지르는 것을 특징으로 하는 반도체 공정 장치.
  12. 청구항 1에 있어서,
    상기 적어도 하나의 이송 모듈은 상기 밀봉가능한 챔버 내에서 측방향으로 나란히 배열된 두 개의 신축적 캐리지들을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  13. 청구항 1에 있어서,
    상기 적어도 하나의 이송 모듈은 일련적으로 연결된 신축적 부재들을 갖는 다단식 신축적 캐리지 및 각각의 신축적 부재들 상에 분포된 구동 모터들을 갖는 구동 시스템을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  14. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇은 적어도 하나의 스카라(SCARA) 아암을 포함하며, 상기 적어도 하나의 SCARA 아암의 각각은 하나 이상의 아암 링크들을 가지는 것을 특징으로 하는 반도체 공정 장치.
  15. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇은 두 개의 전달 로봇들을 포함하며, 각각은 상기 신축적 캐리지 상에 종적으로 배열된 대응하는 구동축을 가지는 것을 특징으로 하는 반도체 공정 장치.
  16. 청구항 15에 있어서,
    상기 두 개의 전달 로봇들의 각각은 각기 구동 스핀들(spindle)을 포함하며, 여기서 상기 두 개의 전달 로봇들의 제1의 것의 전달 아암이 상기 두 개의 전달 로봇들의 제2의 것의 전달 아암과 상이한 구동 스핀들에 장착되는 것을 특징으로 하는 반도체 공정 장치.
  17. 청구항 15에 있어서,
    상기 밀봉가능한 챔버는 슬롯 밸브들을 포함하며, 여기서 상기 두 개의 전달 로봇들 사이의 종적 공간은 슬롯 밸브들 사이의 종적 공간과 실질적으로 동일한 것을 특징으로 하는 반도체 공정 장치.
  18. 청구항 15에 있어서,
    상기 두 개의 전달 로봇들 각각은 서로에 대하여 종적으로 옵셋(offset)되며 그리고 공간적으로 고정된 대응하는 구동축을 갖는 것을 특징으로 하는 반도체 공정 장치.
  19. 청구항 15에 있어서,
    상기 신축적 캐리지는 캐리지 프레임 및 상기 캐리지 프레임에 이동가능하게 장착된 로봇 지지대를 포함하며, 상기 두 개의 전달 로봇 중의 하나는 상기 신축적 캐리지 상에서 상기 두 개의 전달 로봇들 중의 다른 하나에 대하여 종적으로 대체될 수 있도록 상기 로봇 지지대에 장착되는 것을 특징으로 하는 반도체 공정 장치.
  20. 청구항 15에 있어서,
    상기 두 개의 전달 로봇들 중의 적어도 하나는 상기 밀봉가능한 챔버에 연통적으로 결합된 공통 기판 유지 스테이션에서 기판들을 빠르게 교환하기 위해 구성된 다수의 독립적으로 작동가능한 엔드 이펙터들(end effectors)을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  21. 청구항 15에 있어서,
    상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 적어도 하나의 엔드 이펙터와 다른 평면에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  22. 청구항 21에 있어서,
    상기 두 개의 전달 로봇들의 각각의 상기 적어도 하나의 엔드 이펙터는 대항하는 관계에서 다른 것 위에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  23. 청구항 15에 있어서,
    상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 상기 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 상기 적어도 하나의 엔드 이펙터와 실질적으로 동일 평면에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  24. 청구항 15에 있어서,
    상기 반도체 공정 장치는, 상기 두 개의 전달 아암들 중의 하나의 동작이 상기 두 개의 전달 아암들 중의 다른 것의 동작에 방해되지 않도록 인터록들을 제공하도록 구성된 컨트롤러를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  25. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇 중의 적어도 하나는 Z-축 드라이브를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  26. 청구항 1에 있어서,
    상기 적어도 하나의 전달 로봇의 각각은 Z-축 드라이브를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  27. 청구항 1에 있어서,
    상기 적어도 하나의 이송 모듈은 공통 Z-축 드라이브를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  28. 청구항 1에 있어서,
    상기 적어도 하나의 이송 로봇은 공통 구동 스핀들을 갖는 구동부 및 상기 공통 구동 스핀들에 장착된 다수의 이송 아암들을 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  29. 청구항 28에 있어서,
    상기 다수의 이송 아암들의 각각은 독립적으로 동작가능한 것을 특징으로 하는 반도체 공정 장치.
  30. 청구항 28에 있어서,
    상기 다수의 이송 아암들은 적어도 하나의 공통 구동축을 갖는 것을 특징으로 하는 반도체 공정 장치.
  31. 청구항 1에 있어서,
    상기 밀봉가능한 챔버는 밀봉가능한 포트들을 형성하는 슬롯 밸브들을 포함하며, 상기 슬롯 밸브들은 한 쌍의 공정 모듈들 및 단일의 공정 모듈들 중의 하나 이상을 상기 밀봉가능한 챔버에 연통적으로 결합시키도록 배열된 것을 특징으로 하는 반도체 공정 장치.
  32. 청구항 31에 있어서,
    상기 슬롯 밸브들은 공통의 수평 평면, 수직적으로 이격된 수평 평면들 및 이들의 조합 중의 하나 이상에 배열되는 것을 특징으로 하는 반도체 공정 장치.
  33. 청구항 31에 있어서,
    상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 전측(longitudinal front)에 기판 입구 및 상기 밀봉가능한 챔버의 종적 후측(longitudinal rear)에 기판 출구, 또는 상기 밀봉가능한 챔버의 종적 후측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 전측에 기판 출구를 제공하도록 배열되는 것을 특징으로 하는 반도체 공정 장치.
  34. 청구항 31에 있어서,
    상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 엔드들 사이에 배치된 지점에서 상기 밀봉가능한 챔버로부터 기판 입구 및 출구를 제공하도록 배열되는 것을 특징으로 하는 반도체 공정 장치.
  35. 청구항 1에 있어서,
    상기 반도체 공정 장치는 대기 모듈 및 상기 밀봉가능한 챔버와 분리되고 구별되는 기판 이송 터널을 더 포함하며, 상기 기판 이송 터널은 상기 기판 이송 터널을 통하여 상기 밀봉가능한 챔버로부터 상기 대기 모듈까지 기판 통로를 제공하도록 상기 밀봉가능한 챔버에 연통적으로 결합되는 것을 특징으로 하는 반도체 공정 장치.
  36. 청구항 1에 있어서,
    상기 밀봉가능한 챔버는 적어도 두 개의 챔버 모듈들 사이에서 기판을 넘겨줄 수 있도록 서로 연통적으로 결합된 적어도 두 개의 챔버 모듈을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  37. 종축 및 측면들을 가지며, 내부에 밀봉된 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임;
    상기 밀봉가능한 챔버에 장착되며 신축적 캐리지를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈; 및
    두 개의 전달 로봇들 모두가 상기 신축적 캐리지와 유닛으로써 이동할 수 있도록 상기 신축적 캐리지에 장착된 두 개의 전달 로봇들로서, 상기 두 개의 전달 로봇들의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암 및 상기 신축적 캐리지에 의해 운반된 구동부를 갖는, 상기 두 개의 전달 로봇들;을 포함하는 반도체 공정 장치.
  38. 청구항 37에 있어서,
    상기 밀봉된 환경은 진공 환경이며, 상기 구동부는 상기 진공 환경 내에 배치된 밀봉된 구동부인 것을 특징으로 하는 반도체 공정 장치.
  39. 청구항 37에 있어서,
    상기 적어도 하나의 이송 모듈은 상기 신축적 캐리지가 이동가능하게 장착되는 베이스 부재를 포함하며, 상기 베이스 부재는 상기 프레임에 대하여 고정적으로 그리고 정지적으로 장착되는 것을 특징으로 하는 반도체 공정 장치.
  40. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들 및 상기 신축적 캐리지는, 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 정하는 것이 기판들을 집기 및 놓기 위한 상기 적어도 하나의 전달 아암의 위치를 정하는 것과 실질적으로 관계없이 결과지어지도록 구성되는 것을 특징으로 하는 반도체 공정 장치.
  41. 청구항 37에 있어서,
    상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 위치시키기 위해 상기 신축적 캐리지를 맞물리도록 구성된 운동학적 위치설정 요소들을 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  42. 청구항 37에 있어서,
    컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지를 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 하나 이상의 센서들로부터의 신호들에 기초하여 상기 밀봉가능한 챔버 내에서 상기 신축적 캐리지의 위치를 결정하도록 구성된 것을 특징으로 하는 반도체 공정 장치.
  43. 청구항 37에 있어서,
    컨트롤러 및 상기 컨트롤러에 연결된 하나 이상의 센서들을 더 포함하며, 상기 하나 이상의 센서들은 상기 두 개의 전달 로봇들의 각각에 의해 운반된 상기 밀봉가능한 챔버 내의 기판을 감지하도록 배치되고 그리고 구성되며, 상기 컨트롤러는 상기 두 개의 전달 로봇들의 각각에 의해 상기 기판의 이송 동안에 자동적 웨이퍼 센터링이 결과되도록 구성된 것을 특징으로 하는 반도체 공정 장치.
  44. 청구항 37에 있어서,
    상기 신축적 캐리지는 상기 밀봉가능한 챔버의 인접한 하나의 측면으로부터 상기 밀봉가능한 챔버의 다른 측면까지 연장하는 폭을 가로지르는 것을 특징으로 하는 반도체 공정 장치.
  45. 청구항 37에 있어서,
    상기 적어도 하나의 이송 모듈은 상기 밀봉가능한 챔버 내에서 측방향으로 나란히 배열된 두 개의 신축적 캐리지를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  46. 청구항 37에 있어서,
    상기 적어도 하나의 이송 모듈은 일련적으로 연결된 신축적 부재들을 갖는 다단식 신축적 캐리지 및 각각의 신축적 부재들 상에 분포된 구동 모터들을 갖는 구동 시스템을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  47. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들의 각각은 적어도 하나의 스카라(SCARA) 아암을 포함하며, 상기 적어도 하나의 SCARA 아암의 각각은 하나 이상의 아암 링크들을 가지는 것을 특징으로 하는 반도체 공정 장치.
  48. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들 각각은 상기 신축적 캐리지 상에 종적으로 옵셋된 대응하는 구동축을 가지는 것을 특징으로 하는 반도체 공정 장치.
  49. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들의 각각은 각기 구동 스핀들을 포함하며, 여기서 상기 두 개의 전달 로봇들의 제1의 것의 전달 아암이 상기 두 개의 전달 로봇들의 제2의 것의 전달 아암과 상이한 구동 스핀들에 장착되는 것을 특징으로 하는 반도체 공정 장치.
  50. 청구항 37에 있어서,
    상기 밀봉가능한 챔버는 슬롯 밸브들을 포함하며, 여기서 상기 두 개의 전달 로봇들 사이의 종적 공간은 슬롯 밸브들 사이의 종적 공간과 실질적으로 동일한 것을 특징으로 하는 반도체 공정 장치.
  51. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들 각각은 서로에 대하여 종적으로 옵셋되며 그리고 공간적으로 고정된 대응하는 구동축을 갖는 것을 특징으로 하는 반도체 공정 장치.
  52. 청구항 37에 있어서,
    상기 신축적 캐리지는 캐리지 프레임 및 상기 캐리지 프레임에 이동가능하게 장착된 로봇 지지대를 포함하며, 상기 두 개의 전달 로봇들 중의 하나는 상기 신축적 캐리지 상에서 상기 두 개의 전달 로봇들 중의 다른 하나에 대하여 종적으로 대체될 수 있도록 상기 로봇 지지대에 장착되는 것을 특징으로 하는 반도체 공정 장치.
  53. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들 중의 적어도 하나는 상기 밀봉가능한 챔버에 연통적으로 결합된 공통 기판 유지 스테이션에서 기판들을 빠르게 교환하기 위해 구성된 다수의 독립적으로 작동가능한 엔드 이펙터들을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  54. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 적어도 하나의 엔드 이펙터와 다른 평면에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  55. 청구항 54에 있어서,
    상기 두 개의 전달 로봇들의 각각의 상기 적어도 하나의 엔드 이펙터는 대항하는 관계에서 다른 것 위에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  56. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들의 각각은 적어도 하나의 엔드 이펙터를 포함하며, 상기 두 개의 전달 로봇들의 하나의 상기 적어도 하나의 엔드 이펙터는 상기 두 개의 전달 로봇들의 다른 것의 상기 적어도 하나의 엔드 이펙터와 실질적으로 동일 평면에 위치하는 것을 특징으로 하는 반도체 공정 장치.
  57. 청구항 37에 있어서,
    상기 반도체 공정 장치는, 상기 두 개의 전달 아암들 중의 하나의 동작이 상기 두 개의 전달 아암들 중의 다른 것의 동작에 방해되지 않도록 인터록들을 제공하도록 구성된 컨트롤러를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  58. 청구항 37에 있어서,
    상기 두 개의 전달 로봇들의 각각은 Z-축 드라이브를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  59. 청구항 37에 있어서,
    상기 적어도 하나의 이송 모듈은 공통 Z-축 드라이브를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  60. 청구항 37에 있어서,
    상기 두 개의 이송 로봇들 각각은 공통 구동 스핀들을 갖는 구동부 및 상기 공통 구동 스핀들에 장착된 다수의 이송 아암들을 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  61. 청구항 60에 있어서,
    상기 다수의 이송 아암들의 각각은 독립적으로 동작가능한 것을 특징으로 하는 반도체 공정 장치.
  62. 청구항 60에 있어서,
    상기 다수의 이송 아암들은 적어도 하나의 공통 구동축을 갖는 것을 특징으로 하는 반도체 공정 장치.
  63. 청구항 37에 있어서,
    상기 밀봉가능한 챔버는 밀봉가능한 포트들을 형성하는 슬롯 밸브들을 포함하며, 상기 슬롯 밸브들은 한 쌍의 공정 모듈들 및 단일의 공정 모듈들 중의 하나 이상을 상기 밀봉가능한 챔버에 연통적으로 결합시키도록 배열된 것을 특징으로 하는 반도체 공정 장치.
  64. 청구항 63에 있어서,
    상기 슬롯 밸브들은 공통의 수평 평면, 수직적으로 이격된 수평 평면들 및 이들의 조합 중의 하나 이상에 배열되는 것을 특징으로 하는 반도체 공정 장치.
  65. 청구항 63에 있어서,
    상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 전측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 후측에 기판 출구, 또는 상기 밀봉가능한 챔버의 종적 후측에 기판 입구 및 상기 밀봉가능한 챔버의 종적 전측에 기판 출구를 제공하도록 배열되는 것을 특징으로 하는 반도체 공정 장치.
  66. 청구항 63에 있어서,
    상기 슬롯 밸브들은 상기 밀봉가능한 챔버의 종적 엔드들 사이에 배치된 지점에서 상기 밀봉가능한 챔버로부터 기판 입구 및 출구를 제공하도록 배열되는 것을 특징으로 하는 반도체 공정 장치.
  67. 청구항 37에 있어서,
    대기 모듈 및 상기 밀봉가능한 챔버와 분리되고 구별되는 기판 이송 터널을 더 포함하며, 상기 기판 이송 터널은 상기 기판 이송 터널을 통하여 상기 밀봉가능한 챔버로부터 상기 대기 모듈까지 기판 통로를 제공하도록 상기 밀봉가능한 챔버에 연통적으로 결합되는 것을 특징으로 하는 반도체 공정 장치.
  68. 청구항 37에 있어서,
    상기 밀봉가능한 챔버는 적어도 두 개의 챔버 모듈들 사이에서 기판을 넘겨줄 수 있도록 서로 연통적으로 결합된 적어도 두 개의 챔버 모듈을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  69. 종축 및 측면들을 가지며, 내부에 진공 환경을 유지하도록 구성된 밀봉가능한 챔버를 형성하는 프레임;
    상기 밀봉가능한 챔버에 고정적으로 장착되며 신축적 캐리지를 가지는 적어도 하나의 이송 모듈로서, 상기 신축적 캐리지는 상기 이송 모듈의 다른 부분에 대하여 선형적으로 이동가능하도록 구성되며, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 적어도 하나의 이송 모듈; 및
    상기 신축적 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암 및 상기 진공 내에 배치된 밀봉된 구동부를 가지며, 여기서 상기 밀봉된 구동부는 전력, 데이터 통신 및 냉각 연결부들을 포함하는, 상기 적어도 하나의 전달 로봇;을 포함하는 반도체 공정 장치.
  70. 종축, 상기 종축의 양쪽으로 벌어진 측면들 및 적어도 하나의 로드 포트를 가지며, 내부에 제어된 환경을 유지하도록 구성된 챔버를 형성하는 프레임;
    상기 챔버 내에 적어도 부분적으로 배치되며, 신축적 캐리지가 상기 챔버의 다른 부분에 대하여 선형적으로 이동가능하도록 구성된 신축적 캐리지로서, 상기 신축적 캐리지와 나머지 부분은 상기 종축을 따른 신축적 운동을 정의하는, 상기 신축적 캐리지; 및
    상기 캐리지에 장착된 적어도 하나의 전달 로봇으로서, 상기 적어도 하나의 전달 로봇의 각각은 위에 기판을 유지하도록 구성된 적어도 하나의 전달 아암을 갖는, 상기 적어도 하나의 전달 로봇;을 포함하는 반도체 공정 장치.
  71. 청구항 70에 있어서,
    상기 신축적 캐리지에 연결되며, 상기 신축적 캐리지의 확장 및 수축 방향에 실질적으로 수직하는 방향으로 상기 신축적 캐리지를 이동시키도록 구성된 Z-축 드라이브를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  72. 청구항 70에 있어서,
    상기 신축적 캐리지에 장착되며, 상기 신축적 캐리지의 확장 및 수축 방향에 실질적으로 수직하는 방향으로 상기 적어도 하나의 전달 로봇을 이동시키도록 구성된 Z-축 드라이브를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
KR1020167013021A 2013-10-18 2014-10-16 공정 장치 KR102316440B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361892849P 2013-10-18 2013-10-18
US61/892,849 2013-10-18
US201361904908P 2013-11-15 2013-11-15
US61/904,908 2013-11-15
PCT/US2014/060893 WO2015057959A1 (en) 2013-10-18 2014-10-16 Processing apparatus

Publications (2)

Publication Number Publication Date
KR20160072217A true KR20160072217A (ko) 2016-06-22
KR102316440B1 KR102316440B1 (ko) 2021-10-22

Family

ID=52828696

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167013021A KR102316440B1 (ko) 2013-10-18 2014-10-16 공정 장치

Country Status (5)

Country Link
US (1) US10777438B2 (ko)
JP (1) JP6594304B2 (ko)
KR (1) KR102316440B1 (ko)
CN (1) CN105814677B (ko)
WO (1) WO2015057959A1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6594304B2 (ja) * 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
EP3188993A4 (en) * 2014-10-28 2018-08-29 Festo AG & Co. KG Universal end of arm robot tool
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
JP6663774B2 (ja) * 2016-03-30 2020-03-13 東京エレクトロン株式会社 基板搬送方法及び基板処理システム
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
CN106671090B (zh) * 2016-11-15 2023-09-05 宁波中科莱恩机器人有限公司 双夹具型二次元模内机器人
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
DE102017100507B4 (de) * 2017-01-12 2021-11-25 Ald Vacuum Technologies Gmbh Vorrichtung und Verfahren zur Beschichtung von Werkstücken
TWI765984B (zh) 2017-03-15 2022-06-01 美商蘭姆研究公司 具有線性真空傳送模組之降低的覆蓋區域平台架構
CN110520259B (zh) 2017-04-04 2021-09-21 牧今科技 控制装置、拾取系统、物流系统、存储介质以及控制方法
WO2018185858A1 (ja) 2017-04-04 2018-10-11 株式会社Mujin 制御装置、ピッキングシステム、物流システム、プログラム、制御方法、及び、生産方法
JP6258556B1 (ja) 2017-04-04 2018-01-10 株式会社Mujin 制御装置、ピッキングシステム、物流システム、プログラム、制御方法、及び、生産方法
CN110621451B (zh) 2017-04-04 2021-07-06 牧今科技 信息处理装置、拾取系统、物流系统、程序以及信息处理方法
CN110494259B (zh) * 2017-04-04 2020-11-10 牧今科技 控制装置、拾取系统、物流系统、程序、控制方法以及生产方法
CN107009354B (zh) * 2017-04-24 2020-05-12 上海宇航系统工程研究所 用于板块拼接的2sps+rrprr机器人抓取机构
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11020852B2 (en) * 2017-10-05 2021-06-01 Brooks Automation, Inc. Substrate transport apparatus with independent accessory feedthrough
US11264254B2 (en) * 2018-03-20 2022-03-01 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
KR102531483B1 (ko) 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
JP7008609B2 (ja) * 2018-10-18 2022-01-25 東京エレクトロン株式会社 基板処理装置、及び搬送位置補正方法
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
JP2021176168A (ja) * 2020-05-01 2021-11-04 東京エレクトロン株式会社 処理システム
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
US11358809B1 (en) * 2021-03-01 2022-06-14 Applied Materials, Inc. Vacuum robot apparatus for variable pitch access
US20240173810A1 (en) * 2021-03-24 2024-05-30 Dmg Mori Co., Ltd. Conveyance system
US20220372621A1 (en) * 2021-05-18 2022-11-24 Mellanox Technologies, Ltd. Cvd system with substrate carrier and associated mechanisms for moving substrate therethrough
KR102307687B1 (ko) * 2021-06-25 2021-10-05 (주) 티로보틱스 기판 이송 로봇을 진공 챔버 내에서 주행하기 위한 주행 로봇
EP4272244A1 (en) * 2022-03-08 2023-11-08 Applied Materials Italia S.R.L. Processing line for processing a substrate used for the manufacture of a solar cell and method of operating a processing line for processing a substrate used for the manufacture of a solar cell

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335413A (ja) * 1997-05-29 1998-12-18 Dainippon Screen Mfg Co Ltd 基板処理装置
US20010000048A1 (en) * 1990-08-29 2001-03-22 Shigekazu Kato Vacuum processing apparatus and operating method therefor
JP2004090186A (ja) * 2002-09-02 2004-03-25 Aitec Corp クリーン搬送ロボット
JP2004265947A (ja) * 2003-02-24 2004-09-24 Tokyo Electron Ltd 搬送装置及び真空処理装置並びに常圧搬送装置
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP2005317656A (ja) * 2004-04-27 2005-11-10 Tokyo Electron Ltd 真空処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2011199121A (ja) * 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置
US20110280693A1 (en) * 2002-07-22 2011-11-17 Brooks Automation, Inc. Substrate processing apparatus

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749390B2 (en) * 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
DE19725527A1 (de) 1997-06-17 1998-12-24 Philips Patentverwaltung Reaktor zur Verarbeitung von Wafern mit einer Schutzvorrichtung
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP2004106105A (ja) * 2002-09-18 2004-04-08 Seiko Instruments Inc 搬送ロボット
JP4023543B2 (ja) 2003-05-29 2007-12-19 東京エレクトロン株式会社 基板搬送装置および基板搬送方法ならびに真空処理装置
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
WO2013072760A2 (en) * 2011-10-26 2013-05-23 Brooks Automation, Inc. Semiconductor wafer handling and transport
TWI623994B (zh) * 2013-07-08 2018-05-11 布魯克斯自動機械公司 具有即時基板定心的處理裝置
JP6594304B2 (ja) * 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US10134621B2 (en) * 2013-12-17 2018-11-20 Brooks Automation, Inc. Substrate transport apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010000048A1 (en) * 1990-08-29 2001-03-22 Shigekazu Kato Vacuum processing apparatus and operating method therefor
JPH10335413A (ja) * 1997-05-29 1998-12-18 Dainippon Screen Mfg Co Ltd 基板処理装置
US20110280693A1 (en) * 2002-07-22 2011-11-17 Brooks Automation, Inc. Substrate processing apparatus
JP2004090186A (ja) * 2002-09-02 2004-03-25 Aitec Corp クリーン搬送ロボット
JP2004265947A (ja) * 2003-02-24 2004-09-24 Tokyo Electron Ltd 搬送装置及び真空処理装置並びに常圧搬送装置
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP2005317656A (ja) * 2004-04-27 2005-11-10 Tokyo Electron Ltd 真空処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2011199121A (ja) * 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置

Also Published As

Publication number Publication date
KR102316440B1 (ko) 2021-10-22
US20160293467A1 (en) 2016-10-06
JP6594304B2 (ja) 2019-10-23
JP2016540374A (ja) 2016-12-22
CN105814677A (zh) 2016-07-27
CN105814677B (zh) 2019-06-18
US10777438B2 (en) 2020-09-15
WO2015057959A1 (en) 2015-04-23

Similar Documents

Publication Publication Date Title
KR102316440B1 (ko) 공정 장치
JP7292249B2 (ja) 基板処理装置
KR102359364B1 (ko) 기판 프로세싱 장치
KR102430107B1 (ko) 기판 이송 장치
KR20160107327A (ko) 기판 이송 장치
US20240178032A1 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
TW202418459A (zh) 基板運送裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant