KR102430107B1 - 기판 이송 장치 - Google Patents

기판 이송 장치 Download PDF

Info

Publication number
KR102430107B1
KR102430107B1 KR1020167019346A KR20167019346A KR102430107B1 KR 102430107 B1 KR102430107 B1 KR 102430107B1 KR 1020167019346 A KR1020167019346 A KR 1020167019346A KR 20167019346 A KR20167019346 A KR 20167019346A KR 102430107 B1 KR102430107 B1 KR 102430107B1
Authority
KR
South Korea
Prior art keywords
axis
drive
freedom
arms
independent
Prior art date
Application number
KR1020167019346A
Other languages
English (en)
Other versions
KR20160098485A (ko
Inventor
로버트 티. 케이브니
율리시스 길크리스트
알렉산더 크루피쉐브
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Priority to KR1020227026818A priority Critical patent/KR20220114661A/ko
Publication of KR20160098485A publication Critical patent/KR20160098485A/ko
Application granted granted Critical
Publication of KR102430107B1 publication Critical patent/KR102430107B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

이송 장치는 프레임; 상기 프레임에 연결된 복수의 아암들로서, 각 아암은 상기 복수의 아암들 중 다른 것들에 대하여 상기 각 아암이 연장 및 수축을 하기 위한 독립적인 드라이브 축 및 엔드 이펙터를 갖는 상기 복수의 아암들; 적어도 하나의 아암의 연장 및 수축을 위한 상기 독립적인 드라이브 축에 대한 자유도를 정의하는 선형 레일; 및 각 아암에 의하여 공유되고 공통의 피벗 축 주위로 상기 복수의 아암들을 선회시키도록 구성된 공통 드라이브 축을 포함하고, 상기 복수의 아암들 중 적어도 하나는 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의한다.

Description

기판 이송 장치 {Substrate transport apparatus}
개시된 실시예의 태양들은 크게 로봇 시스템들에 관한 것으로서, 보다 구체적으로는 이송 로봇 시스템에 관한 것이다.
본 출원은 2013년 12월 17일에 제출된 임시특허출원 제61/917,056호의 정규 출원이며 그의 이익을 주장한다. 상기 임시특허출원 제61/917,056호의 개시 내용은 그 전체가 여기에 인용되어 포함된다.
예컨대 반도체 제조 산업에서, 워크피스 또는 반도체 기판들은 측방향으로 나란히 배열된 기판 홀딩 스테이션들을 갖는 선형의 툴 시스템 및/또는 클러스터 툴 시스템에서 처리될 수 있다. 일반적으로, 측방향으로 나란히 배열된 기판 홀딩 스테이션들을 갖는 이들 시스템들에서, 반도체 제조자들은 기판들을 상기 측방향으로 나란히 배열된 기판 홀딩 스테이션들에 실질적으로 동시에 또는 독립적으로 반입하거나 그로부터 반출할 수 있는 독립적인 방사 로봇들을 요구한다. 일반적으로 이러한 공정은 상기 측방향으로 나란히 배열된 기판 홀딩 스테이션들 사이의 간격과 실질적으로 동일한 간격을 이송 아암들 사이에 갖는 두 개의 이송 아암들을 제공함으로써 이루어져 왔다. 이러한 이송 아암들은 선형 슬라이드 또는 붐(boom) 아암 상에 장착될 수 있다. 또한 이러한 이송 아암들은 상기 기판 홀딩 스테이션들로 확장되거나 그로부터 수축되기 위하여 선형의 드라이브들을 채용할 수 있다.
측방향으로 나란히 배열된 두 개의 독립적인 아암들을 갖도록 구성되고 각 아암들이 각각의 방사축(radial axis)을 따라 액추에이션시키는 독립적인 회전 모터(rotary motor)를 가지면서 공통의 회전축 상에서 동작하도록 구성된 단일 로봇이 제공되면 유리할 것이다. 또한 두 개의 독립적인 아암들을 갖도록 구성되고 각 아암들이 공통의 방사축(radial axis)을 따라 액추에이션시키는 독립적인 회전 모터(rotary motor)를 가지면서 공통의 회전축 상에서 동작하도록 구성된 단일 로봇이 제공되면 유리할 것이다.
또한 상기 측방향으로 나란히 배열된 독립적인 아암들의 방사축을 따른 운동에 실질적으로 수직 방향으로 상기 측방향으로 나란히 배열된 독립적인 아암들이 운동하는 것을 제공함으로써 시스템 스테이션들의 오차를 보정하는 능력을 가져오면서 또한 이송 로봇의 세타축(theta axis)을 사용함 없이 온-더-플라이(on-the-fly) 기술을 이용하여 웨이퍼 배치를 보정하는 능력을 가져온다면 유리할 것이다.
위의 태양들 및 개시된 실시예의 다른 특징들은 첨부 도면들과 관련한 다음의 상세한 설명에서 설명된다.
도 1a 내지 도 1d는 개시된 실시예의 태양들에 따른 기판 처리 장치를 나타낸 개념도들이다.
도 1e 및 도 1f는 개시된 실시예의 태양들에 따른 도 1a 내지 도 1d의 기판 처리 장치들의 부분들을 나타낸 개념도들이다.
도 2a 내지 도 2d는 개시된 실시예의 태양들에 따른 기판 처리 장치를 나타낸 개념도들이다.
도 2e는 개시된 실시예의 태양들에 따른 드라이브부를 나타낸 개념도이다.
도 2f 내지 도 2j는 개시된 실시예의 태양들에 따른 이송 아암들을 나타낸 개념도들이다.
도 3a 내지 도 3e는 개시된 실시예의 태양들에 따른 도 2a 내지 도 2d에 도시된 기판 처리 장치들의 부분을 나타낸 개념도들이다.
도 3f 및 도 3g는 개시된 실시예의 태양들에 따른 드라이브부를 나타낸 개념도들이다.
도 4a 및 도 4b는 개시된 실시예의 태양들에 따른 도 2a 내지 도 2d에 도시된 기판 처리 장치들의 부분을 나타낸 개념도들이다.
도 5a 내지 도 5c는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 5d 및 도 5e는 개시된 실시예의 태양들에 따른 도 5a 내지 도 5c에 도시된 기판 처리 장치의 부분을 나타낸 개념도들이다.
도 6a 및 도 6b는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 7a 및 도 7b는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 7c는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도이다.
도 8a 및 도 8b는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 9a 내지 도 9f는 개시된 실시예의 태양들에 따른 도 8a 및 도 8b에 도시된 기판 이송 장치의 부분을 나타낸 개념도들이다.
도 10a 및 도 10b는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 11a 내지 도 11d는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 12a 내지 도 12c는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 13, 도 14 및 도 15는 개시된 실시예의 태양들에 따른 기판 이송 장치의 부분들을 나타낸 개념도들이다.
도 16a 내지 도 16c는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 17a 내지 도 17c는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다.
도 18은 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도이다.
도 19는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도이다.
도 20은 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도이다.
도 21은 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도이다.
도 1a 내지 도 1d는 개시된 실시예의 태양들에 따른 기판 이송 장치를 나타낸 개념도들이다. 상기 개시된 실시예의 태양들은 도면들을 참조하여 설명될 것이지만, 상기 개시된 실시예의 태양들은 많은 형태들로 구현될 수 있음을 이해하여야 한다. 또한 적절한 임의의 크기, 형태 또는 타입의 요소들 또는 물질들이 사용될 수 있다.
예컨대 반도체 툴 스테이션과 같은 처리 장치(100a, 100b, 100c, 100d)는 개시된 실시예의 태양에 따라 도시된다. 반도체 툴 스테이션이 도면들에 도시되지만, 여기에 설명되고 개시된 실시예의 태양들은 임의의 툴 스테이션 또는 로보틱 조작기들(manipulators)을 채용하는 응용기기에 응용될 수 있다. 일 태양에 있어서, 상기 처리 장치(100a, 100b, 100c, 100d)가 (예를 들면 중앙 챔버에 연결된 기판 홀딩 스테이션들을 갖는) 클러스터 툴 배열들을 갖는 것으로 도시되지만, 다른 태양들에서 상기 처리 장치는 선형적으로 배열된 툴일 수 있다. 그러나 개시된 실시예의 태양들은 임의의 적절한 툴 스테이션에 응용될 수 있다. 일반적으로 상기 장치(100a, 100b, 100c, 100d)는 대기 전방 단부(101), 적어도 하나의 진공 로드락(102, 102A, 102B), 및 진공 후방 단부(103)를 포함한다. 상기 적어도 하나의 진공 로드락(102, 102A, 102B)은 상기 전방 단부(101) 및/또는 후방 단부(103)의 임의의 적절한 포트(들) 또는 개구부(들)과 임의의 적합한 배열 방식으로 결합될 수 있다. 예를 들면, 일 태양에서 상기 로드락들(102, 102A, 102B)의 하나 이상은 도 1b 및 도 1c에서 볼 수 있는 바와 같이 공통의 수평 평면에 측방향으로 나란한 배열 방식으로 배열될 수 있다. 다른 태양들에 있어서, 하나 이상의 상기 로드락들은 도 1e에 도시된 바와 같이 적어도 두 개의 로드락들(102A, 102B, 102C, 102D)이 (예를 들면 공간적으로 이격된 수평 평면들을 갖는) 행들(rows) 및 (예를 들면 공간적으로 이격된 수직 평면들을 갖는) 칼럼들로 배열된 그리드(grid) 형태로 배열될 수 있다. 또 다른 태양들에 있어서, 상기 하나 이상의 로드락은 도 1a에 도시된 바와 같은 단일의 인-라인(in-line) 로드락(102)일 수 있다. 또 다른 태양에 있어서, 상기 적어도 하나의 로드락(102, 102E)은 도 1f에 도시된 바와 같이 적층된 인-라인의 배열로 배열될 수 있다. 상기 로드락들은 이송 챔버(125A, 125B, 125C, 125D)의 파셋(100F1) 또는 단부(100E1) 위에 도시되었지만, 다른 태양들에서 상기 하나 이상의 로드락은 상기 이송 챔버(125A, 125B, 125C, 125D)의 임의의 수의 측면들(100S1, 100S2), 단부들(100E1, 100E2) 또는 파세트들(100F1-100F8) 상에 배열될 수 있음을 이해하여야 한다. 또한 상기 적어도 하나의 로드락의 각각은 하나 이상의 웨이퍼/기판 휴지(resting) 평면들(WRP)(도 1f)을 포함할 수 있으며, 여기서 상기 로드락 각각의 내부에 있는 적절한 서포트들 위에 기판들이 홀드된다. 다른 태양들에 있어서, 상기 툴 스테이션은 임의의 적절한 구성을 가질 수 있다.
상기 전방 단부(101), 상기 적어도 하나의 로드락(102, 102A, 102B), 및 후방 단부(103)의 각각의 구성 부품들은 컨트롤러(110)에 연결될 수 있다. 상기 컨트롤러(110)는 예컨대 클러스터화 아키텍처 제어와 같은 임의의 적합한 제어 아키텍쳐의 일부일 수 있다. 상기 컨트롤 시스템은 2011년 3월 8일 간행된 "Scalable Motion Control System" 제하의 미합중국 특허 제7,904,182호에 개시된 것과 같이 마스터 컨트롤러, 클러스터 콘트롤러들 및 원격 자율 컨트롤러들을 갖는 폐루프 컨트롤러일 수 있다. 상기 특허 문헌의 개시 내용은 그 전체가 여기에 인용되어 통합된다. 다른 태양들에 있어서, 임의의 적절한 컨트롤러 및/또는 제어 시스템이 사용될 수 있다.
일 태양에 있어서, 일반적으로 상기 전방 단부(101)는 예컨대 장비 전방 단부 모듈(equipment front end module, EFEM)과 같은 미니-환경(106) 및 로드 포트 모듈들(105)을 포함한다. 상기 로드 포트 모듈들(105)은 300 mm 로드 포트들, 전면 개구부 또는 바닥 개구부 박스들/포트들 및 카세트들을 위한 SEMI 표준들 E15.1, E47.1, E62, E19.5 또는 E1.9에 맞는 BOLTS(box opener/loader to tool standard) 인터페이스들일 수 있다. 다른 태양들에서, 상기 로드 포트 모듈들은 200mm 웨이퍼/기판 인터페이스들, 450 mm 웨이퍼/기판 인터페이스들 또는 예를 들어, 더욱 큰 또는 작은 반도체 웨이퍼들/기판들, 플랫 패널 디스플레이들을 위한 플랫 패널들, 태양전지 패널들, 레티클들 또는 다른 적합한 물체들과 같은 어떠한 다른 적합한 기판 인터페이스들로서 구성될 수 있다. 비록 3 개의 로드 포트 모듈들(105)이 도 1a 내지 도 1d에 도시되어 있지만, 다른 태양들에서 어떠한 적합한 수의 로드 포트 모듈들이 상기 전방 단부(101) 속으로 결합될 수 있다. 상기 로드 포트 모듈들(105)은 오버헤드 이송 시스템, 자동화 안내 운송수단들, 인간 안내 운송수단들, 레일 안내 운송수단들 또는 어떠한 다른 적합한 이송 방법으로부터 기판 캐리어들 또는 카세트들(C)을 수령하도록 구성될 수 있다. 상기 로드 포트 모듈들(105)은 로드 포트들(107)을 통하여 상기 미니-환경(106)과 인터페이스될 수 있다. 상기 로드 포트들(107)은 상기 기판 카세트들과 상기 미니-환경(106) 사이에서 기판들의 통로를 허용할 수 있다. 상기 미니-환경(106)은 일반적으로 여기에서 기술된 상기 개시된 실시예의 하나 이상의 태양들과 결합할 수 있는 어떠한 적합한 전달 로봇을 포함한다. 하나의 태양에서, 상기 로봇(108)은 예를 들어, 1999년 12월 14일자로 발행된 미국 특허 번호 제6,002,840호, 2013년 4월 16일자로 발행된 제8,419,341호, 및 2010년 1월 19일자로 발행된 제7,648,327호에 기술된 것과 같은 트랙 장착된 로봇일 수 있으며, 이들의 개시내용들은 그 전체로서 여기에 참조로서 통합된다. 다른 태양들에서, 상기 로봇(108)은 상기 후방 단부(103)에 대하여 여기에서 기술된 것과 실질적으로 유사할 수 있다. 상기 미니-환경(106)은 다수의 로드 포트 모듈들 사이에서 기판 전달을 위한 제어된, 청정 영역을 제공할 수 있다.
상기 적어도 하나의 진공 로드락(102, 102A, 102B)은 상기 미니-환경(106)과 상기 후방 단부(103) 사이에 위치하며, 상기 미니-환경(106)과 상기 후방 단부(103)에 연결될 수 있다. 다른 태양들에 있어서, 상기 로드 포트들(105)은 상기 적어도 하나의 로드락(102, 102A, 102B) 또는 이송 챔버(125A, 125B, 125C, 125D)에 실질적으로 직접적으로 결합될 수 있으며, 여기서 상기 기판 캐리어(C)는 상기 이송 챔버(125A, 125B, 125C, 125D)의 진공까지 펌프 다운되며, 기판들이 상기 기판 캐리어(C)와 상기 로드락 또는 이송 챔버 사이에서 직접적으로 전달된다. 본 태양에서, 상기 기판 캐리어(C)는 상기 이송 챔버의 공정용 진공이 상기 기판 캐리어(C) 속으로 연장되도록 로드락으로서 기능할 수도 있다. 알 수 있는 바와 같이, 상기 기판 캐리어(C)가 적합한 로드 포트를 통하여 상기 로드락에 실질적으로 직접적으로 결합되는 경우에, 임의의 적합한 이송 장치가 상기 기판 캐리어(C)를 향하여 그리고 상기 기판 캐리어(C)로부터 기판들을 전달하기 위하여 상기 로드락 내에 제공되거나, 또는 그렇지 않다면 상기 기판 캐리어(C)에 접근 가능할 수 있다. 여기서 사용될 때, 진공이라는 용어는 그 안에서 기판이 처리되는, 10-5 Torr 또는 그 미만과 같은 고진공을 가리킬 수 있음을 언급한다. 상기 적어도 하나의 로드락(102, 102A, 102B)은 대기 및 진공 슬롯 밸브들을 일반적으로 포함한다. (상기 처리 스테이션(130)용 뿐만 아니라) 상기 로드락들(102, 102A, 102B)의 상기 슬롯 밸브들은 기판을 상기 대기압의 전방 단부로부터 로딩한 후에 상기 로드락을 배기하기 위해, 그리고 질소와 같은 불활성 가스로 상기 락을 배기할 때 상기 이송 챔버 내에서 상기 진공을 유지하기 위해 채용된 환경적 격리를 제공할 수 있다. 여기서 설명되는 바와 같이, 상기 처리 장치(100A, 100B, 100C, 100D)의 상기 슬롯 밸브들은 상기 이송 챔버(125A, 125B, 125C, 125D)에 결합된 적어도 상기 처리 스테이션들(130) 및 로드락들(102, 102A, 102B)로의 그리고 이들로부터의 기판들의 전달을 수용하기 위해, (상기 로드 포트들과 관련하여 위에서 설명된 바와 같이) 동일 평면, 수직적으로 적층된 상이한 평면들 또는 상기 동일 평면에 위치한 슬롯 밸브들 및 수직 적층된 상이한 평면들에 위치한 슬롯 밸브들의 조합에 위치할 수 있다. 또한 상기 적어도 하나의 로드락(102, 102A, 102B)(및/또는 상기 전방 단부(101))은 상기 기판의 기준점(fiducial)을 원하는 공정 위치로 정렬하기 위한 정렬기 또는 임의의 다른 적합한 기판 도량형학(metrology) 장비를 포함할 수 있다. 다른 태양들에 있어서, 상기 진공의 로드락은 상기 처리 장치의 임의의 적합한 위치에 위치될 수 있으며, 임의의 적합한 구성을 가질 수 있다.
일반적으로 상기 진공의 후방 단부(103)는 이송 챔버(125A, 125B, 125C, 125D), 하나 이상의 처리 스테이션(들)(103) 및 여기서 설명된 상기 개시된 실시예들의 하나 이상의 태양들을 포함하는 하나 이상의 이송 로봇을 포함하는 임의의 적합한 수의 이송 유닛 모듈들(104)을 포함한다. 상기 이송 챔버(125A, 125B, 125C, 125D)는 예컨대 SEMI 표준 E72 가이드라인들에 부합하는 임의의 적절한 크기 및 모양을 가질 수 있다. 상기 이송 유닛 모듈(들)(104) 및 상기 하나 이상의 이송 로봇은 아래에서 설명될 것이며, 상기 로드락(102, 102A, 102B)과 (또는 로드 포트에 위치한 카세트(C)와) 상기 여러 가지 처리 스테이션들(130) 사이에서 기판들을 이송하기 위하여 상기 이송 챔버(125A, 125B, 125C, 125D) 내에 적어도 부분적으로 위치할 수 있다. 일 태양에 있어서, 상기 이송 유닛 모듈(104)은 상기 이송 유닛 모듈(104)이 SEMI 표준 E72 가이드라인들에 부합하도록 모듈 유닛으로써 상기 이송 챔버(125A, 125B, 125C, 125D)로부터 제거 가능할 수 있다.
상기 처리 스테이션들(130)은 상기 기판들 상에 전기적 회로 또는 다른 원하는 구조물을 형성하기 위해 여러 가지 퇴적, 식각, 또는 다른 타입의 공정들을 통하여 상기 기판들에 대하여 동작할 수 있다. 통상적인 공정들은 플라즈마 식각 또는 다른 식각 공정들, 화학 기상 증착(chemical vapor deposition, CVD), 플라즈마 기상 증착(plasma vapor deposition, PVD), 이온 주입과 같은 주입, 도량형학, 급속 열처리(rapid thermal processing, RTP), 건식 스트립 원자층 증착(atomic layer deposition, ALD), 산화/확산, 질화물들의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본더 및 증발과 같은 진공을 사용하는 박막 공정들 또는 진공 압력들을 사용하는 다른 박막 공정들을 포함하지만 여기에 한정되는 것은 아니다. 기판들이 상기 이송 챔버(125)로부터 상기 처리 스테이션들(130)로 통과하도록 그리고 그 반대로 통과되도록 허용하기 위해, 상기 처리 스테이션들(130)은 슬롯 밸브들(SV)을 통하여서와 같이 임의의 적합한 방식으로 상기 이송 챔버(125A, 125B, 125C, 125D)에 연통가능하게 연결된다. 상기 이송 챔버(125)의 상기 슬롯 밸브들(SV)은 한 쌍의(twin) (예를 들어, 공통 하우징 내에 위치한, 하나보다 많은 기판 처리 챔버) 또는 측방향으로 나란히 배열된 처리 스테이션들(130T1, 130T2), 단일의 처리 스테이션들(130S) 및/또는 적층된 처리 모듈들/로드락들(도 1e 및 도 1f)의 연결을 허용하도록 배열될 수 있다.
상기 이송 챔버(125A, 125B, 125C, 125D)에 연결된 로드락들(102, 102A, 102B)(또는 카세트(C)), 상기 처리 스테이션(130)으로 기판들을 반입하는 것과 이들로부터 기판들을 반출하는 것은 상기 이송 유닛 모듈(104)의 하나 이상의 아암들이 소정의 처리 스테이션(130)과 정렬될 때 일어날 수 있음을 언급한다. 개시된 실시예의 태양들에 따라, (예컨대 도 1b, 도 1c 및 도 1d에 도시된 바와 같이 측방향으로 나란히 배열되거나(side-by-side) 또는 앞뒤로 나란히 배열된(tandem) 처리 스테이션들로부터 기판을 픽업하거나 이들에 기판을 위치시킬 때와 같이) 하나 이상의 기판들이 미리 정해진 각각의 처리 스테이션(130)으로 개별적으로 또는 실질적으로 동시에 이송될 수 있다. 일 태양에 있어서, 상기 이송 유닛 모듈(104)은 붐 아암(143) (도 1d 참조) 또는 선형의 캐리지(carriage)(144) 상에 장착될 수 있다. 상기 캐리지(144)는 2013년 10월 18일에 제출된 "Processing Apparatus" 제하의 미합중국 임시특허출원번호 제61/892,849호 및 2013년 11월 15일에 제출된 "Processing Apparatus" 제하의 미합중국 임시특허출원번호 제61/904,908호, 그리고 2013년 2월 11일에 제출된 "Substrate Processing Apparatus" 제하의 국제특허 출원번호 제PCT/US13/25513호에 설명된 것과 같은 것일 수 있다. 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다.
이제 도 2a 내지 도 2d를 참조하면, 일 태양에 있어서, 상기 이송 유닛 모듈(104)은 적어도 하나의 드라이브부(200, 201) 및 적어도 하나의 이송 아암(210, 211, 212, 213)을 포함한다. 상기 적어도 하나의 드라이브부(200, 201)는 공통 드라이브부(200)를 포함할 수 있다. 상기 공통 드라이브부(200)는 Z축 드라이브(270) 및 회전 드라이브부(282) 중의 하나 이상을 수용하는 프레임(200F)을 포함한다. 상기 프레임(200F)의 내부(200FI)는 뒤에서 설명되는 바와 같은 임의의 적합한 방식으로 씰링될 수 있다. 일 태양에 있어서, 상기 Z축 드라이브는 상기 Z축을 따라 상기 적어도 하나의 이송 아암(210, 211, 212, 213)을 움직이도록 구성된 임의의 적합한 드라이브일 수 있다. 상기 Z축 드라이브는 도 2e에 나사 타입의 드라이브로 도시되었지만, 다른 태양들에 있어서 상기 드라이브는 선형 액추에이터, 압전 모터 등과 같은 임의의 적합한 선형 드라이브일 수 있다. 상기 회전 드라이브부(282)는 예컨대 하모닉 드라이브부와 같은 임의의 적합한 드라이브부로서 구성될 수 있다. 예를 들면, 상기 회전 드라이브부(282)는 임의의 적합한 수의 하모닉 드라이브 모터들(280)을 포함할 수 있다. 일 태양에 있어서, 도 2e에 도시된 상기 회전 드라이브부(282)는 구동 샤프트(280S)에 대하여 하나의 하모닉 드라이브 모터(280)를 포함하지만, 그러나 다른 태양들에 있어서 상기 드라이브부는 예컨대 상기 동축 드라이브 시스템 내의 임의의 적합한 수의 구동 샤프트들에 대응되는 임의의 적합한 수의 하모닉 드라이브 모터들을 포함할 수 있다. 상기 이송 유닛 모듈(104)이 원하는 회전(T) 및 연장(R) 운동들을 하는 동안 충분한 안정성 및 간극을 가지면서 자성 유체(ferrofluidic) 씰(276, 277)의 부품 피스들이 상기 하모닉 드라이브 모터(280)에 의해 적어도 부분적으로 지지되고 센터링되도록 상기 하모닉 드라이브 모터(280)는 고용량의 출력 베어링들을 가질 수 있다. 상기 자성 유체 씰(276, 277)은 뒤에서 설명되는 바와 같이 실질적으로 동심의 동축 씰을 형성하는 여러 부품들을 포함할 수 있다. 본 실시예에 있어서, 상기 회전 드라이브부(282)는 미합중국 특허 제6,845,250호; 제5,899,658호; 제5,813,823호; 및 제5,720,590호에 설명된 것과 실질적으로 유사한 방식으로 상기 드라이브 모터(280)를 수용하는 하우징(281)을 포함한다. 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다. 상기 자성 유체 씰(276, 277)은 상기 구동 샤프트 조립체 내의 각 구동 샤프트(280S)를 씰링하기 위하여 공차가 주어질(toleranced) 수 있다. 또한 예를 들면 상기 드라이브(200)에 장착된 적어도 하나의 이송 아암(210, 211, 212, 213) 및/또는 (예컨대 드라이브부(201)와 같은) 다른 드라이브부로의 연결을 위하여 와이어들(290) 또는 다른 임의의 적절한 아이템들이 상기 드라이브 조립체를 관통하여 지나가는 것을 허용하도록 구동 샤프트(280S)는 중공 구조를 가질 수 있음(예컨대 상기 구동 샤프트의 중심을 따라 길이 방향으로 달리는 구멍을 갖는)을 언급한다.
일 태양에 있어서, 상기 하우징(281)은 캐리지(270C)에 장착될 수 있다. 상기 캐리지(270C)는 상기 Z축 드라이브가 상기 Z축을 따라 상기 캐리지(및 그 위에 배치된 상기 하우징(281))를 작동시키도록 상기 Z축 드라이브(270)에 결합된다. 알 수 있는 바와 같이, 상기 적어도 하나의 이송 아암(210, 211, 212, 213)이 그 안에서 동작하는 제어된 분위기를 (대기압 ATM 환경에서 동작할 수 있는) 상기 드라이브(200)의 내부로부터 씰링하기 위하여 위에서 설명된 자성 유체 씰(276, 277) 및 벨로우즈 씰(275) 중 하나 이상을 포함할 수 있다. 상기 적어도 하나의 이송 아암(210, 211, 212, 213)이 그 안에서 동작하는 제어된 분위기로부터 상기 프레임(200F)의 내부(200FI)가 격리되도록 상기 벨로우즈 씰(275)은 상기 캐리지(270C)에 결합된 일단 및 상기 프레임(200FI)의 임의의 적합한 부분에 결합된 타단을 가질 수 있다.
본 태양에 있어서, 상기 적어도 하나의 이송 아암(210, 211, 212, 213)의 각각에 공통될 수 있는 공통축(CAX) 주위로 화살표 T의 방향으로 상기 드라이브부(201)를 회전시키기 위하여 상기 구동 샤프트(280S)는 상기 드라이브부(201)에 결합될 수 있다. 여기서 상기 드라이브부(201)는 베이스 부재(250) 및 적어도 하나의 드라이브 부분들(251, 252)을 포함할 수 있다. 본 태양에 있어서, 두 개의 드라이브 부분들(251, 252)이 있지만, 다른 태양들에 있어서 임의의 적합한 수의 드라이브 부분들이 제공될 수 있다. 상기 베이스 부재(250)는 내부 챔버(250P)를 형성하는 프레임을 포함한다. 또한 각 드라이브 부분(251, 252)은, 상기 베이스 부재(250)의 내부 챔버(250P)와 씰링되어 연통되는 내부 챔버(300P)를 형성하는 프레임(251F, 252F)을 포함한다. 알 수 있는 바와 같이, 각 드라이브 부분(251, 252)은, 예를 들면 임의의 적합한 커버(250C)에 의하여 밀봉될 수 있는 임의의 적합한 액세스 개구를 포함할 수 있다. 도 2b에서 볼 수 있는 바와 같이, 상기 베이스 부재(250)는 제 1 단부 및 제 2 단부를 포함할 수 있으며, 드라이브 부분(251, 252)이 상기 단부들의 하나에 각각 씰링 결합된다(sealingly coupled). 상기 드라이브 부분들은 서로에 대하여 임의의 적절한 각도 β로 배열될 수 있으며, 그로써 상기 드라이브 부분들의 위에 장착된 아암(들)이 배치된 상기 이송 챔버들(125A, 125B, 125C, 125D)의 포트들을 통하여 상기 아암(들)의 연장축/수축축이 연장될 수 있다. 예를 들면, 일 태양에 있어서 (상기 드라이브 부분들(251, 252)의 상기 연장축/수축축 사이의 각도에 대응될 수 있는) 상기 각도 β는 이송 챔버(125A)의 파세트들(100F1-100F8)(도 1a)의 각도 α와 동일하거나 또는 실질적으로 같을 수 있다. 다른 태양들에 있어서, 예컨대 이송 챔버(125B)(도 1b), 이송 챔버(125C)(도 1c), 및 이송 챔버(125D)(도 1d)의 측방향으로 나란한(side-by-side) 포트들을 통하여 연장되기 위하여 상기 드라이브 부분들의 연장/수축의 축들이 (그리고 그 위에 장착된 상기 아암(들)이) 서로에 대하여 실질적으로 평행하도록 상기 각도 β는 대략 0일 수 있다. 또 다른 태양들에 있어서 상기 드라이브 부분들(251, 252)의 상기 연장/수축의 축들이 서로에 대하여 임의의 적합한 각도 β를 가질 수 있도록 상기 각도 β는 (뒤에서 설명되는 바와 같이 자동화를 통하여 또는 수동으로) 조정 가능할 수 있다. 예를 들면, 상기 각도 β는 상기 이송 챔버(125C)(도 1c)의 포트들을 통하여 연장되기 위하여 및/또는 뒤에서 설명되는 바와 같이 자동적인 워크피스의 센터링을 위하여 0과 θ 사이의 각도에서 조정될 수 있다. 또 다른 태양들에 있어서, 상기 드라이브 부분들 사이의 각도 β 및/또는 간격은 고정될 수 있으며 그렇게 함으로써 상기 이송 유닛 모듈(104)의 아암들은 상기 공통 드라이브 축들(CAX)의 회전 및 각 드라이브 부분(251, 252)의 독립적인 연장 또는 동작을 통해 각진 파세트들을 갖는 상기 이송 모듈들의 포트들을 통하여 연장될 수 있다. 상기 베이스 부재(250)는 각 드라이브 부분(251, 252)의 연장 및 수축(R1, R2)의 축들이 고정된 거리만큼 이격되도록 임의의 적합한 길이(L1)를 가질 수 있다. 상기 고정된 거리는 상기 시스템 툴 구성에 의하여 주어지는 요구조건들(예컨대 상기 이송 유닛 모듈(104)이 배치된 모듈의 포트들 사이의 거리)에 대응되거나 아니면 매치될 수 있다.
또한 도 3a 내지 도 3g를 참조하면, 드라이브 부분(251, 252)은 드라이브 부분(251)과 관련하여 설명될 것이다. 드라이브 부분(252)은 드라이브 부분(251)과 실질적으로 유사할 수 있음을 이해하여야 한다. 앞서 언급된 바와 같이, 드라이브 부분(251)은 프레임(251F)을 포함하며, 상기 프레임(251F)은 제 1 프레임 부재(251F1) 및 제 2 프레임 부재(251F2)로 구성될 수 있다. 상기 제 1 프레임 부재(251F1)와 상기 제 2 프레임 부재(251F2)는 임의의 적합한 방식으로 서로 씰링 결합된다. 다른 태양들에 있어서, 상기 프레임은 임의의 적합한 구성을 가질 수 있고, 임의의 적합한 수의 프레임 부재들로 구성될 수 있다. 상기 드라이브 부분(251)의 내부 챔버(300P)가 상기 베이스 부재(250)의 내부 챔버(250P)와 씰링 소통되도록, 상기 프레임(251F)은 상기 프레임(251F)을 상기 베이스 부재(250)에 임의의 적합한 방식으로 장착하기 위하여 구성된 개구부 또는 개방부(251M)를 포함할 수 있고, 그렇게 함으로써 공통 대기 환경이 드라이브부(200)의 하우징(281)의 내부 및 상기 내부 챔버들(250P, 300P) 사이에서 공유된다. 본 태양에 있어서, 상기 드라이브 부분(251)은 두 개의 이송 아암들(212, 213)을 지지하고 구동하도록 구성될 수 있지만, 그러나 다른 태양들에서 상기 드라이브 부분(251)은 임의의 적합한 수의 이송 아암들을 지지하고 구동하도록 구성될 수 있다. 상기 드라이브 부분(251)은 상기 각 이송 아암들(212, 213)의 각각을 연장하거나 수축시키는 독립적인 드라이브 축에 대하여 자유도를 정의하도록 구성된 제 1 선형 레일 또는 슬라이드(310A, 310B)(일반적으로 선형 레일 또는 슬라이드(310)) 및 제 2 선형 레일 또는 슬라이드(311A, 311B)(일반적으로 선형 레일 또는 슬라이드(311))를 포함할 수 있다. 본 태양에 있어서, 상기 드라이브 부분은 예컨대 밴드 및 풀리 드라이브 동력전달(transmission)을 통하여 각 아암(212, 213)을 구동하기 위한 제 1 드라이브 모터(320) 및 제 2 드라이브 모터(321)를 포함한다. 상기 모터들은 회전 모터들(rotary motors)로서 도시되지만, 다른 태양들에서는 예컨대 다이렉트 드라이브 선형 모터, 선형 압전 모터들, 선형 인덕턴스 모터들, 선형 동기 모터들, 브러시 선형 모터들 또는 무브러시(brushless) 선형 모터들, 선형 스텝퍼 모터들, 선형 서보 모터들, 릴럭턴스 모터들 등과 같은 임의의 적합한 모터(들) 및/또는 임의의 적절한 드라이브 동력전달(들)이 사용될 수 있다. 적합한 선형 모터들의 예들은 2011년 10월 31일에 제출된 "Linear Vacuum Robot with Z Motion and Articulated Arm" 제하의 미합중국 특허출원 제13/286,186호; 2011년 6월 13일에 제출된 "Substrate Processing Apparatus" 제하의 미합중국 특허출원 제13/159,034호; 및 2011년 3월 8일에 간행된 "Apparatus and Methods for Transporting and Processing Substrates" 제하의 미합중국 특허 제7,901,539호; 2012년 10월 23일에 간행된 "Apparatus and Methods for Transporting and Processing Substrates" 제하의 미합중국 특허 제8,293,066호; 2013년 4월 16일에 간행된 "Linear Vacuum Robot with Z Motion and Articulated Arm" 제하의 미합중국 특허 제8,419,341호; 2009년 8월 18일에 간행된 "Substrate Processing Apparatus" 제하의 미합중국 특허 제7,575,406호; 및 2011년 6월 14일에 간행된 "Substrate Processing Apparatus" 제하의 미합중국 특허 제7,959,395호에 설명되어 있다. 이들 특허 문헌들의 개시 내용들은 그들 전체가 여기에 인용되어 포함된다.
상기 제 1 드라이브 모터(320) 및 제 2 드라이브 모터(321)는 드라이브 모터(280)와 실질적으로 유사한 하모닉 드라이브들일 수 있지만, 다른 태양들에서 상기 드라이브 모터들(320, 321)은 임의의 적합한 드라이브 모터들일 수 있다. 드라이브 모터들(320, 321)의 각각은 상기 모터(320, 321)의 구동 샤프트(370)가 각각의 드라이브 풀리(332B, 333A)에 임의의 적합한 방식으로 커플링되기 위하여 연장되면서 관통하는 상기 프레임(251)의 개구부를 씰링하기 위하여 자성 유체 씰과 같은 각각의 씰(320S, 321S)을 가질 수 있다. 상기 드라이브 풀리(332B, 333A)는 예컨대 하나 이상의 밴드들에 의하여 임의의 적합한 방식으로 각각의 종동 풀리(332A, 333B)에 커플링될 수 있다. 예를 들면 드라이브 풀리(332B)는 밴드들(330A, 330B)에 의하여 종동 풀리(332A)에 커플링될 수 있다. 드라이브 풀리(332A)는 밴드들(331A, 333B)에 의하여 종동 풀리(333B)에 커플링될 수 있다. 상기 밴드들(330A, 330B, 331A, 333B)은 예컨대 2013년 8월 26일에 제출된 "Substrate Transport Apparatus" 제하의 미합중국 임시특허출원 제10/869,870호에 설명되어 있는 것들과 같은 임의의 적합한 밴드들일 수 있다. 상기 특허 문헌의 개시 내용은 그 전체가 여기에 인용되어 포함된다. 알 수 있는 바와 같이, 여기에 설명된 상기 드라이브 축들은, 각 드라이브 모터의 위치를 감지하고 예컨대 상기 이송 유닛 모듈(104)을 제어하기 위한 컨트롤러(110)와 같은 임의의 적합한 컨트롤러에 하나 이상의 신호들을 송신하기 위하여 인코더들(371, 296, 371)과 같은 임의의 적합한 인코더들(encoders)을 가질 수 있다. 알 수 있는 바와 같이, 상기 베이스 부재(250) 및 상기 드라이브 부분들(251, 252)의 씰링된 내부는 각 드라이브 부분(251, 252)의 드라이브 모터들(320, 321)이 그 안에서 상기 이송 아암들(210-213)이 동작하는 환경으로부터 분리된 또는 그렇지 않다면 씰링된 대기 환경 내에 위치되는 것을 허용한다. 또한 상기 베이스 부재(250) 및 상기 드라이브 부분들(251, 252)의 씰링된 내부는 상기 드라이브부(200)로부터 상기 드라이브부(201)까지 라우팅하는 와이어 또는 호스(hose)를 참작할 수 있다.
도 2a 내지 도 2d 그리고 도 4a 및 도 4b를 다시 참조하면, 상기 이송 아암들(210-213)은 상기 개시된 실시예의 태양들에 따른 드라이브 부분(252)와 관련하여 설명될 것이다. 본 태양에 있어서, 상기 이송 아암들(210-213)은 텔레스코핑(telescoping) 구성을 갖지만 다른 태양들에 있어서 상기 이송 아암들(210-213)은 임의의 적합한 구성을 가질 수 있다. 또한 본 태양에 있어서 각 드라이브 부분(251, 252)은 두 개의 텔레스코핑 아암들(210-213)을 포함하지만, 다른 태양들에 있어서 각 드라이브 부분(251, 252)에 임의의 적합한 수의 이송 아암들이 제공될 수 있다. 본 태양에 있어서, 각 이송 아암들(210-213)은 베이스 부재(210B, 211B) 및 각 베이스 부재(210B, 211B)에 가동적으로(movably) 커플링된 엔드 이펙터(210E, 211E)를 포함한다. 각 베이스 부재(210B, 211B)는 내부를 가질 수 있으며, 상기 내부에는 연장/수축의 축을 따라 상기 엔드 이펙터를 구동하기 위하여 임의의 적합한 동력전달기(transmission)가 배치될 수 있다. 상기 베이스 부재의 내부는 상기 이송 아암들이 동작하는 환경에 노출될 수 있지만, 그러나 임의의 파티클들이 상기 환경으로 들어오거나 또는 상기 환경 내로 반입된 워크피스들과 접촉하는 것을 막기 위하여 라비린스 씰(labyrinth seal)과 같은 임의의 적합한 씰들을 포함할 수 있다. 여기에 설명된 각 엔드 이펙터는 엔드 이펙터 안착 평면(SP)을 포함함을 언급한다(도 2d). 기판이 상기 엔드 이펙터에 의하여 유지될 때 기판은 상기 엔드 이펙터 안착 평면(SP)에 위치된다. 베이스 부재(210B)는 상기 드라이브 부분(252)에 대하여 상대적으로 움직일 수 있도록 선형 레일들(310A, 310B)을 통하여 상기 드라이브 부분(252)에 가동적으로 결합될 수 있다. 베이스 부재(211B)는 상기 드라이브 부분(252)에 대하여 상대적으로 움직일 수 있도록 선형 레일들(311A, 311B)을 통하여 상기 드라이브 부분(252)에 가동적으로 결합될 수 있다. 상기 선형 레일들에 의하여 정의된 상기 이송 아암들(210, 211)의 각각에 대한 자유도가 서로에 대하여 평행하도록 (예를 들면 상기 엔드 이펙터들의 이송 평면이 하나 위에 다른 것이 겹쳐지도록 배치된다) 각 아암(210, 211)은 각 레일들에 의하여 정의된 자유도를 갖는다. 알 수 있는 바와 같이, 이송 아암들(212, 213)은 유사한 평행한 자유도들을 갖는다. 알 수 있는 바와 같이 아암(211)에 대하여 상기 선형 레일들에 의하여 정의된 상기 자유도는 이송 아암(212)에 대하여 상기 선형 레일들에 의하여 정의된 상기 자유도와 동일 평면 상에 존재할(coplanar) 수 있고(예컨대 각 이송 아암(211, 212)의 엔드 이펙터들은 동일 평면 내에 위치된다), 한편 아암(210)에 대하여 상기 선형 레일들에 의하여 정의된 상기 자유도는 이송 아암(213)에 대하여 상기 선형 레일들에 의하여 정의된 상기 자유도와 동일 평면 상에 존재할 수 있다(예컨대 각 이송 아암(210, 213)의 엔드 이펙터들은 동일 평면 내에 위치된다).
상기 베이스 부재(210B)가 상기 밴드들(330A, 330B) 중 적어도 하나와 결합됨으로써, 상기 밴드들(330A, 330B)이 상기 모터(320)에 의하여 구동될 때, 상기 베이스 부재(210B)가 상기 밴드들(330A, 330B) 중 적어도 하나와 함께 연장/수축의 방향(R)으로 움직이도록, 상기 베이스 부재들(210B, 211B)은 상기 드라이브 부분(252) 위에 측방향으로 나란히(side-by-side) 배치될 수 있다. 상기 밴드들(331A, 331B)이 상기 모터(321)에 의하여 구동될 때, 상기 베이스 부재(211B)가 상기 밴드들(331A, 331B) 중 적어도 하나와 함께 연장/수축의 방향(R)으로 움직이도록 베이스 부재(211B)는 상기 밴드들(331A, 331B) 중 적어도 하나와 결합된다. 다른 태양들에 있어서, 상기 베이스 부재들은 서로에 대하여 임의의 적합한 공간적 배열을 가질 수 있다.
베이스 부재(210B)는 상기 베이스 부재의 내부 안에 적어도 부분적으로 배치된 선형 레일 또는 슬라이드(410A, 410B)를 포함할 수 있다. 상기 베이스 부재에는 상기 베이스 부재(210B)와 상기 드라이브 부분(252)의 상대적인 회전을 위하여 엔드 이펙터(210E)가 가동적으로 장착된다. 각 베이스 부재(210B, 211B)의 내부 안의 상기 단부들에 또는 임의의 다른 적절한 위치에 풀리들(410, 411, 420, 421)이 회전 가능하게 장착될 수 있다. (위에서 설명된 것들과 유사한) 하나 이상의 밴드들, 단일 연속 루프 밴드/벨트 또는 임의의 다른 적절한 동력전달 부재(412, 422)가 상기 풀리들(410, 411, 420, 421)의 각각을 서로 결합시킬 수 있다. 일 태양에 있어서 상기 베이스 부재(210B, 211B)와 상기 프레임(252F) 사이의 상대적인 운동이 각 동력전달 부재(412, 422)를 구동하도록 각 동력전달 부재(412, 422)는 상기 드라이브 부분(252)의 프레임(252F)에 놓여질(grounded) 수 있다. 상기 베이스 부재(211B)가 화살표(R)의 방향으로 움직일 때 상기 엔드 이펙터도 상기 베이스 부재(211B)에 대하여 상대적으로 화살표(R)의 방향으로 예컨대 상기 풀리들(410, 411)에 의하여 정의되는 임의의 적합한 구동비로 움직이도록 상기 엔드 이펙터(211E)는 상기 동력전달 부재(412)에 결합될 수 있다. 유사하게, 상기 베이스 부재(210B)가 화살표(R)의 방향으로 움직일 때 상기 엔드 이펙터도 상기 베이스 부재(210B)에 대하여 상대적으로 화살표(R)의 방향으로 예컨대 상기 풀리들(420, 421)에 의하여 정의되는 임의의 적합한 구동비로 움직이도록 상기 엔드 이펙터(210E)는 상기 동력전달 부재(412)에 결합될 수 있다. 알 수 있는 바와 같이, 엔드 이펙터들(211E, 212E)과 같은 상기 엔드 이펙터들 중 하나 위에 브릿지 부재(400)가 제공될 수 있으며, 그럼으로써 상기 엔드 이펙터들이 다른 것의 위로 또는 아래로 지나가는 것을 허용하면서 상기 엔드 이펙터들(211E, 212E)이 각 드라이브 부분(251, 252)의 다른 엔드 이펙터(210E, 213E)의 위에 배치될 수 있다.
위에서 언급된 바와 같이, 여기에 설명된 상기 이송 아암들(또는 아래에 설명된 바와 같이 슬라이딩 아암들)은 오직 예시적인 목적들을 위하여 텔레스코프 아암들로 도시된다. 그러나, 다른 태양들에 있어서 상기 이송 아암들은 도 2g에 도시된 바와 같은, 예컨대 선형적으로 슬라이드되는 아암(214)과 같은 임의의 적합한 이송 아암일 수 있다. 다른 태양들에 있어서, 상기 아암들은 SCARA 아암(215)(도 2h) 또는 임의의 적합한 아암 연결 메커니즘들을 갖는 다른 적절한 아암일 수 있다. 아암 연결 메커니즘들의 적합한 예시들은, 예컨대 2009년 8월 25일 간행된 미합중국 특허번호 제7,578,649호, 1998년 8월 18일 간행된 제5,794,487호, 2011년 5월 24일 간행된 제7,946,800호, 2002년 11월 26일 간행된 제6,485,250호, 2011년 2월 22일 간행된 제7,891,935호, 2013년 4월 16일 간행된 제8,419,341호, 및 2011년 11월 10일 제출된 "Dual Arm Robot" 제하의 미합중국 특허출원번호 13/293,717호, 2013년 9월 5일 제출된 "Linear Vacuum Robot with Z Motion and Articulated Arm" 제하의 특허출원변호 제13/861,693호에서 확인될 수 있다. 상기 특허 문헌들의 모든 개시 내용들은 그들 전체가 여기에 인용되어 포함된다. 상기 개시된 실시예의 태양들에 있어서, 상기 적어도 하나의 이송 아암은 상부 아암, 밴드-구동 전방 아암 및 밴드-구속된 엔드 이펙터를 포함하는 통상적인 선택적 순응 관절 로봇 아암 (selective compliant articulated robot arm, SCARA) 타입 설계로부터 또는 텔레스코프 아암 또는 다른 임의의 적절한 아암 설계로부터 유도될 수 있다. 이송 아암들의 적합한 예시들은, 예를 들면 2008년 5월 8일 제출된 "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism" 제하의 미합중국 특허출원번호 제12/117,415호 및 2010년 1월 19일 간행된 미합중국 특허번호 제7,648,327호에서 확인될 수 있다. 상기 특허 문헌들의 모든 개시 내용들은 그들 전체가 여기에 인용되어 포함된다. 상기 이송 아암들의 동작은 서로 독립적일 수 있거나(예를 들면, 각각의 아암의 연장/수축은 다른 아암들로부터 독립적이다), 로스트 모션 스위치를 통해서 동작될 수 있거나, 또는 아암들이 적어도 하나의 공통된 드라이브 축을 공유하도록 하는 임의의 적합한 방식으로 동작가능하게 연결될 수 있다. 또 다른 태양들에 있어서, 상기 이송 아암들은 프로그-레그(frog-leg) 아암(216)(도 2f) 구성, 립 프로그(leap frog) 아암(217)(도 2j) 구성, 이중-대칭형 아암(218)(도 2i) 구성 등과 같은 임의의 다른 원하는 배열을 가질 수 있다. 이송 아암들의 적합한 예시들은, 2001년 5월 15일 간행된 미합중국 특허번호 제6,231,297호, 1993년 1월 19일 간행된 제5,180,276호, 2002년 10월 15일 간행된 제6,464,448호, 2001년 5월 1일 간행된 제6,224,319호, 1995년 9월 5일 간행된 제5,447,409호, 2009년 8월 25일 간행된 제7,578,649호, 1998년 8월 18일 간행된 제5,794,487호, 2011년 5월 24일 간행된 7,946,800호, 2002년 11월 26일 간행된 제6,485,250호, 2011년 2월 22일 간행된 제7,891,935호, 그리고 2011년 11월 10일 제출된 "Dual Arm Robot" 제하의 미합중국 특허출원번호 제13/293,717호 및 2011년 10월 11일 제출된 "Coaxial Drive Vacuum Robot" 제하의 제13/270,844호에서 확인될 수 있다. 상기 특허 문헌들의 모든 개시 내용들은 그들 전체가 여기에 인용되어 포함된다.
이제 도 5a 내지 도 5e를 참조하면, 개시된 실시예의 태양들에 따른 이송 유닛 모듈(104A)이 도시된다. 상기 이송 유닛 모듈(104A)은 설명된 이송 유닛 모듈(104)와 실질적으로 유사하며 드라이브부들(200, 201')을 포함한다. 여기서 상기 드라이브부(201')는 내부 챔버(551)를 형성하는 프레임(550F)을 갖는 베이스 부재(550)를 포함한다. 일 태양에 있어서, 상기 내부 챔버(551)는 제거 가능한 커버(550C) 그리고 상기 커버(550C)가 상기 프레임(550F)와 메이트(mate)될 때의 상기 커버(550C)와 상기 프레임(550F) 사이의 계면을 씰링하기 위한 임의의 적합한 씰링 부재(550CS)를 포함할 수 있다. 상기 내부 챔버는 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 드라이브부(200)의 내부와 소통될 수 있다. 본 태양에 있어서, 상기 아암들의 연장/수축의 축(R)에 대하여 각을 이루는 자유도를 갖는 상기 드라이브 부분들(251, 252)의 아암들을 제공하기 위하여, 상기 드라이브 부분들(251, 252)은 임의의 적합한 방식으로 드라이브부(201')의 상기 베이스 부재(550)에 가동적으로 장착될 수 있다.
일 태양에 있어서, 상기 드라이브부는 상기 드라이브 부분들(251, 252)의 각각을 가동적으로 지지하기 위하여 벨로우즈(bellows) 또는 씰링된 지지 부재들(560, 561)을 포함할 수 있다. 상기 벨로우즈 부재들(560, 561)의 각각은 벨로우즈 씰(560B, 561B)에 의하는 것과 같이 임의의 적합한 방식으로 장착 부재(560M, 561M)에 가동적으로 연결되는 스탠드오프(standoff) 부재(560S, 561S)를 포함할 수 있다. 상기 스탠드오프 부재(560S, 561S)가 각각의 개구부 또는 개방부(575A, 575B)를 통하여 상기 내부 챔버(551) 내에 적어도 부분적으로 삽입되도록 상기 장착 부재(560M, 561M)는 임의의 적합한 방식으로 상기 프레임(550F)에 커플링되도록 구성된다. 일 태양에 있어서 상기 내부 챔버(551) 내의 씰링된 환경을 유지하기 위하여 임의의 적합한 씰링 부재(565A, 565B)가 상기 장착 부재(560M, 561M)와 상기 프레임(550F) 사이에 배치될 수 있다. 일 태양에 있어서 상기 벨로우즈 씰(560B, 561B)은 임의의 적합한 물질로 구성될 수 있고, 예를 들면, 상기 스탠드오프 부재(560S, 561S)에 대하여, 예를 들면, X축 및 Z축 방향들로의 축에 대한 지지를 제공하도록 임의의 적합한 구성을 가질 수 있다. 다른 태양들에 있어서, 상기 스탠드오프 부재(560S, 561S)는, 상기 내부 챔버(551) 내에 적어도 부분적으로 위치되고 상기 아암들이 동작하는 환경으로부터 씰링된 선형 레일들, 부싱, 베어링류 등에 의하는 것과 같이 임의의 적절한 방식으로 축의 방향으로 지지될 수 있다. 예를 들면, 상기 프레임(550F)은 상기 내부 챔버(551)의 내부에 배치된 하나 이상의 선형 레일들(588A, 588B)을 포함할 수 있다(도 5e). 각 스탠드오프 부재(560S, 561S)는 하나 이상의 레일 가이드 부재들(GM1, GM2, GM3, GM4)을 포함하거나 또는 하나 이상의 레일 가이드 부재들(GM1, GM2, GM3, GM4)에 고정 장착될 수 있다. 상기 하나 이상의 레일 가이드 부재들(GM1, GM2, GM3, GM4)은 상기 스탠드오프 부재들(560S, 561S)이 상기 선형 레일들을 따라 화살표(599)의 방향으로 선형 운동 가능하도록 그리고 상기 선형 레일들(588A, 588B)에 의하여 X 방향 및 Z 방향으로 지지되도록 구성된다.
각 스탠드오프 부재(560S, 561S)는, 상기 스탠드오프 부재(560S, 561S)와 각 드라이브 부분(251, 252)을 임의의 적합한 방식으로 결합시키도록 구성된 인터페이스(566A, 566B)를 포함한다. 그럼으로써 상기 스탠드오프 부재(560S, 561S)의 내부 통로(IP)는 상기 베이스 부재(550)와 상기 각 드라이브 부분(251, 252) 사이의 예컨대 와이어들, 호스(hose)들 등의 통과를 가능하게 하면서 각 드라이브 부분(251, 252)의 상기 내부 챔버(551)와 상기 내부 챔버(300P) 사이의 대기적 소통을 용이하게 한다. 알 수 있는 바와 같이, 상기 스탠드오프 부재들(560S, 561S)의 내부 통로(IP)를 통과하여 지나가는 임의의 와이어들, 호스(hose)들 등의 움직이는 부분을 잡아주거나 또는 그렇지 않다면 고정시키기(securing) 위한 임의의 적합한 결속들(tide downs) 또는 유지(retention) 부재(597)가 상기 내부 챔버(551)의 내부에 제공될 수 있다. 일 태양에 있어서, 상기 내부 챔버(551, 300P)의 내부 분위기가 상기 이송 아암들이 작동하는 환경으로부터 씰링될 수 있도록 상기 인터페이스(566A, 566B)와 각 프레임(251F, 252F) 사이에 씰링 부재(566S)가 배치될 수 있다.
각 스탠드오프 부재(560S, 561S)는 상기 개구부(575A, 575B)를 통과하여 적어도 부분적으로 상기 베이스 부재(550)의 상기 내부 챔버(551) 내부로 연장되고 내부 통로(IP)를 형성하는 샤프트 부분(560SP, 561SP)을 포함할 수 있다. 하나 이상의 드라이브들(510, 520)은, 적어도 하나의 스탠드오프 부재(560S, 561S) 및 그에 커플링된 드라이브 부분(251, 252)에 (예를 들면 Y축을 따라 화살표(599)의 방향으로) 선형의 운동을 부여하기 위하여 임의의 적합한 방식으로 상기 베이스 부재(550) 내에 배치될 수 있다. 알 수 있는 바와 같이, 상기 화살표(599)의 방향으로의 상기 드라이브 부분들(251, 252)의 움직임은 상기 드라이브 부분들(251, 252)에 장착된 이송 아암들(210-213)의 이동도 가져온다. 일 태양에 있어서 상기 드라이브들(510, 520)은 모터(510M, 520M), 상기 모터에 의하여 구동되는 볼 스크루(510S, 520S) 및 상기 볼 스크루를 따라 진행하는 볼 너트를 갖는 볼 스크루 드라이브들과 같은 임의의 적합한 선형 드라이브들일 수 있다. 상기 볼 너트는 볼 너트 어댑터 또는 커플러(510C, 520C)에 결합될 수도 있고, 그렇지 않다면 볼 너트 어댑터 또는 커플러(510C, 520C)와 일체로 될 수도 있다. 상기 커플러(510C, 520C)는 각 스탠드오프 부재(560S, 561S)에 고정되어 연결되고, 상기 스탠드오프 부재들(560S, 561S)이 각 드라이브(510, 520)에 결합되도록 상기 볼 스크루와 결합되기 위한 볼 너트를 포함한다. 일 태양에 있어서, 상기 커플러(510C, 520C)는 예를 들면 각 스탠드오프 부재(560S, 561S)의 하나 이상의 레일 가이드 부재들(GM1-GM4)에 결합되며, 한편 다른 태양들에서 상기 커플러(510C, 520C)는 각 스탠드오프 부재(560S, 561S)의 샤프트 부분(560SP, 561SP)과 통합되거나 또는 상기 샤프트 부분(560SP, 561SP)에 실질적으로 직접적으로 커플링될 수 있다. 여기서 각 드라이브(510, 520)의 드라이브 축은 실질적으로 Y 축을 따라 (예를 들면 상기 스탠드오프 부재들(560S, 561S)의 움직임의 방향(599)과 실질적으로 평행하게) 배열된다. 그럼으로써 상기 볼 스크루가 회전할 때 상기 커플러(510C, 520C)는 각 스탠드오프 부재(560S, 561S)를 화살표(599)의 방향으로 이동시키면서 상기 화살표(599)의 방향으로 상기 볼 스크루를 따라 움직인다. 상기 드라이브들(510, 520)은 선형의 볼 스크루 드라이브들로 도시되었지만, 다른 태양들에 있어서 상기 드라이브들은 다이렉트 드라이브 선형 모터, 선형 압전 모터들, 선형 인덕턴스 모터들, 선형 동기 모터들, 브러시 선형 모터들 또는 무브러시(brushless) 선형 모터들, 선형 스텝퍼 모터들, 선형 서보 모터들, 릴럭턴스 모터들 또는 다른 임의의 적절한 선형 드라이브일 수 있다. 또 다른 태양들에 있어서 상기 스탠드오프 부재(560S, 561S)(및 그 위에 위치된 상기 아암들)를 임의의 적절한 동력전달 부재(들)을 통하여 화살표(599)의 방향으로 구동하기 위하여 회전 모터들이 제공될 수 있다.
도 5a 내지 도 5e에서는 상기 드라이브부(201')가 두 개의 선형 드라이브들(510, 520)을 갖고 이들이 서로에 대하여 및/또는 상기 베이스 부재(550)에 대하여 상대적으로 상기 이송 아암들(210-213)을 화살표(599)의 방향으로 Y축을 따라 이동시키기 위한 것으로 도시되었지만, 다른 태양들에 있어서 상기 드라이브부(201')는 오직 하나의 드라이브 부분(251, 252)이 상기 Y축을 따라 상기 베이스 부재(550) 및/또는 다른 드라이브 부분(251, 252)에 대하여 상대적으로 움직일 수 있도록 하나의 선형 드라이브로 구성될 수 있다. 알 수 있는 바와 같이, 하나 이상의 드라이브 부분(251, 252)의 이동은 상기 드라이브 부분들(251, 252)의 연장/수축의 축들(R1, R2) 사이의 거리(D) 또는 상기 공통 회전축(CAX)과 연장/수축의 각 축들(R1, R2) 사이의 거리(D1, D2)의 변화를 가져온다. 상기 연장/수축의 축(R1, R2)의 하나 이상의 변위는, 상기 이송 아암들(210-213)이 워크피스들을 픽업하거나 또는 워크피스들을 처리 스테이션들(130)에 위치시킬 때 예를 들면 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링을 허용할 수 있다. 예를 들면, 도 1b를 참조하면, 연장/수축의 축들(R1, R2)이 처리 스테이션들(130T1, 130T2)을 마주하도록 드라이브부(200)가 상기 베이스 부재(550)를 회전시킬 수 있다. 임의의 적합한 자동화 워크피스 센터링 절차 또는 알고리듬에 따라 상기 처리 스테이션들(130T1, 130T2)의 하나 이상 내에서 상기 연장/수축의 축을 워크피스 홀딩 스테이션과 정렬시키기 위하여 상기 연장/수축의 각 축들(R1, R2) 중 하나 이상은 화살표(599)의 방향으로 Y축을 따라 이동할 수 있다. 예를 들면, 하나 이상의 센서(123A, 123B)는 아암(210-213)에 의하여 운반되는 워크 피스의 하나 이상의 피처들을 감지하기 위하여 각 처리 스테이션(130T1, 130T2)에 인접하여 배치될 수 있다. 상기 하나 이상의 센서(123A, 123B)는 예컨대 상기 워크피스(S)의 센터를 결정하기 위한 컨트롤러(110)와 같은 임의의 적합한 컨트롤러에 임의의 적합한 신호들을 전송할 수 있다. 상기 각 연장/수축의 축(R1, R2)이 이동됨으로써 상기 워크피스(S)의 센터가 상기 처리 스테이션(130T1, 130T2) 내에서 워크피스 홀딩 스테이션의 소정 위치와 정렬되도록, 상기 컨트롤러(110)는 상기 이송 유닛 모듈(104A)의 하나 이상의 드라이브들을 제어할 수 있다. 일 태양에 있어서 예컨대 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대하여 독립적인 워크피스 배치 조정 및/또는 자동 워크피스 센터링을 위하여 연장/수축의 두 축들이 모두 이동될 수 있다. 다른 태양에 있어서, 연장/수축의 축(R1)만이 이동함으로써 상기 워크피스(S)의 중심이 상기 처리 스테이션(130T1) 내의 상기 워크피스 홀딩 스테이션의 소정 위치와 정렬되도록 드라이브 부분(251)을 움직이기 위하여 선형 모터(520)가 동작할 수 있다. 또 다른 태양에 있어서, 연장/수축의 축(R2)만이 상기 처리 스테이션(130T2) 내의 상기 워크피스 홀딩 스테이션과 정렬되도록 드라이브 부분(252)을 움직이기 위하여 선형 모터(510)가 동작할 수 있다. 자동 워크피스 센터링의 적절한 예시들은 예컨대 2012년 9월 14일 제출된 "Wafer Center Finding with Kalman Filter" 제하의 미합중국 특허출원 제13/617,333호 및 2011년 4월 12일 간행된 "Process Apparatus with On-The-Fly Workpiece Centering" 제하의 미합중국 특허번호 제7,925,378호; 2010년 12월 28일 간행된 "Wafer Center Finding with Charge-Coupled Devices" 제하의 제7,859,685호; 2012년 9월 18일 간행된 "Wafer Center Finding with a Kalman Filter" 제하의 제8,270,702호; 2010년 9월 7일 간행된 "Wafer Center Finding" 제하의 제7,792,350호; 2011년 2월 22일 간행된 "Wafer Center Finding" 제하의 제7,894,657호; 2012년 2월 28일 간행된 "Wafer Center Finding with Charge-Coupled Devices" 제하의 제8,125,652호; 및 2012년 8월 28일 간행된 "Wafer Center Finding with Charge-Coupled Devices" 제하의 제8,253,945호에서 확인될 수 있다. 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다. 알 수 있는 바와 같이, 상기 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대하여 독립적인 워크피스 배치 조정 및/또는 자동 워크피스 센터링을 이루기 위하여 상기 Y축을 따른 상기 아암들(210-213)(및 그 위에 홀드된 워크피스들)의 변위 및/또는 상기 드라이브 모터들(510, 520)의 위치를 감지하기 위하여 위에서 설명된 것들과 실질적으로 유사한 하나 이상의 인코더들(510E, 520E)이 제공될 수 있다.
이제 도 6a 및 도 6b를 참조하면, 개시된 실시예의 태양들에 따른 이송 유닛 모듈(104B)이 도시된다. 상기 이송 유닛 모듈(104B)은 위에서 설명된 이송 유닛 모듈(104A)과 실질적으로 유사할 수 있다. 본 태양에 있어서, 회전 드라이브 부분들(600, 601)은 벨로우즈 부재들(560, 561)에 드라이브 부분들(251, 252)과 관련하여 위에서 설명된 바와 실질적으로 유사한 방식으로 결합된다. (도 2f 내지 도 2j와 관련하여 위에서 설명된 바 또는 회전 모터에 의하여 구동될 수 있는 임의의 다른 적절한 이송 아암과 같은) 하나 이상의 적절한 이송 아암(605, 606)은 임의의 적합한 방식으로 각 회전 드라이브 부분(600, 601)에 구동적으로 장착될 수 있다. 본 태양에 있어서 상기 회전 드라이브 부분들(600, 601)의 각각은 프레임 또는 하우징(600F, 601F), 제 1 드라이브 모터(610) 및 상기 프레임(600F, 601F)에 임의의 적절한 방식으로 장착된 제 2 드라이브 모터(620)를 포함한다. 상기 모터의 배열은 도시된 바와 같은 동축 모터 배열일 수 있고 또는 다른 태양들에 있어서 상기 모터들은 측방향으로 나란히 배열될 수 있다. 상기 드라이브 모터들은 예컨대 압전 모터들, 인덕턴스 모터들, 동기 모터들, 브러시 모터들 또는 무브러시(brushless) 모터들, 선형 스텝퍼 모터들, 서보 모터들, 릴럭턴스 모터들, 하모닉 드라이브 모터들 등과 같은 임의의 적합한 모터들일 수 있다. 상기 제 1 모터(610)는 고정자(610S) 및 회전자(610R)를 포함할 수 있다. 상기 제 2 모터(620)는 고정자(620S) 및 회전자(620R)를 포함할 수 있다. 본 태양에 있어서, 동축 구동 샤프트 조립체(645)가 적어도 부분적으로 상기 프레임(600F, 601F) 내에 회전 가능하도록 예컨대 임의의 적합한 베어링들로 또는 (예를 들면 자기-부상 모터(self-bearing motor)와 같이) 실질적으로 접촉이 없는 것과 같이 임의의 적합한 방식으로 지지된다. 상기 동축 구동 샤프트 조립체(645)는 외측 구동 샤프트(640) 및 내측 구동 샤프트(650)를 포함한다. 상기 회전자(610R)가 회전할 때 상기 외측 구동 샤프트(640)가 그와 함께 회전하도록 상기 회전자(610R)는 상기 외측 구동 샤프트(640)에 임의의 적합한 방식으로 결합될 수 있다. 다른 태양들에 있어서, 임의의 적합한 수의 구동 샤프트들을 구동하기 위하여 상기 회전 드라이브 부분들(600, 601)의 각각에 임의의 적합한 수의 드라이브 모터들이 제공될 수 있다. 일 태양에 있어서 상기 회전자들(610R, 620R) 및 구동 샤프트 조립체(645)는 상기 이송 아암들(605, 606)이 그 안에서 동작하는 환경 내에 배치될 수 있다. 상기 이송 아암들이 동작하는 환경으로부터 상기 고정자들이 격리되거나 씰링되고, 상기 베이스 부재(550) 및 드라이브부(200)의 내부와 공통되는 대기 환경 내에 상기 고정자들이 배치되도록 캔 씰(can seal)과 같은 임의의 적합한 씰(660)이 고정자들(610S, 620S)과 그들 각각의 회전자들(610R, 620R) 사이에 배치될 수 있다. 다른 태양들에 있어서, 상기 이송 아암들(605, 606)이 동작하는 환경으로부터 상기 회전자들(210R, 220R) 및 고정자들(210S, 220S)을 씰링하기 위하여 하나 이상의 자성 유체 씰이 각 구동 샤프트(640, 650)의 주위에 제공될 수 있다. 회전 드라이브 부분들의 적절한 예시들은 예를 들면 2011년 10월 11일 제출된 "Coaxial Drive Vacuum Robot" 제하의 미합중국 특허출원번호 제13/270,844호; 2012년 10월 5일 제출된 "Robot Drive with Magnetic Spindle Bearings" 제하의 제13/646,282호; 1998년 2월 24일 간행된 "Articulated Arm Transfer Device" 제하의 미합중국 특허번호 제5,720,590호; 1999년 5월 4일 간행된 "Articulated Arm Transfer Device" 제하의 미합중국 특허번호 제5,899,658호; 1998년 9월 29일 간행된 "Articulated Arm Transfer Device" 제하의 미합중국 특허번호 제5,813,823호; 및 2012년 10월 9일 간행된 "Robot Drive with Magnetic Spindle Bearings" 제하의 미합중국 특허번호 제8,283,813호에서 확인될 수 있다. 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다. 다른 태양들에 있어서, 하나 이상의 상기 드라이브 부분들(600, 601)은 그에 부착된 상기 아암들 중 하나 이상을 다른 드라이브 부분(600, 601)의 아암들에 대하여 상대적으로 움직일 수 있도록 구성된 Z-축 드라이브(600Z, 601Z)를 포함할 수도 있다.
이제 도 7a 내지 도 7c를 참조하면, 개시된 실시예의 태양들에 따른 이송 유닛 모듈(104C)이 도시된다. 상기 이송 유닛 모듈(104C)은 위에서 설명된 이송 유닛 모듈(104)과 실질적으로 유사할 수 있다. 본 태양에 있어서, 각 아암(210-213)에 대한 상기 드라이브 모터(320, 321)가 상기 베이스 부재(201') 내에 배치되면서 드라이브 부분들(251', 252')은 엔드 이펙터(210E-213E)의 안착 평면(seating plane)과 실질적으로 평행한 풀리들을 갖는 드라이브 시스템을 포함할 수 있다. 도 7a를 참조하여 드라이브 부분(251')이 설명될 것이나, 드라이브 부분(252')은 드라이브 부분(251')과 실질적으로 유사함을 이해하여야 한다. 상기 드라이브 부분(251')은 임의의 적합한 크기, 모양 미/또는 구성을 가질 수 있는 프레임(251F')을 포함한다. 상기 프레임(251F')은 예시적인 목적으로 평판으로 도시되지만, 다른 태양들에서 상기 프레임(251F')은 상기 드라이브 동력전달부들을 수용하기 위한 임의의 적합한 커버들을 포함함으로써 상기 드라이브 동력전달부에 의하여 생성된 어떤 파티클들이 상기 아암들이 동작하는 환경에 들어가는 것을 실질적으로 방지하도록 상기 커버들이 구성된다. 상기 프레임(251F')은 각 베이스 부재(210B, 211B)가 그 위에 가동적으로 장착되는 이송 유닛 모듈(104, 104A)과 관련하여 위에서 설명된 것들과 유사한 임의의 적합한 레일 또는 가이드 부재들을 포함할 수 있다. 각 드라이브 모터(320, 321)는 구동 샤프트를 포함할 수 있으며, 상기 구동 샤프트는 위에서 설명된 것들과 실질적으로 유사할 수 있는 각 벨트 또는 밴드(740, 741)를 구동하기 위한 각 드라이브 풀리(733A, 733B)에 결합된다. 알 수 있는 바와 같이, 각 베이스 부재(210B, 211B)에 인접하는 상기 벨트 또는 밴드(740, 741)를 안내하기 위하여 임의의 적합한 아이들러 풀리들(734A, 734B, 735A, 735B)이 상기 프레임(251F')에 장착되어 제공될 수 있으며, 그럼으로써 상기 벨트 또는 밴드는 위에서 설명된 방식으로 상기 각 아암(210, 211)을 구동하기 위한 베이스 부재에 고정 커플링되거나 또는 앵커링 결합될 수 있다. 역시 알 수 있는 바와 같이, 상기 모터들이 상기 드라이브부(200)와 공통의 대기 환경에 배치되도록 상기 베이스 부재(201')는 예컨대 커버들(250C)로 적절하게 씰링될 수 있다. 도 7a에서는 상기 베이스 부재(201')에 두 개의 드라이브 부분들이 장착되는 것으로 도시되었지만, 다른 태양들에서, 둘보다 더 많거나 또는 둘보다 더 적은 수의 드라이브 부분들이 상기 베이스 부재(201')에 장착될 수 있다. 예를 들면, 도 7c는 상기 베이스 부재(201')에 두 개의 아암들을 갖는 하나의 드라이브 부분이 장착된 것을 나타낸다. 알 수 있는 바와 같이, 도 7c에 도시된 상기 하나의 드라이브 부분은 위에서 설명된 것과 실질적으로 유사한 3축 드라이브일 수 있다. 일 태양에 있어서, 상기 3축 드라이브의 하나의 드라이브 축은 아암(210)의 연장/수축을 구동하기 위한 풀리들에 결합될 수 있고, 상기 3축 드라이브 중 하나의 축은 하나의 유닛으로서 상기 아암들(210, 211)을 회전시키기 위해 상기 프레임(251F')에 결합될 수 있고, 상기 3축 드라이브 중 하나의 축은 아암(211)의 연장/수축을 구동하기 위한 풀리들에 결합될 수 있다.
이제 도 8a 내지 도 9d를 참조하면, 개시된 실시예의 태양들에 따른 이송 유닛 모듈(104D)이 도시된다. 상기 이송 유닛 모듈(104D)은 앞서 설명된 이송 유닛 모듈(104)과 실질적으로 유사할 수 있다. 본 태양에 있어서, 상기 드라이브부들(800, 801)은 단일 스테이지 선형적 슬라이딩 아암들(810-813)로 구성된다. 본 태양에 있어서, 각 드라이브부들(800, 801)은 씰링된 모터부(860) 및 동력전달부(853)를 갖는 프레임(851, 852)을 포함한다. 상기 드라이브부들(800, 801)은 드라이브부(801)에 대하여 설명될 것이지만, 드라이브부(800)는 실질적으로 유사하고 도 8a에 도시된 바와 같이 거울상으로 반대(opposite in hand)일 수 있음을 이해하여야 한다. 상기 씰링된 모터부(860)는 하우징 또는 커버(851C1)를 포함할 수 있으며, 상기 하우징 또는 커버(851C1)는 상기 프레임(851)에 고정 장착되고 모터들(320, 321)을 수용하도록 구성된다. 상기 하우징(851C1)은 상기 모터들(320, 321)이 드라이브부(200) 내의 대기 환경과 공통되는 대기 환경 내에 배치되도록 임의의 적합한 씰링 부재(860S)로 상기 프레임에 씰링될 수 있다. 위에서 설명된 바와 실질적으로 유사한 방식으로, 상기 하우징(851C1)은, 상기 하우징(851C1)을 상기 씰링된 지지 부재(560)에 앞서 설명된 바와 같이 임의의 적합한 방식으로 장착하도록 구성된 개구부 또는 개방부(851M)를 포함할 수 있다. 알 수 있는 바와 같이, 상기 하우징(851C1)은 임의의 적절한 재료로 구성될 수 있으며, (예를 들면 상기 씰링된 지지 부재(560)에 결합되었을 때) 상기 프레임(851), 드라이브 부품들, 상기 아암들(812, 813) 및 상기 아암들(812, 813)에 의하여 운반되는 임의의 워크피스들을 지지하도록 구성될 수 있다. 상기 씰링된 모터부(860) 내의 씰링된 대기를 유지하기 위하여, 예컨대 상기 모터들(320, 321) 각각의 자성 유체(ferrofluidic) 씰(320S)이 상기 드라이브 샤프트들(370)이 관통하여 연장되는 상기 프레임(851) 내의 각 개방부들(973, 974)을 씰링하도록 상기 모터들(320, 321)은 임의의 적합한 방식으로 상기 프레임(251)에 장착될 수 있다.
적어도 하나의 아암(812, 813)의 독립 드라이브 축에 대한 자유도를 정의하도록 하나 이상의 선형 레일들 또는 가이드 부재들(930, 931)은 임의의 적합한 방식으로 상기 동력전달부(853) 내의 프레임(851)에 장착될 수 있다. 본 태양에 있어서, X축 또는 상기 아암들(812, 813)의 연장/수축축(R)을 따라 배치된 두 개의 가이드 레일들(930, 931)이 있다. 그러나 다른 태양들에 있어서, 임의의 적합한 수의 아암들을 가이드하기 위하여 임의의 적합한 수의 레일들이 제공될 수 있다. 캐리어 또는 아암 서포트(940, 941)가 각 레일에 장착됨으로써 상기 레일에 의하여 지지되고 X축을 따라 (예컨대 연장/수축축(R)을 따라) 움직일 수 있다. 본 태양에 있어서, 캐리어(940)는 가이드 레일(930)에 이동 가능하도록 장착되고, 캐리어(941)는 가이드 레일(931)에 이동 가능하도록 장착된다. 각 캐리어는 각 아암(812, 813)이 고정적으로 부착되는 아암 장착부(940M, 941M)를 포함할 수 있다. 연장/수축의 축(R)을 따라 하나의 캐리어(940, 941)가 상기 캐리어들(940, 941) 중 다른 하나에 의하여 지나가는 것을 허용하도록 상기 캐리어들(940, 941)은 임의의 적합한 방식으로 구성될 수 있다. 임의의 적합한 드라이브 동력전달들을 통하여 캐리어(940)는 모터(320)에 결합될 수 있고 캐리어(941)는 모터(321)에 (또는 그 역으로) 결합될 수 있다. 일 태양에 있어서, 샤프트(370)가 회전할 때 상기 드라이브 풀리(921)가 그와 함께 회전하도록 드라이브 풀리(921)는 모터(320)의 샤프트(370)에 결합될 수 있다. 아이들러 풀리들(910A, 910B)은 실질적으로 상기 가이드 레일들(930, 931)의 반대쪽 단부들에 배치될 수 있으며, 하나 이상의 벨트들 또는 밴드들이 상기 아이들러 풀리들(910A, 910B)의 주위를 적어도 부분적으로 감쌀 수 있도록 구성될 수 있다. 또한 도 9e 및 도 9f를 참조하면, (예를 들면 위에서 설명된 것과 실질적으로 유사한) 하나 이상의 벨트들 또는 밴드들(940B1, 940B2)은 상기 드라이브 풀리들(920, 921)을 각 캐리어들(940, 941)에 결합시킬 수 있다. 예를 들면, 드라이브 풀리(921) 및 캐리어(940)를 참조하면 제 1 밴드/벨트(940B1)는 상기 제 1 밴드/벨트(940B1)의 일측 단부(BE1)에서 풀리(921)에 고정 결합될 수 있다. 상기 밴드/벨트(940B1)는 아이들러 풀리들(910A)의 주위를 적어도 부분적으로 감싸고 상기 캐리어(940)까지 연장될 수 있으며, 그럼으로써 상기 밴드/벨트(940B1)의 다른 반대쪽 단부(BE2)는 상기 캐리어(940)에 고정 결합된다. 제 2 밴드/벨트(940B2)는 상기 밴드/벨트(940B2)의 일측 단부(BE3)에서 풀리(921)에 고정 결합될 수 있다. 상기 밴드/벨트(940B2)는 아이들러 풀리들(910B)의 주위를 적어도 부분적으로 감싸고 (예를 들면 상기 제 1 및 제 2 밴드/벨트(940B1, 940B2) 중의 하나가 상기 캐리어를 잡아 당기는 한편 상기 제 1 및 제 2 밴드/벨트(940B1, 940B2) 중의 나머지 하나가 상기 캐리어를 밀도록 상기 제 1 밴드/벨트(940B1)의 방향과 반대의 방향으로부터) 상기 캐리어(940)까지 연장될 수 있으며, 그럼으로써 상기 밴드/벨트(940B2)의 다른 반대쪽 단부(BE4)는 상기 캐리어(940)에 고정 결합된다. 유사하게, 캐리어(941)과 관련하여, 제 1 밴드/벨트(941B1)는 상기 밴드/벨트(941B1)의 일측 단부에서 풀리(920)에 고정 결합될 수 있다. 상기 밴드/벨트(941B1)는 아이들러 풀리들(910A)의 주위를 적어도 부분적으로 감싸고 상기 캐리어(941)까지 연장될 수 있으며, 그럼으로써 상기 밴드/벨트(941B1)의 다른 반대쪽 단부는 상기 캐리어(941)에 고정 결합된다. 제 2 밴드/벨트(941B2)는 상기 밴드/벨트(941B2)의 일측 단부에서 풀리(920)에 고정 결합될 수 있다. 상기 밴드/벨트(941B2)는 아이들러 풀리들(910B)의 주위를 적어도 부분적으로 감싸고 (예를 들면 상기 제 1 및 제 2 밴드/벨트(941B1, 941B2) 중의 하나가 상기 캐리어를 잡아 당기는 한편 상기 제 1 및 제 2 밴드/벨트(941B1, 941B2) 중의 나머지 하나가 상기 캐리어를 밀도록 상기 제 1 밴드/벨트(941B1)의 방향과 반대의 방향으로부터) 상기 캐리어(941)까지 연장될 수 있으며, 그럼으로써 상기 밴드/벨트(941B2)의 다른 반대쪽 단부는 상기 캐리어(941)에 고정 결합된다.
일 태양에 있어서, 상기 동력전달부(853)는 상기 아암들(812, 813)이 동작하는 대기에 노출될 수 있지만, 상기 벨트들/밴드들, 풀리들, 및/또는 캐리어들/레일들에 의하여 생성된 임의의 파티클들이 상기 환경에 들어가 상기 아암들(812, 813)에 의하여 홀드된 워크피스들과 접촉하는 것을 실질적으로 방지하기 위하여 하나 이상의 커버들을 포함할 수 있다. 일 태양에 있어서, 커버(851C3)는 상기 동력전달부(853)의 적어도 일부 위에, 예컨대 상기 드라이브 풀리들(920, 921)의 적어도 일부 위에 위치될 수 있다. 상기 커버(851C3)는 상기 가이드 레일들(930, 931)에 인접하여 배치된 씰 부분(998)을 포함할 수 있다. 또한 상기 가이드 레일들(930, 931) 위에는 커버(851C2)가 배치될 수 있으며, 상기 커버(851C2)는 상기 캐리어들(940, 941)이 그들 각각의 가이드 레일들(930, 931)을 왕복하는 것을 허용하도록 구성될 수 있다. 상기 씰 부분들(997, 998)이 서로를 향하여 연장되도록 상기 커버(851C2)는 씰 부분(997)을 포함할 수 있다. 일 태양에 있어서 상기 씰 부분들(997, 998) 사이에 공간 또는 개방부(901)가 제공될 수 있다. 그럼으로써 상기 캐리어들(940, 941)의 아암 장착 부분들(940M, 941M)이 각 아암들(812, 813)에 연결되기 위하여 상기 공간 또는 개방부(901)를 통하여 연장될 수 있고 또한 상기 각 아암들(812, 813)의 하나가 다른 것의 위에 배치된다. 상기 씰 부분들(997, 998)은 상기 아암들(812, 813)의 연장/수축을 허용하면서 상기 커버들(851C2, 851C3) 내에 임의의 파티클들을 실질적으로 한정하기 위해 라비린스 씰을 형성할 수 있다.
다른 태양들에 있어서, 상기 캐리어들 중 하나 이상은 위에서 설명된 것들과 같은 임의의 적합한 선형 모터에 의하여 실질적으로 직접 구동될 수 있다. 예를 들면, 일 태양에 있어서 상기 씰링된 모터부(860)와 관련하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 동력전달부(853)와 상기 드라이브부(200) 사이에서 공통의 대기 환경이 공유되도록, 상기 동력전달부(853)는 상기 아암들(812, 813)이 동작하는 대기로부터 씰링될 수 있다. 상기 가이드 레일들(930, 931)은 상기 동력전달부의 외부에 위치될 수 있고, 씰 부분들(997, 998)을 갖는 커버들 및 캐리어들(940, 941)을 포함할 수 있다. 각 캐리어는 그에 부착되며, 자성 드라이버에 의하여 예를 들면 미합중국 특허번호 제7,901,539호; 제8,293,066호; 제8,419,341호 그리고 미합중국 특허출원 제13/286,186호에 설명된 것과 실질적으로 유사한 방식으로 상기 자성 종동부와 자성 드라이버 사이의 자성 커플링을 통해 예를 들면 상기 동력전달부(853)의 커버 또는 벽체를 통한 자성적으로 구동되는 자성 종동부(follower)를 가질 수 있다. 상기 특허 문헌들의 전부는 앞서 그들 전체가 여기에 인용되어 통합되었다. 다른 태양에 있어서, 상기 캐리어들(940, 941) 각각은 자성 플래튼을 포함할 수 있다. 상기 자성 플래튼은 예를 들면 미합중국 특허번호 제7,575,406호; 제7,959,395호; 그리고 미합중국 특허출원 제13/159,034호에 설명된 것과 실질적으로 유사한 방식으로 상기 동력전달부(853)의 씰링된 대기 환경 내에 배치된 모터 권선(motor windings)의 선형 어레이에 의하여 구동된다. 상기 특허 문헌들의 전부는 앞서 그들 전체가 여기에 인용되어 통합되었다.
일 태양에 있어서, 각 아암(810-813)은 실질적으로 강체인 아암부 또는 엔드 이펙터 확장 부재(810EM-813EM)에 의하여 각각의 캐리어(940, 941)에 결합된 엔드 이펙터(810E-813E)를 포함한다. 예를 들면 상기 실질적으로 강체인 아암부(810EM-813EM)는 각 캐리어(940, 941)의 각 아암 장착 부분(940M, 941M)에 기계적 잠금장치들(fasteners)에 의하는 것과 같은 임의의 적합한 방식으로 고정 결합될 수 있다. 상기 엔드 이펙터(810E-813E)는 실질적으로 강체인 아암부(810EM-813EM)의 각각에 기계적 잠금장치들에 의하는 것과 같은 임의의 적합한 방식으로 고정 결합될 수 있다. 다른 태양들에 있어서, 상기 실질적으로 강체인 아암부(810EM-813EM)의 각각 및 상기 엔드 이펙터(810E-813E)의 각각은 하나의 피스(piece)를 갖는 단일한 구성을 가질 수 있다. 또 다른 태양들에 있어서 상기 실질적으로 강체인 아암부(810EM-813EM), 각 상기 엔드 이펙터(810E-813E) 및 각 상기 캐리어(940, 941)는 하나의 피스(piece)를 갖는 단일한 구성을 가질 수 있다.
위의 설명들로부터 알 수 있는 바와 같이, 각 엔드 이펙터(810E-813E)는 연장/수축의 축(R1, R2)의 각각을 따라 독립적으로 연장/수축 가능하다. 또한 위의 설명들로부터 알 수 있는 바와 같이, 위에서 설명된 것과 실질적으로 유사한 방식으로 예를 들면 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링을 위하여 연장/수축의 축들(R1, R2) 사이의 거리(D)를 서로에 대하여 변경할 수 있도록 또는 공통의 회전축(CAX)에 대한 하나 이상의 연장/수축의 축의 거리(D1, D2)를 변경할 수 있도록 (도 5a 참조) 드라이브 부분(800, 801)의 각각은 상기 드라이브 부분들(800, 801)의 다른 것들과 독립적으로 상기 Y축을 따라 독립적으로 움직일 수 있는 것일 수 있다. 도 10a 및 도 10b를 참조하면, 일 태양에 있어서 상기 드라이브 부분들(800, 801) 중의 하나는 상기 드라이브 부분들(800, 801) 중의 다른 하나에 대하여 Y축을 따라 및/또는 공통축(CAX)을 따라 이동 가능할 수 있고, 반면 상기 드라이브 부분들(800, 801) 중의 상기 다른 하나는 공통축(CAX)에 대하여 고정된 거리(D1, D2)를 갖고 Y축을 따라서는 이동 가능하지 않다. 예를 들면, 드라이브 부분(800)은, 상기 베이스 부재(550) 내에 배치된 드라이브(510)에 의하여 Y축을 따라 가동적일 수 있도록, 씰링된 지지 부재(560)에 의하여 상기 베이스 부재(550)에 가동적으로 장착될 수 있다. 드라이브 부분(801)은 고정된 지지 부재(1000)에 의하여 상기 베이스 부재(550)에 고정 장착될 수 있다. 이 때 상기 고정된 지지 부재는 적어도 상기 씰링된 모터부(860)와 상기 드라이브부(200) 사이의 대기적 소통을 허용하도록 구성된다.
이제 도 11a 및 도 11b를 참조하면, 연장/수축의 축들(R1, R2) 사이의 각도β를 변화시키기 위하여 그리고 예를 들면 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링을 허용하기 위하여 드라이브 부분들(800, 801')의 하나 이상이 상기 드라이브 부분들(800, 801') 중 다른 하나에 대하여 회전 가능할 수 있다. 예를 들면, 상기 이송 유닛 모듈(104E)은 위에서 설명된 하나 이상의 이송 유닛 모듈들과 실질적으로 유사할 수 있으며, 베이스 부재(550)와 실질적으로 유사한 베이스 부재(1150)를 포함할 수 있다. 본 태양에 있어서, 상기 베이스 부재(1150)는 예를 들면 고정된 지지 부재(1000)에 의하는 것과 같이 임의의 적합한 방식으로 드라이브 부분(800)에 커플링되도록 구성될 수 있다. 또한 상기 베이스 부재(1150)는 (위에서 설명된 드라이브 부분(801)과 실질적으로 유사할 수 있는) 드라이브 부분(801')과 커플링되기 위하여 구성될 수 있다. 여기서 상기 베이스 부재는 (위에서 설명된 드라이브(280, 320, 321, 610, 620)의 하나 이상과 실질적으로 유사할 수 있는) 임의의 적합한 드라이브(1100)를 포함할 수 있다. 상기 각도 β를 변화시키기 위해 화살표(T2)의 방향으로 상기 드라이브 부분(801) 및 아암들(812, 813)이 공통축(X1)에 대하여 회전 가능하도록, 상기 하우징(851C1')(위에서 설명된 하우징(851C1)과 실질적으로 유사할 수 있다)에의 결합을 위하여 구동 샤프트(1100D)는 베이스 부재(1150)의 벽을 통하여 연장될 수 있다. 알 수 있는 바와 같이, 드라이브 부분(800)에 의하여 홀드되는 하나 이상의 워크피스는 예컨대 축(CAX)에 대하여 하나의 유닛으로서 상기 두 드라이브 부분들 모두를 회전시킴으로써 센터링될 수 있는 반면, 드라이브 부분(801')에 의하여 홀드되는 하나 이상의 워크피스들은 축(X1)에 대하여 드라이브 부분(800')을 회전시킴으로써 센터링될 수 있다.
다른 태양들에 있어서, 도 11c에서 볼 수 있는 바와 같이, (드라이브 부분(801')과 실질적으로 유사할 수 있는) 드라이브 부분들(800') 및 드라이브 부분(801')은 모두 (베이스 부재(1150)와 실질적으로 유사할 수 있는) 상기 베이스 부재(1150')에 회전 가능하도록 장착될 수 있고, 그럼으로써 상기 각도 β를 변화시키기 위하여 각 드라이브 부분이 각 드라이브(1100)에 의하여 각각의 축(X1, X2)에 대하여 각각의 방향(T1, T2)으로 회전하도록 구성된다. 여기서 각 드라이브 부분(800', 801')을 임의의 소정의 분량만큼 회전시킴으로써 예를 들면 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링이 수행될 수 있다. 일 태양에 있어서, 두 드라이브 부분들은 모두 축(CAX)에 대하여 하나의 유닛으로서 회전될 수 있는 한편, 드라이브 부분들(800', 801')에 의하여 홀드되는 하나 이상의 워크피스들은 각 축(X1, X2)에 대하여 하나 이상의 드라이브 부분들(800', 801')을 회전시킴으로써 센터링될 수 있다.
또 다른 태양들에 있어서, 상기 Y축을 따르는 하나 이상의 아암들(810-813)의 움직임은 하나 이상의 드라이브 부분들(800, 801)의 회전과 함께 제공될 수 있다. 예를 들면, 도 11d를 참조하면 상기 Y축을 따라 움직일 수 있도록 상기 베이스 부재는 씰링된 지지 부재(560)을 통하여 하우징(851C1)과 결합되도록 구성된다. 다른 태양들에 있어서, 하우징(851C1)은 고정된 지지 부재(1000)에 의하여 상기 베이스 부재(1150)에 결합될 수 있다. 베이스 부재(1150)에는 도 11a 내지 도 11c와 관련하여 위에서 설명된 바와 실질적으로 유사한 방식으로 피벗 하우징(1130)(하우징(851C1')과 실질적으로 유사할 수 있다)이 중심축이 되어(pivotally) 결합될 수 있다. 하우징(1130)은 씰링된 지지 부재(561)가 결합된 개구부를 가질 수 있으며 베이스 부재(550)와 관련하여 위에서 설명된 바와 실질적으로 유사한 방식으로 선형 드라이브 모터(1110)를 포함할 수 있다. 드라이브 부분(801)은 위에서 설명된 바와 실질적으로 유사한 방식으로 하우징(851C1)에 의하여 상기 씰링된 지지 부재(861)에 결합될 수 있다. 다른 태양들에 있어서, 상기 두 드라이브 부분들(800, 801) 모두는 임의의 적합한 방식으로 피벗 하우징(1130)에 장착될 수 있다.
이제 도 12a 및 도 12b를 참조하면, 일 태양에 있어서 상기 드라이브 부분들(800, 801)의 씰링된 드라이브부 하우징들(1250, 1251) 중 하나 이상은 공통축(CAX)에 대하여 회전 가능하도록 드라이브부(200)에 결합되게 구성될 수 있다. 그럼으로써, 상기 각도 β를 변경하기 위하여 그리고 예를 들면, 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링을 허용하기 위하여 하나 이상의 드라이브 부분(800, 801)이 공통축(CAX)에 대하여 피벗될 수 있다. 예를 들면, 구동 샤프트(280S)가 회전할 때 하우징(1251)이 구동 샤프트(280S)와 함께 회전하도록 상기 하우징(1251)(위에서 설명된 하우징(851C1)과 실질적으로 유사할 수 있다)은 드라이브부(200)의 상기 구동 샤프트(280S)에 실질적으로 직접적으로 결합될 수 있다. 상기 하우징(1250)(위에서 설명된 하우징(851C1)과 실질적으로 유사할 수 있다)은 공통축(CAX)에 대하여 회전 가능하도록 하우징(1251)에 장착될 수 있다. 예를 들면, (위에서 설명된 280, 320, 321, 610, 620과 같은) 임의의 적합한 드라이브(1200)가 상기 하우징(1251) 내에 배치될 수 있다. 상기 하우징(1251)은 개구부를 포함할 수 있고, 상기 개구부를 통하여 구동 샤프트(1200S)가 연장되고, 하우징(1250)은 상기 구동 샤프트(1200S)에 결합되는 개구부를 포함할 수 있다. 알 수 있는 바와 같이, 상기 하우징들(1250, 1251)과 드라이브부(200) 내의 씰링된 대기 환경을 유지하기 위하여 (위에서 설명된 것들과 같은) 임의의 적합한 씰들이 상기 구동 샤프트 주위, 드라이브(1200)와 하우징(1251) 사이 그리고 구동 샤프트(1200S)와 하우징(1250) 사이에 배치될 수 있다. 일 태양에 있어서 하우징들(1250, 1251) 사이에 임의의 적합한 베어링들(1200B)이 제공될 수 있지만, 다른 태양들에 있어서 상기 하우징(1250)이 구동 샤프트(1200S)에 의하여 그리고 상기 드라이브(1200)와 상기 하우징(1251) 사이의 커플링에 의하여 지지되도록 상기 베어링은 포함되지 않을 수 있다. 여기서, 드라이브들(280 및 1200)은 독립적으로 동작 가능할 수 있고, 그럼으로써 상기 각도 β를 변경하기 위하여 상기 드라이브 부분들은 서로에 대하여 임의의 적합한 방식으로 공통축(CAX)에 대하여 피벗될 수 있다. 다른 태양들에 있어서, 상기 드라이브 부분들(800, 801)의 하나 이상은 상기 Y축을 따라 이동 가능할 수 있다. 예를 들면, 도 12c를 참조하면, 도 11d와 관련하여 위에서 설명된 바와 실질적으로 유사한 방식으로 드라이브 부분(800, 801)의 하우징(851C1)이 상기 피벗 하우징(1220)에 씰링된 지지 부재(560)에 의하여 결합되도록 피벗 하우징(1220)(피벗 하우징(1130)과 실질적으로 유사할 수 있다)이 제공될 수 있다. 여기서 상기 피벗 하우징(들)(1220) 및/또는 하우징(1250, 1251)의 회전은 각도 β의 조정을 허용하는 반면, 상기 Y축을 따른 하나 이상의 드라이브 부분들(800, 801)의 이동은 예를 들면 상기 이송 모듈들(125A, 125B, 125C, 125D)의 파세트들 사이의 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정 및/또는 자동 워크피스 센터링을 위하여 연장/수축의 축(R1, R2)과 상기 공통축(CAX) 사이의 거리(D1, D2)가 조정되는 것을 허용한다.
일 태양에 있어서, 도 11a 내지 도 12c를 참조하면, 임의의 적합한 수의 컨트롤러들(110A, 110B)이 여기에서 설명된 상기 이송 유닛 모듈들 내에 배치될 수 있다. 일 태양에 있어서, 하나 이상의 드라이브들을 위한 컨트롤러가 상기 이송 유닛 모듈들 내에 있을 수 있다. 이들 컨트롤러들(110A, 110B)은 컨트롤러(110) 또는 임의의 다른 적절한 컨트롤러에 연결될 수 있다. 위에서 설명된 바와 같이, 일 태양에 있어서, 상기 컨트롤러들(110A, 110B)은 클러스터화 제어 아키텍처의 일부일 수 있지만, 다른 태양들에서 상기 컨트롤러들은 임의의 적합한 아키텍처를 가질 수 있다. 일 태양에 있어서, 여기에 설명된 상기 이송 유닛 모듈들 중 임의의 하나 이상은 상기 엔드 이펙터(들)의 선형 및 회전 운동 중 하나 이상을 실현하기 위하여 상기 이송 유닛 모듈들 내에 임의의 적합한 수의 컨트롤러들을 포함함을 이해하여야 한다.
도 13을 참조하면, 개시된 실시예의 태양들에 따라 이송 유닛 모듈(104F)이 도시된다. 상기 이송 유닛 모듈(104F)은 위에서 설명된 이송 유닛 모듈(104)과 실질적으로 유사할 수 있다. 본 태양에 있어서, 이중 웨이퍼 연장체들(extensions) 또는 엔드 이펙터들(13310, 13311)은 여기에 설명된 상기 이송 챔버들(125A, 125B, 125C, 125D, 125E)과 같은 선형 드라이브 챔버의 내부에 위치된다. 여기서, (일 태양에 있어서 Z축 드라이브(270) 중 하나 이상과 위에서 설명된 바와 같은 회전 드라이브부(282)를 수용하는 프레임(200F)을 포함하는) 상기 드라이브부(200)는 위에서 논의된 이유 때문에 상기 이송 챔버(301)의 외부에 위치된다.
또한 도 14를 참조하면, 개시된 실시예의 태양에 따른 예시적인 단일 선형 드라이브(13400)의 개념도가 도시된다. 일 태양에 있어서, 상기 아암(104E)의 상부 엔드 이펙터(13310) 및 하부 엔드 이펙터(13311)는 각각의 단일 선형 드라이브(13400)에 의하여 각각 구동됨으로써 각 엔드 이펙터(13310, 13311)의 독립 연장 및 수축이 실현된다. 다른 태양들에 있어서, 상기 엔드 이펙터들(13310, 13311)은 위에서 설명된 것들과 같은 임의의 적합한 드라이브에 의하여 임의의 적합한 방식으로 연장 및 수축된다. 도 14에서 볼 수 있는 바와 같이, 각 엔드 이펙터는 임의의 적합한 방식으로 상기 엔드 이펙터들(13310, 13311)을 지지하는 엔드 이펙터 스테이지(13411)에 연결된다. 일 태양에 있어서, 상기 엔드 이펙터 스테이지(13411)는 엔드 이펙터(13310, 13311)의 각 부분을 형성한다. 여기서는 엔드 이펙터 스테이지가 상기 엔드 이펙터와 통합되어 형성되지만, 다른 태양들에 있어서는 상기 엔드 이펙터 스테이지가 임의의 적합한 방식으로 상기 엔드 이펙터(13310, 13311)와 결합된다. 일 태양에 있어서, 상기 단일 선형 드라이브(13400)는 관절화 메커니즘이고(예를 들면 여기서 상기 엔드 이펙터 스테이지 및 중간 스테이지의 이중(duplex) 선형 연장은 단일 드라이브 또는 하나의 자유도를 갖는 드라이브에 의하여 달성된다), 그에 의하여 상기 엔드 이펙터 스테이지(13411)의 운동은 상기 중간 스테이지(13410)의 운동의 임의의 적합한 동력전달부에 의하여 두 배로 증폭된다. 여기서 상기 중간 스테이지(13410)는 뒤에서 설명되는 바와 같이 상기 엔드 이펙터 스테이지(13411)를 (따라서 엔드 이펙터(13310, 13311)를) 테이블 플레이트에 또는 아암 베이스 부재(13423)에 연결한다. 알 수 있는 바와 같이, 다른 태양들에 있어서 상기 엔드 이펙터 스테이지의 운동은 상기 중간 스테이지(13410)에 대하여 임의의 적합한 양만큼 (예컨대 2배보다 더 많이) 증폭되거나 또는 1:1 비율로 연장된다. 일 태양에 있어서, 상기 증폭은, 예컨대 상기 중간 스테이지(13410)의 양 쪽 단부들에 임의의 적합한 방식으로 장착된 두 풀리들(13424, 13425) 사이에서 신축되는 벨트(13420)를 통하여 상기 중간 스테이지(13410)를 움직임으로써 달성된다. 다른 태양들에 있어서, 상기 풀리들(13424, 13425)은 상기 중간 스테이지(13410)의 적합한 임의의 위치들에 각기 장착된다. 이러한 관절화 설계는 각각 X 및 R 좌표들로 표시되는 상기 중간 스테이지(13410)와 상기 엔드-이펙터 스테이지(13411)의 운동들 사이의 연장/수축 비율이 1:2가 되는 것을 달성한다. 일 태양에 있어서, 상기 중간 스테이지(13410)는 임의의 적합한 선형 모터(14000)에 의하여 구동된다. 예를 들면, 상기 선형 모터(14000)는 드라이브 부분 또는 고정자(13421) 및 종동 부분(13422)을 포함한다. 상기 선형 모터(14000)의 적어도 상기 종동 부분(13422)은 상기 중간 스테이지(13410)에 장착되고, 따라서 상기 중간 스테이지(13410)와 함께 움직인다. 상기 중간 스테이지(13410)는 예컨대 상기 테이블 플레이트(13423)에 장착된 중간 선형 베어링(13401)과 같은 예컨대 임의의 적합한 선형 베어링 상에서 상기 테이블 플레이트(13423)를 따라 활주한다. 상기 중간 스테이지(13410)에 장착된 엔드 이펙터 선형 베어링(13402)은 상기 엔드 이펙터 스테이지(13411)에 관절화된 움직임을 부여한다. 상기 엔드 이펙터 베어링(13402)은 하나 이상의 베어링 블록들(13402B) 및 레일(13402R)을 포함하고, 상기 하나 이상의 베어링 블록들(13402B)은 상기 레일들(13402R)을 타고 움직인다. 일 태양에 있어서, 상기 엔드 이펙터 베어링(13402)은 두 개의 베어링 블록들(13402B)을 포함하지만, 다른 태양들에서 상기 엔드 이펙터 베어링(13402)은 둘보다 많은 베어링 블록들(13402B)을 포함한다. 알 수 있는 바와 같이, 상기 엔드 이펙터 베어링(13402)은 상기 중간 선형 베어링(13401)과 실질적으로 유사하다. 상기 엔드 이펙터의 연장/수축의 동작 제어를 위한 위치 피드백을 제공하기 위하여, 임의의 적합한 수의 선형 인코더들(15000)이 상기 중간 스테이지(13410) 및 상기 엔드 이펙터 스테이지(13411) 중의 하나 이상에 부착된다.
이제 도 16a 내지 도 16c를 참조하면, 개시된 실시예의 태양들에 따라 이송 유닛 모듈(104F')이 연장 및 수축된 구성들로 도시된다. 상기 이송 유닛 모듈(104F')은 위에서 설명된 이송 유닛 모듈(104F)과 실질적으로 유사하며, 이송 유닛 모듈들(104)과 관련하여 설명된 바와 실질적으로 유사한 방식으로 여기에 설명된 상기 이송 챔버들(125A, 125B, 125C, 125D, 125E) 중 임의의 하나 이상에 채용된다. 여기서는 오직 예시적인 목적들로서 하나의 엔드 이펙터(13310)가 도시되었지만, 다른 태양들에서 상기 이송 유닛 모듈(104F')은 엔드 이펙터들(13310, 13311)과 같은 임의의 적합한 수의 엔드 이펙터들을 포함함을 이해하여야 한다. 일 태양에 있어서, 상기 엔드 이펙터 스테이지(13411)와 엔드 이펙터(13310)(여기서는 설명의 목적상 상기 엔드 이펙터(13310)로 지칭함)가 갖는 결합 길이는 상기 중간 스테이지(13410)의 길이보다 더 크며, 불균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다. 또 다른 태양에 있어서, 상기 엔드 이펙터(13310)는 상기 중간 스테이지(13410)의 길이와 실질적으로 동일한 길이를 가지며, 균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다. 본 태양에 있어서, 상기 축(CAX)이 실질적으로 상기 테이블 플레이트(13423)의 중심점에 위치하도록 상기 이송 유닛 모듈(104F')의 회전 중심은, 일 태양에 있어서 드라이브부(200)의 회전축인 축(CAX)과 실질적으로 일치한다.
이제 도 17a 내지 도 17c를 참조하면, 개시된 실시예의 태양들에 따라 이송 유닛 모듈(104F'')이 연장 및 수축된 구성들로 도시된다. 상기 이송 유닛 모듈(104F'')은 위에서 설명된 이송 유닛 모듈(104F)과 실질적으로 유사하며, 이송 유닛 모듈들(104)과 관련하여 설명된 바와 실질적으로 유사한 방식으로 여기에 설명된 상기 이송 챔버들(125A, 125B, 125C, 125D, 125E) 중 임의의 하나 이상에 채용된다. 여기서는 오직 예시적인 목적들로서 하나의 엔드 이펙터(13310)가 도시되었지만, 다른 태양들에서 상기 이송 유닛 모듈(104F'')은 엔드 이펙터들(13310, 13311)과 같은 임의의 적합한 수의 엔드 이펙터들을 포함함을 이해하여야 한다. 일 태양에 있어서, 상기 엔드 이펙터 스테이지(13411)와 엔드 이펙터(13310)(여기서는 설명의 목적상 상기 엔드 이펙터(13310)로 지칭함)가 갖는 결합 길이는 상기 중간 스테이지(13410)의 길이보다 더 크며, 불균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다. 또 다른 태양에 있어서, 상기 엔드 이펙터(13310)는 상기 중간 스테이지(13410)의 길이와 실질적으로 동일한 길이를 가지며, 균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다. 본 태양에 있어서, 상기 축(CAX)이 상기 테이블 플레이트(13423)의 일단에 위치되도록 (예를 들면, 상기 축(CAX)이 상기 테이블 플레이트(13423)의 중심점과 불일치하도록) 상기 이송 유닛 모듈(104F')의 회전 중심은, 일 태양에 있어서 드라이브부(200)의 회전축인 축(CAX)과 실질적으로 일치한다.
이제 도 18을 참조하면, 개시된 실시예의 태양들에 따라 이송 유닛 모듈(104F''')이 연장 및 수축된 구성들로 도시된다. 상기 이송 유닛 모듈(104F''')은 위에서 설명된 이송 유닛 모듈(104F)과 실질적으로 유사하며, 이송 유닛 모듈들(104)과 관련하여 설명된 바와 실질적으로 유사한 방식으로 예컨대 여기에 설명된 상기 이송 챔버(125A)(또는 챔버의 파세트들이 다각형 배열을 갖는 임의의 적합한 다른 이송 챔버)에 채용된다. 여기서 상기 테이블 플레이트(13423) 위에 배치된 상기 다중 아암들의 적어도 둘의 연장 및 수축의 축이 서로에 대하여 각도를 이루고 상기 다중 아암들의 적어도 둘의 엔드 이펙트 안착 평면(SP)이 실질적으로 동일 평면에 위치하도록 상기 테이블 플레이트(13423)는 상기 이송 유닛 모듈(104F''')의 회전축(예컨대 축(CAX))의 주된 숄더로부터 멀어지게 연장되는 실질적으로 "U" 또는 "V" 모양을 갖는 실질적으로 강체인(rigid) 링크이다. 일 태양에 있어서 적어도 하나의 아암이 상기 아암 베이스 부재의 실질적으로 각 반대되는 단부에 장착된다. 본 태양에 있어서, 임의의 적합한 각도 α로 상기 테이블 플레이트(13423)는 상기 축(CAX)을 중심으로 서로에 대하여 각도를 갖는 제 1 부분(13423A) 및 제 2 부분(13423B)을 포함한다. 상기 각도 α는 상기 제 1 부분(13423A) 및 제 2 부분(13423B)에 장착된 상기 엔드 이펙터들(13310, 13311)이 인접하는 처리 모듈들(130)에 접근하는 것을 허용하는 각도이다(예를 들면, 상기 제 1 부분에 장착된 엔드 이펙터(들)의 연장/수축의 축들(R)과 상기 제 2 부분에 장착된 엔드 이펙터(들)의 연장/수축의 축들(R)은 서로에 대하여 각도 α로 각을 이룬다). 일 태양에 있어서, 상기 제 1 부분(13423A) 및 제 2 부분(13423B) 사이의 각도 α는 조정 가능한 반면, 다른 태양들에 있어서 상기 각도 α는 고정되어 변화될 수 없다. 여기서 엔드 이펙터(13310)는 상기 제 1 부분(13423A)에 장착된 것으로 도시된 한편 엔드 이펙터(13311)는 오직 예시적인 목적으로 상기 제 2 부분(13423B)에 장착되고, 다른 태양에 있어서 상기 이송 유닛 모듈(104F''')은 상기 제 1 부분(13423A) 및 제 2 부분(13423B)의 각각 위에 서로 상하로 적층된 임의의 적합한 수의 엔드 이펙터들을 포함함을 이해하여야 한다. 일 태양에 있어서, 상기 엔드 이펙터들(13310, 13311)은 상기 중간 스테이지(13410)의 길이보다 더 큰 길이를 가지며, 불균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다. 또 다른 태양에 있어서, 상기 엔드 이펙터(13310)는 상기 중간 스테이지(13410)의 길이와 실질적으로 동일한 길이를 가지며, 균등한 길이의 링크들 또는 부재들을 갖는 연장 아암을 형성한다.
이제 도 19를 참조하면, 이송 유닛 모듈(104F'''')이 도시되며, 위에서 설명된 이송 유닛 모듈(104F''')과 실질적으로 유사하다. 그러나, 본 태양에 있어서, 상기 제 1 부분에 장착된 엔드 이펙터(들)의 연장/수축의 축들(R)과 상기 제 2 부분에 장착된 엔드 이펙터(들)의 연장/수축의 축들(R1, R2)이 서로 실질적으로 평행하도록 상기 테이블 플레이트(13423)가 배열되고, 그럼으로써 (상기 테이블 플레이트(13423)의 반대쪽 단부들에서) 상기 제 1 부분(13423A) 및 제 2 부분(13423B)에 장착된 상기 엔드 이펙터들(13310, 13311)이 인접하는 처리 모듈들(130)에 접근한다. 일 태양에 있어서 상기 제 1 부분(13423A) 위의 적어도 하나의 아암의 엔드 이펙터 안착 평면(SP)은 상기 제 2 부분(13423B) 위의 적어도 하나의 아암의 엔드 이펙터 안착 평면(SP)과 실질적으로 동일 평면 상에 있다. 여기서 상기 축들(R1, R2)은 상기 축(CAX)로부터 거리들(D1, D2)을 각각 두고 배치된다(그리고 서로로부터 거리 β를 두고 위치된다). 일 태양에 있어서 상기 거리들(D1, D2)의 하나 이상은 (따라서 상기 거리 β는) 위에서 설명된 바와 실질적으로 유사한 방식으로 조정 가능하다. 다른 태양들에 있어서 상기 제 1 부분(13423A) 및 제 2 부분(13423B)에 장착된 상기 엔드 이펙터들(13310, 13311) 중 하나 이상은 각 축들(X1, X2)을 중심으로 위에서 설명된 바와 실질적으로 유사한 방식으로 상기 테이블 플레이트(13423)에 대하여 상대적으로 회전 가능하다. 또 다른 태양들에 있어서, 상기 거리들(D1, D2) 중 하나 이상은 조정 가능하며, 상기 제 1 부분(13423A) 및 제 2 부분(13423B)에 장착된 상기 엔드 이펙터들(13310, 13311) 중 하나 이상은 위에서 설명된 바와 실질적으로 유사한 방식으로 상기 테이블 플레이트(13423)에 대하여 상대적으로 회전 가능하다.
도 20 및 도 21은 상기 이송 유닛 모듈들(104F, 104F', 104F'', 104F''', 104F'''')이 어떻게 붐 아암(143)에 장착되는지에 관한 예시들을 도시한다. 예를 들면, 상기 이송 유닛 모듈들(104F, 104F', 104F'', 104F''', 104F'''')의 각각은 이송 유닛 모듈(104)과 관련하여 위에서 설명된 바와 실질적으로 유사한 방식으로 상기 붐 아암(143)에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 이송 장치는 프레임; 상기 프레임에 연결된 복수의 아암들로서, 각 아암은 상기 복수의 아암들 중 다른 것들에 대하여 상기 각 아암이 연장 및 수축을 하기 위한 독립적인 드라이브 축 및 엔드 이펙터를 갖는 상기 복수의 아암들; 적어도 하나의 아암의 연장 및 수축을 위한 상기 독립적인 드라이브 축에 대한 자유도를 정의하는 선형 레일; 및 각 아암에 의하여 공유되고 공통의 피벗 축 주위로 상기 복수의 아암들을 선회시키도록 구성된 공통 드라이브 축을 포함하고, 상기 복수의 아암들 중 적어도 하나는 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 연장 및 수축을 위한 상기 축에 대하여 각도를 이룬다(angled).
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있도록(coplanar) 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 다른 독립적인 자유도에 대하여 각도를 이루도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 다른 독립적인 자유도와 평행하도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 공통축 주위에서 상기 복수의 아암들을 함께(in unison) 이동시키도록 구성된 제 2 공통 드라이브 축을 더 포함한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 또 다른 드라이브 축이 자동 워크피스 센터링을 달성한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 또 다른 드라이브 축이 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들은 텔레스코핑 아암들이다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 이격되도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 공간은 조정 가능하다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 각도를 이루도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 아암 베이스 부재는, 실질적으로 상기 아암 베이스 부재의 반대쪽 단부들 각각에 장착된 복수의 아암들 중 적어도 하나를 갖는 실질적인 U 모양 또는 실질적인 V 모양을 갖는다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 각도는 조정 가능하다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 이송 장치는 프레임; 상기 프레임에 연결된 복수의 아암들로서, 각 아암은 워크피스를 이송 챔버의 내부로 반입 및 그로부터 반출하기 위하여 상기 각 아암이 연장 및 수축을 하기 위한 독립적인 드라이브 축 및 엔드 이펙터를 갖는 상기 복수의 아암들; 적어도 하나의 아암에 대하여, 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있는 또 다른 자유도를 정의하는 선형 드라이브 축; 및 각 아암에 의하여 공유되고 공통의 피벗 축 주위로 상기 복수의 아암들을 선회시키도록 구성된 공통 드라이브 축;을 포함하고, 상기 복수의 아암들 중 적어도 하나는 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 또 다른 드라이브 축을 갖는다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 연장 및 수축을 위한 상기 축에 대하여 각도를 이룬다(angled).
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있도록(coplanar) 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 다른 독립적인 자유도에 대하여 각도를 이루도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도가 다른 독립적인 자유도와 평행하도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 배열된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 공통축 주위에서 상기 복수의 아암들을 함께(in unison) 이동시키도록 구성된 제 2 공통 드라이브 축을 더 포함한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도는 자동 워크피스 센터링을 달성한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 독립적인 자유도는 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들은 텔레스코핑 아암들이다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 이격되도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 공간은 조정 가능하다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 각도를 이루도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 아암 베이스 부재는, 실질적으로 상기 아암 베이스 부재의 반대쪽 단부들 각각에 장착된 복수의 아암들 중 적어도 하나를 갖는 실질적인 U 모양 또는 실질적인 V 모양을 갖는다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 각도는 조정 가능하다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 이송 장치는 챔버 분위기를 갖는 씰링된 챔버를 형성하는 프레임; 상기 프레임에 연결되고 상기 씰링된 챔버 내에 배치된 복수의 아암들로서, 각 아암이 상기 각 아암을 연장 및 수축시키기 위한 독립적인 드라이브 축을 갖는 복수의 아암들; 공통축 주위로 상기 복수의 아암들을 움직이기 위하여 상기 복수의 아암들에 연결되고 상기 씰링된 챔버의 외부에 배치된 제 1 드라이브부; 및 상기 챔버 분위기와 상이한 씰링된 분위기를 유지하도록 구성된 씰링된 하우징을 포함하고 상기 씰링된 챔버의 내부에 배치된 제 2 드라이브부;를 포함하고, 상기 씰링된 하우징은 제 1 씰링 부분 및 상기 제 1 씰링 부분과 씰링 소통되는 제 2 씰링 부분을 포함하고, 상기 제 1 씰링 부분은 적어도 하나의 아암에 대하여 제 1 자유도를 위한 제 1 드라이브 축을 포함하고, 상기 제 2 씰링 부분은 적어도 하나의 아암의 제 2 자유도를 위한 제 2 드라이브 축을 포함한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 적어도 하나는, 상기 각 아암을 연장 및 수축시키기 위한 드라이브 축과 독립적인 제 2 자유도를 포함한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 2 자유도가 자동 워크피스 센터링을 달성한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 2 자유도가 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성한다.
상기 씰링된 하우징이 상기 씰링 하우징에 형성된 개구부를 포함하고, 상기 제 2 씰링 부분은 상기 제 1 씰링 부분과 상기 개구부를 통하여 소통된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 1 자유도 및 상기 제 2 자유도 중 적어도 하나는 각 아암의 연장 및 수축의 축에 대하여 각도를 이룬다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 1 자유도 및 상기 제 2 자유도 중 적어도 하나는 상기 엔드 이펙터의 안착 평면과 동일 평면을 이룬다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 1 자유도 및 상기 제 2 자유도 중 적어도 하나는 다른 독립적인 자유도에 대하여 각도를 이룬다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 1 자유도 및 상기 제 2 자유도 중 적어도 하나는 다른 독립적인 자유도에 대하여 평행한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제 1 드라이브부는, 회전의 공통축 주위에서 상기 복수의 아암들을 함께(in unison) 회전시키도록 구성된 공통 회전 드라이브 축을 포함한다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들이 텔레스코핑 아암들이다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 이격되도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 공간은 조정 가능하다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 이송 장치는 상기 프레임에 연결된 아암 베이스 부재를 포함하고, 상기 복수의 아암들의 각각은 상기 복수의 아암들 중 적어도 둘의 연장 및 수축을 위한 축이 서로에 대하여 각도를 이루도록 상기 아암 베이스 부재에 장착된다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 아암 베이스 부재는, 실질적으로 상기 아암 베이스 부재의 반대쪽 단부들 각각에 장착된 복수의 아암들 중 적어도 하나를 갖는 실질적인 U 모양 또는 실질적인 V 모양을 갖는다.
상기 개시된 실시예의 하나 이상의 태양들에 따르면, 상기 복수의 아암들 중 상기 적어도 둘 사이의 각도는 조정 가능하다.
이상의 설명은 개시된 실시예의 측면들의 예시하는 것일 뿐임이 이해되어야 한다. 다양한 대안들과 변형들이 통상의 기술자에 의하여 상기 개시된 실시예의 측면들로부터 벗어남이 없이 창안될 수 있다. 따라서, 상기 개시된 실시예의 측면들은 첨부 청구항의 범위 내에 속하는 모든 그러한 대안들, 변형들 및 변경들을 포괄하도록 의도된다. 또한, 상이한 특징들이 서로 상이한 독립항 또는 종속항에 한정되었다는 사실만으로 이러한 특징들의 조합이 유리하게 사용될 수 없음을 나타내는 것은 아니며, 그러한 조합은 본 발명의 측면들의 범위 내에 존재한다.

Claims (29)

  1. 프레임;
    공통의 피벗 축 주위로 선회시키기 위해 상기 프레임에 연결된 복수의 아암들로서, 각 아암은 상기 복수의 아암들 중 다른 것들에 대하여 상기 각 아암이 연장 및 수축을 위한 독립적인 드라이브 축 및 엔드 이펙터를 갖는 상기 복수의 아암들;
    적어도 하나의 아암의 연장 및 수축을 위한 상기 독립적인 드라이브 축에 대한 자유도를 정의하는 선형 레일; 및
    공통의 모터의 공통 드라이브 축으로서, 상기 공통의 모터는 각 아암이 상기 공통의 모터에 공통으로 종속되도록 각 아암에 의하여 공유되고, 상기 공통의 모터는 각 아암에 공통되는 상기 공통 드라이브 축을 정의하는 공통 토크를 출력하여 상기 공통의 모터는 상기 공통 토크로 상기 공통의 피벗 축 주위로 상기 복수의 아암들을 하나의 단위로서 선회시키도록 구성된 공통 드라이브 축;
    을 포함하고,
    상기 복수의 아암들 중 적어도 하나는 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 동작축을 제공하기 위한 또 다른 드라이브 축을 갖고,
    상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 상기 동작축은, 상기 공통의 모터의 상기 공통 드라이브 축 및 상기 적어도 하나의 아암의 연장 및 수축을 위한 상기 독립적인 드라이브 축과 별개이면서 구분되는 이송 장치.
  2. 제 1 항에 있어서,
    상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축의 동작축이 연장 및 수축을 위한 상기 독립적인 드라이브 축에 대하여 각도를 이루는(angled) 것을 특징으로 하는 이송 장치.
  3. 제 1 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있는(coplanar) 선형 운동이 되도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  4. 제 1 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 다른 각 독립적인 자유도의 다른 동작축에 대하여 각도를 이루는 선형 운동이 되도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  5. 제 1 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 다른 각 독립적인 자유도의 다른 동작축과 평행하도록 상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  6. 제 1 항에 있어서,
    Z-축 주위에서 상기 복수의 아암들을 함께(in unison) 이동시키도록 구성된 Z-드라이브 축을 더 포함하는 것을 특징으로 하는 이송 장치.
  7. 제 1 항에 있어서,
    상기 또 다른 드라이브 축이 자동 워크피스 센터링을 달성하는 것을 특징으로 하는 이송 장치.
  8. 제 1 항에 있어서,
    상기 또 다른 드라이브 축이 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성하는 것을 특징으로 하는 이송 장치.
  9. 제 1 항에 있어서,
    상기 복수의 아암들이 텔레스코핑 아암들인 것을 특징으로 하는 이송 장치.
  10. 프레임;
    공통의 피벗 축 주위로 선회시키기 위해 상기 프레임에 연결된 복수의 아암들로서, 각 아암은 워크피스를 이송 챔버의 내부로 반입 및 그로부터 반출하기 위하여 상기 각 아암이 연장 및 수축을 하기 위한 독립적인 드라이브 축 및 엔드 이펙터를 갖는 상기 복수의 아암들;
    적어도 하나의 아암에 대하여 자유도를 정의하는 선형의 동작축을 제공하는 선형 드라이브 축으로서, 상기 선형의 동작축은 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있는 상기 선형 드라이브 축; 및
    공통의 모터의 공통 드라이브 축으로서, 상기 공통의 모터는 각 아암이 상기 공통의 모터에 공통으로 종속되도록 각 아암에 의하여 공유되고, 상기 공통의 모터는 각 아암에 공통되는 상기 공통 드라이브 축을 정의하는 공통 토크를 출력하여 상기 공통의 모터는 상기 공통 토크로 상기 공통의 피벗 축 주위로 상기 복수의 아암들을 하나의 단위로서 선회시키도록 구성된 공통 드라이브 축;
    을 포함하고,
    상기 복수의 아암들 중 적어도 하나는 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 동작축을 제공하기 위한 또 다른 드라이브 축을 갖고,
    상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 상기 동작축은, 상기 공통의 모터의 상기 공통 드라이브 축 및 상기 적어도 하나의 아암의 연장 및 수축을 위한 상기 독립적인 드라이브 축과 별개이면서 구분되는 이송 장치.
  11. 제 10 항에 있어서,
    상기 독립적인 자유도를 정의하는 상기 또 다른 드라이브 축이 연장 및 수축을 위한 상기 독립적인 드라이브 축에 대하여 각도를 이루는(angled) 것을 특징으로 하는 이송 장치.
  12. 제 10 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 상기 엔드 이펙터의 안착 평면과 동일 평면 상에 있는(coplanar) 선형 운동이 되도록 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 상기 동작축을 제공하기 위한 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  13. 제 10 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 다른 각 독립적인 자유도의 다른 동작축에 대하여 각도를 이루는 선형 운동이 되도록 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 상기 동작축을 제공하기 위한 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  14. 제 10 항에 있어서,
    상기 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 다른 각 독립적인 자유도의 다른 동작축과 평행하도록 상기 복수의 아암들 중의 다른 것들에 대하여 독립적인 자유도를 정의하는 상기 동작축을 제공하기 위한 또 다른 드라이브 축의 동작축이 배열되는 것을 특징으로 하는 이송 장치.
  15. 제 10 항에 있어서,
    Z-축 주위에서 상기 복수의 아암들을 함께(in unison) 이동시키도록 구성된 Z-축을 더 포함하는 것을 특징으로 하는 이송 장치.
  16. 제 10 항에 있어서,
    상기 또 다른 드라이브 축의 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 자동 워크피스 센터링을 달성하는 것을 특징으로 하는 이송 장치.
  17. 제 10 항에 있어서,
    상기 또 다른 드라이브 축의 동작축 및 그에 의하여 정의된 상기 독립적인 자유도가 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성하는 것을 특징으로 하는 이송 장치.
  18. 제 10 항에 있어서,
    상기 복수의 아암들이 텔레스코핑 아암들인 것을 특징으로 하는 이송 장치.
  19. 챔버 분위기를 갖는 씰링된 챔버를 형성하는 프레임;
    상기 프레임에 연결되고 상기 씰링된 챔버 내에 배치된 복수의 아암들로서, 각 아암이 상기 각 아암을 연장 및 수축시키기 위한 독립적인 드라이브 축을 갖는 복수의 아암들;
    공통축 주위로 상기 복수의 아암들을 움직이기 위하여 상기 복수의 아암들에 연결되고 상기 씰링된 챔버의 외부에 배치된 제 1 드라이브부; 및
    상기 챔버 분위기와 상이한 씰링된 분위기를 유지하도록 구성된 씰링된 하우징을 포함하고 상기 씰링된 챔버의 내부에 배치된 제 2 드라이브부;
    를 포함하고,
    상기 씰링된 하우징은 제 1 씰링 부분 및 상기 제 1 씰링 부분과 씰링 소통되는 제 2 씰링 부분을 포함하고,
    상기 제 1 씰링 부분은 제 1 동작축을 따라 적어도 하나의 아암을 이동시키는 제 1 자유도를 위한 제 1 드라이브 축을 포함하고,
    상기 제 2 씰링 부분은 상기 제 1 자유도와 상이한 제 2 자유도를 위한 제 2 드라이브 축을 포함하고, 상기 제 2 드라이브 축은 상기 제 1 동작축과 상이한 제 2 동작축을 따라 상기 적어도 하나의 아암을 이동시키는 이송 장치.
  20. 제 19 항에 있어서,
    상기 복수의 아암들 중 적어도 하나는 상기 제 2 자유도를 포함하고, 상기 제 2 자유도는, 상기 제 2 드라이브 축이 상기 각 아암의 연장 및 수축과 독립적으로 상기 제 2 동작축을 따라 상기 복수의 아암들 중 상기 적어도 하나를 이동시키도록, 상기 각 아암을 연장 및 수축시키기 위한 드라이브 축에 대하여 독립적인 제 2 자유도를 포함하는 것을 특징으로 하는 이송 장치.
  21. 제 20 항에 있어서,
    상기 제 2 드라이브 축 및 그의 상기 제 2 자유도가 자동 워크피스 센터링을 달성하는 것을 특징으로 하는 이송 장치.
  22. 제 20 항에 있어서,
    상기 제 2 드라이브 축 및 그의 상기 제 2 자유도가 워크피스 홀딩 스테이션 위치의 변화들에 대한 독립적인 워크피스 위치 조정을 달성하는 것을 특징으로 하는 이송 장치.
  23. 제 19 항에 있어서,
    상기 씰링된 하우징이 상기 씰링된 하우징에 형성된 개구부를 포함하고,
    상기 제 2 씰링 부분은 상기 제 1 씰링 부분과 상기 개구부를 통하여 소통되는 이송 장치.
  24. 제 19 항에 있어서,
    상기 제 1 자유도에 대한 상기 제 1 드라이브 축의 운동 방향 및 상기 제 2 자유도에 대한 상기 제 2 드라이브 축의 운동 방향 중 적어도 하나는 각 아암의 연장 및 수축의 축에 대하여 각도를 이루는 것을 특징으로 하는 이송 장치.
  25. 제 19 항에 있어서,
    상기 제 1 자유도에 대한 상기 제 1 드라이브 축 및 상기 제 2 자유도에 대한 상기 제 2 드라이브 축 중 적어도 하나의 동작축은 엔드 이펙터의 안착 평면과 동일 평면을 이루는 것을 특징으로 하는 이송 장치.
  26. 제 19 항에 있어서,
    상기 제 1 자유도에 대한 상기 제 1 드라이브 축 및 상기 제 2 자유도에 대한 상기 제 2 드라이브 축 중 적어도 하나의 동작축은 다른 각 독립적인 자유도의 또 다른 동작축에 대하여 각도를 이루는 것을 특징으로 하는 이송 장치.
  27. 제 19 항에 있어서,
    상기 제 1 자유도에 대한 상기 제 1 드라이브 축 및 상기 제 2 자유도에 대한 상기 제 2 드라이브 축 중 적어도 하나의 동작축은 다른 각 독립적인 자유도의 또 다른 동작축에 대하여 평행한 것을 특징으로 하는 이송 장치.
  28. 제 19 항에 있어서,
    상기 제 1 드라이브 축의 동작축은, 공통 Z-축 주위에서 상기 복수의 아암들을 함께(in unison) 회전시키도록 구성된 Z-드라이브 축을 포함하는 것을 특징으로 하는 이송 장치.
  29. 제 19 항에 있어서,
    상기 복수의 아암들이 텔레스코핑 아암들인 것을 특징으로 하는 이송 장치.
KR1020167019346A 2013-12-17 2014-12-15 기판 이송 장치 KR102430107B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227026818A KR20220114661A (ko) 2013-12-17 2014-12-15 기판 이송 장치

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361917056P 2013-12-17 2013-12-17
US61/917,056 2013-12-17
US14/568,742 US10134621B2 (en) 2013-12-17 2014-12-12 Substrate transport apparatus
US14/568,742 2014-12-12
PCT/US2014/070349 WO2015095050A1 (en) 2013-12-17 2014-12-15 Substrate transport apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026818A Division KR20220114661A (ko) 2013-12-17 2014-12-15 기판 이송 장치

Publications (2)

Publication Number Publication Date
KR20160098485A KR20160098485A (ko) 2016-08-18
KR102430107B1 true KR102430107B1 (ko) 2022-08-05

Family

ID=53403569

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227026818A KR20220114661A (ko) 2013-12-17 2014-12-15 기판 이송 장치
KR1020167019346A KR102430107B1 (ko) 2013-12-17 2014-12-15 기판 이송 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227026818A KR20220114661A (ko) 2013-12-17 2014-12-15 기판 이송 장치

Country Status (5)

Country Link
US (1) US10134621B2 (ko)
JP (3) JP7071053B2 (ko)
KR (2) KR20220114661A (ko)
CN (2) CN105981154B (ko)
WO (1) WO2015095050A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
JP6594304B2 (ja) * 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
CN203819774U (zh) * 2014-05-06 2014-09-10 北京京东方显示技术有限公司 一种线缓冲器
JP6918770B2 (ja) * 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
CN107949906B (zh) * 2015-07-13 2022-08-19 博鲁可斯自动化美国有限责任公司 基底传输设备
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
JP6854498B2 (ja) * 2018-01-10 2021-04-07 村田機械株式会社 搬送システムの制御方法、搬送システム及び管理装置
US11088004B2 (en) * 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
TWI815869B (zh) 2018-03-16 2023-09-21 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
CN114695216A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 传送晶圆的方法和机械手臂
US20240145270A1 (en) * 2022-10-31 2024-05-02 Applied Materials, Inc. Workpiece handling architecture for high workpiece throughput

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
WO2013040401A1 (en) * 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Low variability robot

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
EP0696242B2 (en) * 1993-04-16 2004-10-13 Brooks Automation, Inc. Articulated arm transfer device
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US20010014268A1 (en) 1998-10-28 2001-08-16 Charles S. Bryson Multi-axis transfer arm with an extensible tracked carriage
FR2802191B1 (fr) 1999-12-13 2002-03-01 Sidel Sa Dispositif de convoyage d'entites discretes comportant un bras de transfert perfectionne et installation de soufflage de recipients munie d'un tel dispositif
US6779962B2 (en) 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
JP5264171B2 (ja) 2004-06-09 2013-08-14 ブルックス オートメーション インコーポレイテッド 基板搬送装置
JP4473075B2 (ja) * 2004-08-27 2010-06-02 川崎重工業株式会社 搬送ロボットおよびそのアーム構造体
US8573919B2 (en) 2005-07-11 2013-11-05 Brooks Automation, Inc. Substrate transport apparatus
KR101035896B1 (ko) 2006-05-29 2011-05-23 가부시키가이샤 알박 기판반송장치
WO2008116222A2 (en) 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
US7946800B2 (en) * 2007-04-06 2011-05-24 Brooks Automation, Inc. Substrate transport apparatus with multiple independently movable articulated arms
WO2008150484A1 (en) 2007-05-31 2008-12-11 Applied Materials, Inc. Methods and apparatus for extending the reach of a dual scara robot linkage
WO2010080983A2 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
JP5304601B2 (ja) * 2009-11-10 2013-10-02 株式会社安川電機 アーム機構およびそれを備えた真空ロボット
CN103237634B (zh) * 2010-10-08 2016-12-14 布鲁克斯自动化公司 同轴驱动的真空机器人
KR102060544B1 (ko) 2010-11-10 2019-12-30 브룩스 오토메이션 인코퍼레이티드 이중 아암 로봇
JP2013197164A (ja) 2012-03-16 2013-09-30 Rexxam Co Ltd 板状部材移動装置
CN104380452B (zh) 2012-04-12 2016-10-19 应用材料公司 具有独立能旋转机身中段的机械手系统、设备及方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
WO2013040401A1 (en) * 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Low variability robot

Also Published As

Publication number Publication date
KR20220114661A (ko) 2022-08-17
JP2017505985A (ja) 2017-02-23
CN110246793A (zh) 2019-09-17
WO2015095050A1 (en) 2015-06-25
CN105981154A (zh) 2016-09-28
US10134621B2 (en) 2018-11-20
KR20160098485A (ko) 2016-08-18
CN110246793B (zh) 2023-08-01
JP2020053692A (ja) 2020-04-02
US20150206782A1 (en) 2015-07-23
JP7071053B2 (ja) 2022-05-18
CN105981154B (zh) 2019-06-14
JP2023052668A (ja) 2023-04-11
JP7263641B2 (ja) 2023-04-25

Similar Documents

Publication Publication Date Title
JP7263641B2 (ja) 基板搬送装置
JP6594304B2 (ja) 処理装置
US20220266460A1 (en) Substrate transport apparatus
KR20160047556A (ko) 기판 이송 장치
US11894252B2 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
TWI700765B (zh) 以轉移設備轉移工件的方法
US20240178032A1 (en) Substrate transport apparatus
TWI829700B (zh) 基板運送裝置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant