KR20160030368A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20160030368A
KR20160030368A KR1020150125487A KR20150125487A KR20160030368A KR 20160030368 A KR20160030368 A KR 20160030368A KR 1020150125487 A KR1020150125487 A KR 1020150125487A KR 20150125487 A KR20150125487 A KR 20150125487A KR 20160030368 A KR20160030368 A KR 20160030368A
Authority
KR
South Korea
Prior art keywords
plasma
gas
plasma processing
region
rotary table
Prior art date
Application number
KR1020150125487A
Other languages
English (en)
Other versions
KR101922287B1 (ko
Inventor
시게히로 미우라
준 사토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160030368A publication Critical patent/KR20160030368A/ko
Application granted granted Critical
Publication of KR101922287B1 publication Critical patent/KR101922287B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리 장치는, 처리 용기와, 해당 처리 용기 내에 설치되고, 기판을 상면에 적재 가능한 회전 테이블과, 해당 회전 테이블의 주위 방향에 있어서의 소정 개소에 형성되고, 제1 플라즈마 가스로부터 제1 플라즈마를 발생시켜 제1 플라즈마 처리를 행하는 제1 플라즈마 처리 영역과, 해당 제1 플라즈마 처리 영역과 상기 주위 방향에 있어서 이격하여 형성되고, 제2 플라즈마 가스로부터 제2 플라즈마를 발생시켜 제2 플라즈마 처리를 행하는 제2 플라즈마 처리 영역과, 상기 주위 방향에 있어서 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역 사이의 2개의 간격 영역 각각에 형성되고, 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역을 분리하여 상기 제1 플라즈마 가스와 상기 제2 플라즈마 가스의 혼합을 방지하는 2개의 분리 영역을 갖는다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA TREATMENT APPARATUS AND PLASMA TREATMENT METHOD}
<관련 출원의 참조>
본 출원은, 2014년 9월 9일에 일본 특허청에 출원된 일본 특허 출원 2014-183609호에 기초하는 우선권을 주장하는 것이며, 일본 특허 출원 2014-183609호의 전체 내용을 여기에 원용한다.
본 발명은 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
일본 특허 공개 제2010-56470호 공보에 기재되어 있는 바와 같이, 종래부터 반도체 디바이스의 회로 패턴의 한층 더한 미세화에 수반하여, 반도체 디바이스를 구성하는 다양한 막에 대해서도, 한층 더한 박막화 및 균일화가 요구되고 있다. 이와 같은 요구에 따르는 성막 방법으로서, 제1 반응 가스를 기판에 공급하여 기판의 표면에 제1 반응 가스를 흡착시키고, 다음에 제2 반응 가스를 기판에 공급하여 기판의 표면에 흡착한 제1 반응 가스와 제2 반응 가스를 반응시킴으로써, 반응 생성물로 구성되는 막을 기판 상에 퇴적하는, 소위 분자층 성막 방법(원자층 성막 방법이라고도 함)이 알려져 있다. 이러한 성막 방법에 의하면, 반응 가스가 (준)자기 포화적으로 기판 표면 상에 흡착할 수 있기 때문에, 높은 막 두께 제어성, 우수한 균일성 및 우수한 매립 특성을 실현할 수 있다.
그러나, 회로 패턴의 미세화에 수반하여, 예를 들어 트렌치 소자 분리 구조에 있어서의 트렌치는, 라인 스페이스·패턴에 있어서의 스페이스의 애스펙트비가 커짐에 따라, 분자층 성막법에 있어서도, 트렌치나 스페이스를 매립하는 것이 곤란한 경우가 있다. 예를 들어, 30㎚ 정도의 폭을 갖는 스페이스를 산화 실리콘막으로 매립하려고 하면, 좁은 스페이스의 저부에 반응 가스가 진입하기 어렵기 때문에, 스페이스를 구획 형성하는 라인측벽의 상단부 근방에서의 막 두께가 두꺼워지고, 저부측에서 막 두께가 얇아지는 경향이 있다. 그로 인해, 스페이스에 매립된 산화 실리콘막에는 보이드가 발생하는 경우가 있다. 그러한 산화 실리콘막이, 예를 들어 후속의 에칭 공정에 있어서 에칭되면, 산화 실리콘막의 상면에, 보이드와 연통되는 개구가 형성되는 경우가 있다. 그러면, 그러한 개구로부터 보이드에 에칭 가스(또는 에칭액)가 진입하여 오염이 발생하거나, 또는 후의 메타라이제이션 시에 보이드 중에 금속이 인입하여, 결함이 발생하거나 할 우려가 있다.
이러한 문제는, ALD에 한하지 않고, 화학적 기상 퇴적(CVD, Chemical Vapor Deposition)법에 있어서도 발생할 수 있다. 예를 들어, 반도체 기판에 형성되는 접속 구멍을 도전성 물질의 막으로 매립하고, 도전성의 접속 구멍(소위 플러그)을 형성할 때에, 플러그 중에 보이드가 형성되어 버리는 경우가 있다. 일본 특허 공개 제2003-142484호 공보에 기재되어 있는 바와 같이, 이것을 억제하기 위하여, 접속 구멍을 도전성 물질로 매립할 때에, 접속 구멍의 상부에 형성되는 도전성 물질의 오버행 형상부를 에치 백에 의해 제거하는 공정을 반복하여 행함으로써, 보이드가 억제된 도전성 접속 구멍을 형성하는 방법이 제안되고 있다.
그러나, 상술한 스페이스나 접속 구멍의 매립 시에 사용되는 에칭 처리에 있어서는, 에칭 처리 후의 막질 개선이 반드시 충분하지는 않으며, 에칭 처리에 사용되는 불소 함유 가스의 불소 성분이 막 중에 잔류되어 버려, 막질을 저하시킬 우려가 있었다.
따라서, 본 발명은, 막 중의 불소 농도를 저하시킬 수 있는 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위하여, 본 발명의 일 형태에 관한 플라즈마 처리 장치는, 처리 용기와,
해당 처리 용기 내에 설치되고, 기판을 상면에 적재 가능한 회전 테이블과,
해당 회전 테이블의 주위 방향에 있어서의 소정 개소에 형성되고, 제1 플라즈마 가스로부터 제1 플라즈마를 발생시켜 제1 플라즈마 처리를 행하는 제1 플라즈마 처리 영역과,
해당 제1 플라즈마 처리 영역과 상기 주위 방향에 있어서 이격하여 형성되고, 제2 플라즈마 가스로부터 제2 플라즈마를 발생시켜 제2 플라즈마 처리를 행하는 제2 플라즈마 처리 영역과,
상기 주위 방향에 있어서 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역 사이의 2개의 간격 영역 각각에 형성되고, 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역을 분리하여 상기 제1 플라즈마 가스와 상기 제2 플라즈마 가스의 혼합을 방지하는 2개의 분리 영역을 갖는다.
본 발명의 다른 형태에 관한 플라즈마 처리 방법은, 제1 플라즈마 가스로부터 제1 플라즈마를 발생시켜 기판에 제1 플라즈마 처리를 행하는 공정과,
상기 제1 플라즈마 처리가 행하여진 상기 기판을 퍼지 가스에 의해 퍼지하는 공정과,
제2 플라즈마 가스로부터 제2 플라즈마를 발생시켜 상기 퍼지된 상기 기판에 제2 플라즈마 처리를 행하는 공정과,
상기 제2 플라즈마 처리가 행하여진 상기 기판을 상기 퍼지 가스에 의해 퍼지하는 공정을 포함하는 사이클을 동일 주기로 복수회 반복함으로써, 상기 기판에 2종류의 플라즈마 처리를 교대로 행한다.
도 1은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례를 도시하는 종단면도.
도 2는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례를 나타내는 횡단면도.
도 3은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례를 나타내는 횡단면도.
도 4는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 내부의 일부를 도시하는 분해 사시도.
도 5는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 내부의 일부를 도시하는 종단면도.
도 6은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 내부의 일부를 도시하는 사시도.
도 7은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 내부의 일부를 도시하는 종단면도.
도 8은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 내부의 일부를 도시하는 평면도.
도 9는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 패러데이 실드를 도시하는 사시도.
도 10은 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 일례의 패러데이 실드의 일부를 도시하는 사시도.
도 11a 내지 도 11d는 본 발명의 실시 형태에 관한 플라즈마 처리 방법의 일례를 나타낸 일련의 공정도.
도 12a 및 도 12b는 본 발명의 실시 형태에 관한 플라즈마 처리 방법의 개질 처리를 설명하기 위한 도면.
도 13은 종래의 개질 공정을 실시한 후의 SiO2막 중의 불소 농도의 분석 결과를 도시한 도면.
도 14a 및 도 14b는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 수소 가스의 격리 상태를 나타내는 시뮬레이션 결과를 도시한 도면.
도 15a 및 도 15b는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 NF3 가스의 격리 상태를 나타내는 시뮬레이션 결과를 도시한 도면.
도 16a 및 도 16b는 본 발명의 실시 형태에 관한 플라즈마 처리 장치의 분리 가스의 격리성을 압력의 관점에서 나타내는 시뮬레이션 결과를 도시한 도면.
도 17a 및 도 17b는 본 실시 형태에 관한 플라즈마 처리 장치의 분리 가스의 격리성을 Ar 질량 농도의 관점에서 나타내는 시뮬레이션 결과를 도시한 도면.
이하, 도면을 참조하여, 본 발명을 실시하기 위한 형태 설명을 행한다.
먼저, 본 발명의 실시 형태에 관한 플라즈마 처리 장치 및 플라즈마 처리 방법을 적용한 에칭 장치의 일례에 대하여 설명한다. 본 발명에 관한 플라즈마 처리 장치 및 플라즈마 처리 방법은, 에칭 장치 외에, 성막 장치, 에칭과 성막 양쪽을 행하는 기판 처리 장치 등, 플라즈마 처리를 행하는 모든 장치에 적용 가능하지만, 본 실시 형태에 있어서는, 일례로서, 본 발명에 관한 플라즈마 처리 장치를 에칭 장치로서 구성한 실시 형태에 대하여 설명한다.
본 발명의 실시 형태에 관한 플라즈마 처리 장치를 적용한 에칭 장치의 일례에 대하여, 도 1 내지 도 10을 참조하여 설명한다. 본 실시 형태에 관한 에칭 장치는, 도 1 및 도 2에 도시한 바와 같이, 평면 형상이 대략 원형인 처리실(1)과, 이 처리실(1) 내에 설치되고, 처리실(1)의 중심에 회전 중심을 갖는 회전 테이블(2)을 구비하고 있다. 그리고, 에칭 장치에서는, 상세히 후술하는 바와 같이, ALE(Atomic Layer Etching, 원자층 에칭)법에 의해 웨이퍼 W의 표면에 성막된 박막을 에칭함과 함께, 에칭 후의 박막에 대하여 플라즈마 개질을 행하도록 구성되어 있다. 이때, 플라즈마 개질을 행하는 데 있어서, 플라즈마에 의해, 박막 중에 포함되어 있는 불소 성분을 제거하고, 막이 불소 성분을 포함하지 않도록, 또는 불소 농도가 가능한 한 작아지도록, 에칭 장치가 구성되어 있다. 즉, 반도체 프로세스에서는, 불소계의 에칭 가스를 사용하여 에칭을 행하는 경우가 많지만, 불소 성분이 에칭 대상인 막 중에 잔류하면, 디바이스 특성이 악화되어 버린다. 특히, SiO2, SiN 등, 실리콘계의 막 중에 불소 성분이 잔류하면, 디바이스 특성에 악영향을 끼쳐 버린다. 한편, 상술한 바와 같이, 에칭은 NF3 등 불소계 가스를 에칭 가스로서 사용하는 경우가 많으므로, 막의 에칭을 행하면, 막 중의 불소 농도가 증가하는 것이 일반적이며, 또한 불소 농도를 가능한 한 저하시키는 것이 기술적 과제로 되어 있다. 따라서, 본 실시 형태에 관한 에칭 장치에서는, 주기적인 미량 에칭을 행함과 함께, 막 중에 잔류된 불소 성분을, 주기적인 개질 처리에 의해 효과적으로 제거하지만, 이 점의 상세에 대해서는 후술한다. 계속해서, 에칭 장치의 각 부에 대하여 상세하게 설명한다.
처리실(1)은, 천장판(11) 및 용기 본체(12)를 구비하고 있고, 천장판(11)이 용기 본체(12)로부터 착탈할 수 있도록 구성되어 있다. 천장판(11)의 상면측에 있어서의 중앙부에는, 처리실(1) 내의 중심부 영역 C에 있어서 서로 상이한 처리 가스끼리 혼합되는 것을 억제하기 위하여, Ar 가스를 분리 가스로서 공급하기 위한 분리 가스 공급관(51)이 접속되어 있다. 또한, 도 1 중, 용기 본체(12)의 상면 주연부에 링 형상으로 설치된 시일 부재(13), 예를 들어 O링이 도시되어 있다.
회전 테이블(2)은, 중심부에서 개략 원통 형상의 코어부(21)에 고정되어 있고, 이 코어부(21)의 하면에 접속됨과 함께 연직 방향으로 신장하는 회전축(22)에 의해, 연직축 주위, 이 예에서는 시계 방향으로 회전 가능하게 구성되어 있다. 회전축(22)의 하단부에 설치되어 있는 구동부(23)는 회전축(22)을 연직축 주위로 회전시키는 구동체이다. 케이스체(20)는 회전축(22) 및 구동부(23)를 수납한다. 케이스체(20)는 상면측의 플랜지 부분이 처리실(1)의 저면부(14)의 하면에 기밀하게 설치되어 있다. 또한, 케이스체(20)에는, 회전 테이블(2)의 하방 영역에 Ar 가스를 퍼지 가스로서 공급하기 위한 퍼지 가스 공급관(72)이 접속되어 있다. 처리실(1)의 저면부(14)에 있어서의 코어부(21)의 외주측은, 회전 테이블(2)에 하방측으로부터 근접하도록 링 형상으로 형성되어 돌출부(12a)를 이루고 있다.
회전 테이블(2)의 표면부에는, 도 2 및 도 3에 도시한 바와 같이 회전 방향(주위 방향)을 따라 복수매, 예를 들어 5매의 기판인 웨이퍼 W를 적재하기 위한 원 형상의 오목부(24)가 기판 적재 영역으로서 형성되어 있다. 오목부(24)는 직경 치수가 예를 들어 300㎜ 사이즈인 웨이퍼 W를 당해 오목부(24) 상에 적재하면, 웨이퍼 W의 표면과 회전 테이블(2)의 표면(웨이퍼 W가 적재되지 않는 영역)이 맞추어지도록, 직경 치수 및 깊이 치수가 설정되어 있다. 오목부(24)의 저면에는, 웨이퍼 W를 하방측으로부터 밀어올려 승강시키기 위한 복수(예를 들어 3개)의 승강 핀이 관통하는 관통 구멍(도시하지 않음)이 형성되어 있다.
도 2 및 도 3에 도시한 바와 같이, 회전 테이블(2)에 있어서의 오목부(24)의 통과 영역과 각각 대향하는 위치에는, 각각 예를 들어 석영으로 이루어지는 4개의 노즐(31, 32, 41, 42)이 처리실(1)의 주위 방향(회전 테이블(2)의 회전 방향)으로 서로 간격을 두고 방사상으로 배치되어 있다. 각 노즐(31, 32, 41, 42)은, 예를 들어 처리실(1)의 외주벽으로부터 중심부 영역 C를 향하여 웨이퍼 W에 대향하여 수평하게 신장되도록 각각 설치되어 있다. 이 예에서는, 후술하는 반송구(15)로부터 보아 시계 방향(회전 테이블(2)의 회전 방향)으로 제1 플라즈마 가스 노즐(31), 분리 가스 노즐(41), 제2 플라즈마 가스 노즐(32), 분리 가스 노즐(42)이 이 순서로 배열되어 있다. 제1 플라즈마 가스 노즐(31)의 상방에는, 도 1에 도시한 바와 같이, 제1 플라즈마 가스 노즐(31)로부터 토출되는 가스를 플라즈마화하기 위하여, 제1 플라즈마 발생기(80)가 설치되어 있다. 또한, 제2 플라즈마 가스 노즐(32)의 상방에도, 제2 플라즈마 가스 노즐(32)로부터 토출되는 가스를 플라즈마화하기 위하여, 제2 플라즈마 발생기(130)가 설치되어 있다. 또한, 도 1에는 제2 플라즈마 발생기(130)는 도시되어 있지 않다. 제1 및 제2 플라즈마 발생기(80, 130)의 상세에 대해서는, 후술한다.
플라즈마 가스 노즐(31, 32)은, 각각 제1 플라즈마 가스 공급부, 제2 플라즈마 가스 공급부를 이루고, 분리 가스 노즐(41, 42)은, 각각 분리 가스 공급부를 이루고 있다. 또한, 도 2는 플라즈마 가스 노즐(31, 32)이 보이도록 플라즈마 발생기(80) 및 후술하는 하우징(90)을 제거한 상태, 도 3은 플라즈마 발생기(80, 130) 및 하우징(90, 140)을 설치한 상태를 도시하고 있다. 또한, 도 1에서는, 플라즈마 발생기(80)에 대하여, 모식적으로 일점쇄선으로 나타내고 있다(플라즈마 발생기(130)는 도 1에는 도시하지 않음).
각 노즐(31, 32, 41, 42)은, 유량 조정 밸브를 개재하여 각기 이하의 각 가스 공급원(도시하지 않음)에 각각 접속되어 있다. 즉, 제1 플라즈마 가스 노즐(31)은 에칭 가스의 공급원에 접속되어 있고, 예를 들어 NH3 가스 등의 불소계 가스가 에칭 가스로서 사용된다. 제2 플라즈마 가스 노즐(32)은, 개질 가스의 공급원에 접속되어 있고, 예를 들어 불소와 반응하여 HF로 되고, 불소를 막 중으로부터 이탈할 수 있는 수소 가스 등이 개질 가스로서 사용된다. 제1 플라즈마 가스 노즐(31)은, 예를 들어 Ar(아르곤) 가스와 NF3 가스의 혼합 가스의 공급원에 접속되어 있다. 제2 플라즈마 가스 노즐(32)은, 예를 들어 Ar과 H2 가스의 혼합 가스의 공급원에 접속되어 있다. 또한, 분리 가스 노즐(41, 42)은 분리 가스인 Ar 가스, N2 가스 등의 불활성 가스(희가스를 포함함)의 가스 공급원에 각각 접속되어 있다. 또한, 이하에 있어서는, 편의상, 에칭 대상으로 되는 막을 SiO2막, 제1 플라즈마 가스 노즐(31)로부터 공급되는 에칭 가스를 Ar과 NF3의 혼합 가스, 제2 플라즈마 가스로부터 공급되는 개질 가스를 Ar과 H2의 혼합 가스, 분리 가스를 Ar 가스로서 설명한다. 분리 가스는, 에칭 대상막이 SiN막인 경우에는 N2 가스를 사용해도 되지만, 에칭 대상막이 SiO2막인 경우에는 SiON 등을 생성하지 않도록 Ar 가스를 사용하는 것이 바람직하다. 또한, 이후, 제1 플라즈마 가스 노즐(31)로부터 공급되는 에칭 가스를 제1 플라즈마 가스, 제2 플라즈마 가스 노즐(32)로부터 공급되는 개질 가스를 제2 플라즈마 가스라고 칭해도 된다.
도 7에 도시한 바와 같이, 플라즈마 가스 노즐(31, 32) 및 분리 가스 노즐(41, 42)의 하면측에는, 회전 테이블(2)의 반경 방향을 따라 복수 개소에 각각 가스 토출 구멍(33, 43)이 예를 들어 등간격으로 형성되어 있다. 플라즈마 가스 노즐(31)의 하방측면에는, 회전 테이블(2)의 회전 방향 상류측(반송구(15)측)이면서 또한 하방측(비스듬히 아래)을 향하도록, 플라즈마 가스 노즐(31)의 길이 방향을 따라 예를 들어 개구 직경이 0.3 내지 0.5㎜인 가스 토출 구멍(33)이 복수 개소에 예를 들어 등간격으로 형성되어 있다. 이렇게 플라즈마 가스 공급 노즐(34)의 가스 토출 구멍(33)의 방향을 설정한 이유에 대해서는, 후술한다. 이들 각 노즐(31, 32, 41, 42)은, 당해 노즐(31, 32, 41, 42)의 하측 단부 테두리와 회전 테이블(2)의 상면의 이격 거리가 예를 들어 1 내지 5㎜ 정도로 되도록 배치되어 있다.
제1 및 제2 플라즈마 가스 노즐(31, 32)의 하방 영역은, 각각 웨이퍼 W 상에 성막된 SiO2막을 에칭 처리를 위한 제1 플라즈마 처리 영역 P1 및 에칭 처리된 SiO2막의 표면을 개질 처리하기 위한 제2 플라즈마 처리 영역 P2로 된다. 분리 가스 노즐(41, 42)은, 각각 제1 플라즈마 처리 영역 P1과 제2 플라즈마 처리 영역 P2를 분리하는 분리 영역 D를 형성한다. 이 분리 영역 D에 있어서의 처리실(1)의 천장판(11)에는, 도 2 및 도 3에 도시한 바와 같이, 개략 부채형의 볼록 형상부(4)가 형성되어 있고, 분리 가스 노즐(41, 42)은, 이 볼록 형상부(4)에 형성된 홈부(46) 내에 수용되어 있다. 따라서, 분리 가스 노즐(41, 42)에 있어서의 회전 테이블(2)의 주위 방향 양측에는, 각 플라즈마 가스끼리의 혼합을 저지하기 위하여, 볼록 형상부(4)의 하면인 낮은 천장면(44)(제1 천장면)이 배치되고, 천장면(44)의 주위 방향 양측에는, 천장면(44)보다도 높은 천장면(45)(제2 천장면)이 배치되어 있다. 이러한 구조를 갖는 분리 영역 D에 있어서, 분리 가스 노즐(41, 42)로부터 Ar 가스 등의 분리 가스를 공급하면, 홈부(46)로부터 주위 방향 양측을 향하여 분리 가스가 흘러, 볼록 형상부(4)의 하면으로의 외부로부터의 가스의 진입을 저지한다. 따라서, 분리 영역 D는, 상방은 볼록 형상부(4), 볼록 형상부(4) 하의 회전 테이블(2)과의 사이의 간극은, 분리 가스의 공급에 의해, 분리 영역 D의 주위 방향 양측의 공간을 분리한다. 또한, 볼록 형상부(4)의 주연부(처리실(1)의 외측 테두리측의 부위)는, 각 플라즈마 가스끼리의 혼합을 저지하기 위하여, 회전 테이블(2)의 외측 단부면에 대향함과 함께 용기 본체(12)에 대하여 약간 이격하도록, L자형으로 굴곡져 있다.
도 2 및 도 3에 도시된 바와 같이, 에칭 처리를 행하는 제1 플라즈마 처리 영역 P1과, 개질 처리를 행하는 제2 플라즈마 처리 영역 P2 사이의 2개의 간격 공간에는 모두 분리 영역 D가 형성되어 있다. 따라서, 제1 플라즈마 처리 영역 P1과 제2 플라즈마 처리 영역 P2는, 분리 영역 D를 개재하여 확실하게 분리된다. 예를 들어, 제1 플라즈마 처리 영역 P1 내에 설치된 제1 플라즈마 가스 노즐(31)로부터 (Ar+NF3) 가스가 공급되고, 제2 플라즈마 처리 영역 P2 내에 설치된 제2 플라즈마 가스 노즐(32)로부터 (Ar+H2) 가스가 공급된 경우, NF3 가스와 H2 가스가 소정 농도 범위(1.5 내지 90.6%)로 혼합된 경우, 폭발을 일으킬 우려가 있다. 따라서, NF3 가스와 H2 가스의 혼합을 확실하게 방지하기 위해, 제1 플라즈마 처리 영역 P1과 제2 플라즈마 처리 영역 P2 사이의 2개의 공간에는, 각각 분리 영역 D를 형성하여, 제1 플라즈마 처리 영역 P1에 공급되는 NF3 가스와 제2 플라즈마 처리 영역 P2에 공급되는 H2 가스의 혼합을 확실하게 방지하고 있다.
또한, NF3 가스와 H2 가스는, 구체적으로는 이하의 화학 반응식 (1)에 의해 반응한다.
3H2+2NF3→6HF+N2 (1)
여기서, H2 가스와 NF3 가스가 소정의 농도 범위 내에 있으면 폭발을 일으킬 우려가 있는 것은 상술한 바와 같지만, 폭발을 일으키지 않는 경우에도 반응의 결과 HF를 발생시킨다. HF는, 부식성의 가스이기 때문에, HF가 발생하여 처리 용기(1)의 내벽 등에 부착되면, 부착된 내벽 등을 부식시킬 우려가 있다. 따라서, 비록 폭발이 발생하지 않는 경우에도, NF3 가스와 H2 가스는 혼합되지 않는 구조를 취하는 것이 바람직하다. 이 점에서, 본 실시 형태에 관한 플라즈마 처리 장치는, 제1 플라즈마 처리 영역 P2와 제2 플라즈마 처리 영역 P2를 볼록 형상부(4)와 분리 가스(Ar 가스)의 공급으로 분리하는 분리 영역 D를 구비하고 있으므로, 폭발이나 처리 용기(1)의 내부의 부식을 확실하게 방지할 수 있다.
또한, 분리 가스는, 퍼지 가스와 동등한 역할을 하고 있으므로, 분리 영역 D를 퍼지 영역 D, 분리 가스를 퍼지 가스라고 칭해도 된다.
또한, 제1 및 제2 플라즈마 처리 영역 P1, P2는, 그 자체로도 외부로부터의 가스의 진입을 방지하는 구조를 갖지만, 이 점에 대해서는 후술한다.
또한, 제1 및 제2 플라즈마 가스 노즐(31, 32)은 모두 제1 및 제2 처리 영역 P1, P2의 상류측의 위치에 설치되어 있다. 이것은, 제1 및 제2 플라즈마 가스 노즐(31, 32)로부터 공급된 NF3 가스 및 H2 가스를 조기에 플라즈마화하여, 웨이퍼 W가 제1 및 제2 처리 영역 P1, P2를 통과하는 동안에 확실하게 플라즈마 처리가 행하여지도록 하기 위해서이다.
이어서, 플라즈마 발생기(80)에 대하여 상세하게 설명한다. 이 플라즈마 발생기(80)는 예를 들어 구리(Cu) 등의 금속선으로 이루어지는 전극(또는 「안테나」라고 칭해도 됨)(83)을 코일 형상으로 권회하여 구성되어 있고, 처리실(1)의 내부 영역으로부터 기밀하게 구획되도록, 처리실(1)의 천장판(11) 상에 설치되어 있다. 이 예에서는, 전극(83)은, 구리의 표면에 니켈 도금 및 금 도금을 이 순서로 실시한 재질에 의해 구성되어 있다. 구체적으로는, 도 4에 도시한 바와 같이 플라즈마 가스 노즐(31)의 상방측(상세하게는 이 노즐(34)보다도 약간 회전 테이블(2)의 회전 방향 상류측의 위치부터 이 노즐(31)의 회전 방향 하류측의 분리 영역 D보다도 약간 노즐(31)측에 가까운 위치까지)에 있어서의 천장판(11)에는 평면적으로 본 때에 개략 부채형으로 개구되는 개구부(11a)가 형성되어 있다.
개구부(11a)는, 회전 테이블(2)의 회전 중심으로부터 예를 들어 60㎜ 정도 외주측으로 이격한 위치부터, 회전 테이블(2)의 외측 테두리보다도 80㎜ 정도 외측으로 이격된 위치까지에 걸쳐 형성되어 있다. 또한, 개구부(11a)는, 처리실(1)의 중심부 영역 C에 설치된 후술하는 래비린스 구조부(110)(도 5 참조)에 간섭하지 않도록, 평면에서 본 때에 회전 테이블(2)의 중심측에 있어서의 단부가 래비린스 구조부(110)의 외측 테두리를 따르도록 원호 형상으로 오목해져 있다. 그리고, 개구부(11a)는, 도 4 및 도 5에 도시한 바와 같이, 천장판(11)의 상단부측으로부터 하단부측을 향하여 개구부(11a)의 개구 직경이 단계적으로 작아지도록, 예를 들어 3단의 단차부(11b)가 주위 방향에 걸쳐 형성되어 있다. 이들 단차부(11b) 중 최하단의 단차부(입구 테두리부)(11b)의 상면에는, 도 5에 도시한 바와 같이 주위 방향에 걸쳐 홈(11c)이 형성되어 있고, 홈(11c) 내에는 시일 부재, 예를 들어 O-링(11d)이 배치되어 있다. 또한, 홈(11c) 및 O-링(11d)에 대해서는, 도 4에서는 도시를 생략하고 있다.
개구부(11a)에는, 도 6에도 도시한 바와 같이, 상방측의 주연부가 주위 방향에 걸쳐 플랜지 형상으로 수평하게 연신되어 플랜지부(90a)를 형성함과 함께, 중앙부가 하방측의 처리실(1)의 내부 영역을 향하여 오목해지도록 형성된 하우징(90)이 배치되어 있다. 이 하우징(90)은, 예를 들어 석영 등의 유전체에 의해 구성된 투자체(자력을 투과시키는 재질)이며, 도 9에 도시한 바와 같이, 오목해진 부분의 두께 치수 t가 예를 들어 20㎜로 되어 있다. 또한, 하우징(90)은, 하우징(90)의 하방에 웨이퍼 W가 위치한 때 중심부 영역 C측에 있어서의 하우징(90)의 내벽면과 웨이퍼 W의 외측 테두리 사이의 거리가 70㎜로 되고, 회전 테이블(2)의 외주측에 있어서의 하우징(90)의 내벽면과 웨이퍼 W의 외측 테두리 사이의 거리가 70㎜로 되도록 구성되어 있다. 따라서, 회전 테이블(2)의 회전 방향 상류측 및 하류측에 있어서의 개구부(11a)의 2개의 변과 회전 테이블(2)의 회전 중심이 이루는 각도 α는, 예를 들어 68°로 되어 있다.
또한, 하우징(90)은, 고순도 석영 외에, 고순도 알루미나, 이트리아 등, 내플라즈마 에칭 성능이 우수한 재료로 구성되거나, 적어도 표층 부위를 상술한 재료로 코팅하여 구성한다. 따라서, 하우징(90)은 기본적으로는 유전체로 구성된다.
하우징(90)을 개구부(11a) 내에 감입하면, 플랜지부(90a)와 단차부(11b) 중 최하단의 단차부(11b)가 서로 걸어 지지한다. 그리고, O-링(11d)에 의해, 단차부(11b)(천장판(11))와 하우징(90)이 기밀하게 접속된다. 또한, 개구부(11a)의 외측 테두리를 따르도록 프레임 형상으로 형성된 가압 부재(91)에 의해 플랜지부(90a)를 하방측을 향하여 주위 방향에 걸쳐 가압함과 함께, 가압 부재(91)를 도시하지 않은 볼트 등에 의해 천장판(11)에 고정함으로써, 처리실(1)의 내부 분위기가 기밀 상태로 된다. 이렇게 하우징(90)을 천장판(11)에 기밀하게 고정한 때의 하우징(90)의 하면과 회전 테이블(2) 상의 웨이퍼 W의 표면 사이의 이격 치수 h는 4 내지 60㎜, 이 예에서는 30㎜로 되어 있다. 또한, 도 6은 하우징(90)을 하방측으로부터 도시하고 있다.
하우징(90)의 하면은, 하우징(90)의 하방 영역으로의 N2 가스나 O3 가스 등의 침입을 저지하기 위하여, 도 1 및 도 5 내지 도 7에 도시한 바와 같이, 외측 테두리부가 주위 방향에 걸쳐 하방측(회전 테이블(2)측)으로 수직으로 연신되어, 가스 규제용 돌기부(92)를 형성하고 있다. 그리고, 이 돌기부(92)의 내주면, 하우징(90)의 하면 및 회전 테이블(2)의 상면에 의해 둘러싸인 영역에는, 회전 테이블(2)의 회전 방향 상류측에, 플라즈마 가스 노즐(31)이 배치되어 있다.
또한, 하우징(90)의 하방 영역(플라즈마 공간(10))에 외부로부터 가스가 침입하기 어려워지도록, 하우징(90)의 하면측에 돌기부(92)를 형성하고 있다. 상술한 바와 같이, 제1 플라즈마 처리 영역 P1과 제2 플라즈마 처리 영역 P2는, Ar 가스를 공급하여 양자를 분리하는 분리 영역 D에 의해 분리되어 있기 때문에, 분리 영역 D와 제1 플라즈마 처리 영역 P1 사이의 공간은, Ar 가스로 채워져 있지만, 외부의 Ar 가스가 제1 플라즈마 처리 영역 P1 내에 진입하면, NF3 가스의 농도가 옅어져 버린다. 따라서, 하우징(90)의 하방 영역에 Ar 가스가 침입하기 어려워지도록, 하우징(90)의 하면측에 돌기부(92)를 형성하고 있다.
또한, 에칭 대상막이 SiN막일 때에는, 분리 가스로서 N2 가스를 사용하는 경우도 있다. 이 경우, 하우징(90)의 하방 영역(플라즈마 공간(10))에 있어서 플라즈마 가스 노즐(31)로부터 공급되는 가스가 플라즈마화되므로, 플라즈마 공간(10)에 N2 가스가 진입하면, N2 가스의 플라즈마와 O3 가스(O2 가스)의 플라즈마가 서로 반응하여 NOx 가스가 생성된다. 이 NOx 가스가 발생하면, 처리실(1) 내의 부재가 부식되어 버린다. 따라서, 하우징(90)의 하방 영역에 N2 가스가 침입하기 어렵게 하기 위해서도, 하우징(90)의 하면측에 돌기부(92)를 형성하고 있다.
플라즈마 가스 공급 노즐(34)의 기단부측(처리실(1)의 측벽측)에 있어서의 돌기부(92)는 플라즈마 가스 공급 노즐(34)의 외형을 따르도록 개략 원호 형상으로 잘려 있다. 돌기부(92)의 하면과 회전 테이블(2)의 상면 사이의 이격 치수 d는 0.5 내지 4㎜이며, 이 예에서는 2㎜로 되어 있다. 돌기부(92)의 폭 치수 및 높이 치수는, 각각 예를 들어 10㎜ 및 28㎜로 되어 있다. 또한, 도 7은 회전 테이블(2)의 회전 방향을 따라 처리실(1)을 절단한 종단면도를 도시하고 있다.
또한, 에칭 처리 중에는, 회전 테이블(2)이 시계 방향으로 회전하므로, Ar 가스가 이 회전 테이블(2)의 회전에 연동되어 회전 테이블(2)과 돌기부(92) 사이의 간극으로부터 하우징(90)의 하방측에 침입하려고 한다. 그로 인해, 상술한 간극을 통하여 하우징(90)의 하방측으로의 N2 가스의 침입을 저지하기 위하여, 간극에 대하여 하우징(90)의 하방측으로부터 가스를 토출시키고 있다. 구체적으로는, 플라즈마 가스 노즐(31)의 가스 토출 구멍(33)에 대하여, 도 5 및 도 7에 도시한 바와 같이, 이 간극을 향하도록, 즉 회전 테이블(2)의 회전 방향 상류측이고 또한 하방을 향하도록 배치하고 있다. 연직축에 대한 플라즈마 가스 공급 노즐(34)의 가스 토출 구멍(33)의 향하는 각도 θ는, 도 7에 도시한 바와 같이 예를 들어 45° 정도로 되어 있다.
여기서, 하우징(90)의 하방(플라즈마 공간(10))측으로부터 천장판(11)과 하우징(90) 사이의 영역을 시일하는 O-링(11d)을 보면, 도 5에 도시한 바와 같이 플라즈마 공간(10)과 O-링(11d) 사이에 돌기부(92)가 주위 방향에 걸쳐 형성되어 있다. 그로 인해, O-링(11d)은, 플라즈마에 직접 노출되지 않도록, 플라즈마 공간(10)으로부터 격리되어 있다. 따라서, 플라즈마 공간(10) 중의 플라즈마가 예를 들어 O-링(11d)측으로 확산하려고 해도, 돌기부(92)의 하방을 경유하여 가게 되므로, O-링(11d)에 도달하기 전에 플라즈마가 실활하게 된다.
하우징(90)의 내부(하우징(90)에 있어서 하방측으로 오목해진 영역)에는, 하우징(90)의 내부 형상을 개략 따르도록 형성된 두께 치수 k가 예를 들어 1㎜ 정도인 도전성의 판상체인 금속판으로 이루어지는, 접지된 패러데이 실드(95)가 수납되어 있다. 이 예에서는, 패러데이 실드(95)는 구리(Cu)판 또는 구리판에 니켈(Ni)막 및 금(Au)막을 하측으로부터 도금한 판재에 의해 구성되어 있다. 즉, 패러데이 실드(95)는 하우징(90)의 바닥면을 따르도록 수평하게 형성된 수평면(95a)과, 수평면(95a)의 외주 단부로부터 주위 방향에 걸쳐 상방측으로 신장되는 수직면(95b)을 구비하고 있고, 상방측에서 본 때에 하우징(90)의 내측 테두리를 따라 개략 부채 형상으로 되도록 구성되어 있다. 패러데이 실드(95)는 예를 들어 금속판의 압연 가공에 의해, 혹은 금속판에 있어서의 수평면(95a)의 외측에 대응하는 영역을 상방측으로 절곡됨으로써 형성되어 있다.
또한, 회전 테이블(2)의 회전 중심으로부터 패러데이 실드(95)를 본 때의 우측 및 좌측에 있어서의 패러데이 실드(95)의 상단부 테두리는, 각각 우측 및 좌측으로 수평하게 연신되어 지지부(96)를 이루고 있다. 그리고, 패러데이 실드(95)를 하우징(90)의 내부에 수납하면, 패러데이 실드(95)의 하면과 하우징(90)의 상면이 서로 접촉함과 함께, 지지부(96)가 하우징(90)의 플랜지부(90a)에 의해 지지된다. 수평면(95a) 상에는, 패러데이 실드(95)의 상방에 적재되는 플라즈마 발생기(80)와의 절연을 취하기 위하여, 두께 치수가 예를 들어 2㎜ 정도인 예를 들어 석영으로 이루어지는 절연판(94)이 적층되어 있다. 수평면(95a)에는, 다수의 슬릿(97)이 형성되어 있으나, 슬릿(97)의 형상이나 배치 레이아웃에 대해서는, 플라즈마 발생기(80)의 전극(83)의 형상과 함께 후술한다. 또한, 절연판(94)에 대해서는, 후술하는 도 8 및 도 9 등에서는 묘화를 생략하고 있다.
플라즈마 발생기(80)는 패러데이 실드(95)의 내부에 수납되도록 구성되어 있다. 따라서 도 4 및 도 5에 도시한 바와 같이 하우징(90), 패러데이 실드(95) 및 절연판(94)을 개재하여 처리실(1)의 내부(회전 테이블(2) 위의 웨이퍼 W)에 대향하도록 배치되어 있다. 이 플라즈마 발생기(80)는 전극(83)을 연직축 주위로 권회하여 구성되어 있고, 이 예에서는 2개의 플라즈마 발생부(81, 82)를 구비하고 있다. 각각의 플라즈마 발생부(81, 82)는 전극(83)이 각각 3중으로 권회되어 있다. 이들 2개의 플라즈마 발생부(81, 82) 중 한쪽을 제1 플라즈마 발생부(81), 다른 쪽을 제2 플라즈마 발생부(82)라고 칭하면, 제1 플라즈마 발생부(81)는 도 4 및 도 5에 도시한 바와 같이, 평면적으로 본 때에 하우징(90)의 내측 테두리를 따르도록 개략 부채 형상으로 되어 있다. 또한, 제1 플라즈마 발생부(81)는 제1 플라즈마 발생부(81)의 하방에 웨이퍼 W가 위치한 때, 이 웨이퍼 W에 있어서의 중심부 영역 C측의 단부와 회전 테이블(2)의 외측 테두리측의 단부 사이에 걸쳐 플라즈마를 조사(공급)할 수 있도록, 중심부 영역 C측 및 외주측의 단부가 각각 하우징(90)의 내벽면에 근접하도록 배치되어 있다. 또한, 전극(83) 내부에는 냉각수가 통류하는 유로가 형성되어 있으나, 여기서는 생략하고 있다.
이와 같이, 플라즈마 발생기(80)의 전극(83)을 처리실(1)의 외부에 배치하고, 외부로부터 전계, 자계를 처리실(1) 내에 도입하는 구성으로 하면, 처리실(1) 내에 전극(83)이 배치되지 않으므로, 처리실(1) 내의 메탈 오염을 방지할 수 있어, 고품질의 성막을 행할 수 있다. 그러나, 하우징(90)은 고순도 석영 등의 유전체이기 때문에, 전극(83)이 처리실(1) 내에 있는 구성과 비교하여, 플라즈마 방전이 발생하기 어려워지는 경우가 있다. 본 실시 형태에 관한 플라즈마 처리 장치에 있어서는, 이러한 전극(83)을 처리실(1) 외부에 설치하는 구성을 채용하면서도, 플라즈마 방전을 안정적으로 발생시킬 수 있는 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공한다.
제2 플라즈마 발생부(82)는 회전 테이블(2)의 반경 방향 외주측에 있어서 웨이퍼 W에 플라즈마를 공급할 수 있도록, 회전 테이블(2) 위의 웨이퍼 W의 중심 위치로부터 200㎜ 정도 외주측으로 이격한 위치와, 회전 테이블(2)의 외측 테두리로부터 90㎜ 정도 외주측으로 이격한 위치 사이에 배치되어 있다. 즉, 회전 테이블(2)이 회전하면, 중심부측에 비하여 외주부측에서는 주속도가 빨라진다. 그로 인해, 외주부측에서는 내주부측보다도 웨이퍼 W에 공급되는 플라즈마의 양이 적어지는 경우가 있다. 따라서, 회전 테이블(2)의 반경 방향에 있어서 웨이퍼 W에 공급되는 플라즈마의 양을 맞추기 위하여, 이른바 제1 플라즈마 발생부(81)에 의해 웨이퍼 W에 공급되는 플라즈마의 양을 보상하기 위하여, 제2 플라즈마 발생부(82)를 설치하고 있다.
제1 플라즈마 발생부(81) 및 제2 플라즈마 발생부(82)에 있어서의 각각의 전극(83)은, 각각 정합기(84)를 통하여 주파수가 예를 들어 13.56MHz 및 출력 전력이 예를 들어 5000W인 고주파 전원(85)에 개별로 접속되어 있고, 제1 플라즈마 발생부(81) 및 제2 플라즈마 발생부(82)에 대하여 독립적으로 고주파 전력을 조정할 수 있도록 구성되어 있다. 또한, 도 3 등에 있어서는 정합기(84) 및 고주파 전원 (85)에 대하여 간략화하고 있다. 또한, 도 1, 도 3 및 도 4에 있어서, 각각의 플라즈마 발생부(81, 82)와 정합기(84) 및 고주파 전원(85)을 전기적으로 접속하기 위한 접속 전극(86)이 도시되어 있다.
여기서, 고주파 전원(85)은, 전극(83)에 공급하는 고주파 전력의 출력(이하, 간단히 「고주파 출력」이라고도 함)을 가변으로 할 수 있다. 고주파 전원(85)의 출력은, 예를 들어 처리실 600℃, 1.8Torr 통상의 성막에 있어서의 플라즈마 처리에서는 3300W로 설정되어 있다.
이어서, 패러데이 실드(95)의 슬릿(97)에 대하여 상세하게 설명한다. 슬릿(97)은, 각각의 플라즈마 발생부(81, 82)에 있어서 발생하는 전계 및 자계(전자계) 중 전계 성분이 하방의 웨이퍼 W를 향하는 것을 저지함과 함께, 자계를 웨이퍼 W에 도달시키기 위한 것이다. 즉, 전계가 웨이퍼 W에 도달하면, 웨이퍼 W의 내부에 형성되어 있는 전기 배선이 전기적으로 대미지를 받아 버리는 경우가 있다. 한편, 패러데이 실드(95)는 접지된 금속판에 의해 구성되어 있으므로, 슬릿(97)을 형성하지 않으면, 전계 외에 자계도 차단해 버린다. 또한, 전극(83)의 하방에 큰 개구부를 형성하면, 자계뿐만 아니라 전계도 통과해 버린다. 따라서, 전계를 차단하고 자계를 통과시키기 위하여, 이하와 같이 치수 및 배치 레이아웃을 설정한 슬릿(97)을 형성하고 있다.
구체적으로는, 슬릿(97)은, 도 8에 도시한 바와 같이 제1 플라즈마 발생부(81) 및 제2 플라즈마 발생부(82) 각각의 전극(83)의 권회 방향에 대하여 직교하는 방향으로 신장되도록, 주위 방향에 걸쳐 전극(83)의 하방 위치에 각각 형성되어 있다. 따라서, 예를 들어 회전 테이블(2)의 반경 방향을 따라 전극(83)이 배치된 영역에서는, 슬릿(97)은 회전 테이블(2)의 접선 방향 혹은 원주 방향을 따르도록 직선상 또는 원호상으로 형성되어 있다. 또한, 회전 테이블(2)의 외측 테두리를 따르도록 원호상으로 전극(83)이 배치된 영역에서는, 슬릿(97)은 회전 테이블(2)의 회전 중심으로부터 외측 테두리를 향하는 방향으로 직선상으로 형성되어 있다. 그리고, 2개의 영역간에 있어서 전극(83)이 굴곡진 부분에서는, 슬릿(97)은 당해 굴곡진 부분에 있어서의 전극(83)의 신장하는 방향에 대하여 직교하도록, 회전 테이블(2)의 주위 방향 및 반경 방향에 대하여 각각 경사지는 방향으로 형성되어 있다. 따라서, 슬릿(97)은, 전극(83)의 신장하는 방향을 따라 다수 배열되어 있다.
여기서, 전극(83)에는, 이미 설명한 바와 같이 주파수가 13.56MHz인 고주파 전원(85)이 접속되어 있고, 이 주파수에 대응하는 파장은 22m이다. 그로 인해, 슬릿(97)은, 이 파장의 1/10000 이하 정도의 폭 치수로 되도록, 도 9에 도시한 바와 같이, 폭 치수 d1이 1 내지 5㎜, 이 예에서는 2㎜, 슬릿(97, 97) 사이의 이격 치수 d2가 1 내지 5㎜, 이 예에서는 2㎜로 되도록 형성되어 있다. 또한, 이 슬릿(97)은, 도 8에 도시한 바와 같이, 전극(83)의 신장하는 방향으로부터 본 때, 길이 치수가 예를 들어 각각 60㎜로 되도록, 전극(83)의 우측 단부보다도 30㎜ 정도 우측으로 이격한 위치부터, 전극(83)의 좌측 단부보다도 30㎜ 정도 좌측으로 이격한 위치까지에 걸쳐 형성되어 있다. 이들 슬릿(97)의 형성 영역으로부터 벗어난 영역, 즉 전극(83)의 권회된 영역의 중앙측에는, 회전 테이블(2)의 회전 중심측 및 외주측에 있어서 패러데이 실드(95)에 개구부(98)가 형성되어 있다. 또한, 도 3에서는 슬릿(97)을 생략하고 있다. 또한, 도 4 및 도 5 등에서는 슬릿(97)에 대하여 간략화되어 있지만, 슬릿(97)은, 예를 들어 150개 정도 형성되어 있다. 슬릿(97)은, 개구부(98)에 근접하는 영역으로부터 당해 개구부(98)로부터 이격된 영역을 향함에 따라, 폭 치수 d1이 넓어지도록 형성되어 있으나, 여기서는 도시를 생략하고 있다.
또한, 제1 플라즈마 발생기(80)에 대해서만 상세하게 설명했지만, 제2 플라즈마 발생기(130) 및 하우징(140)도, 제1 플라즈마 발생기(80) 및 하우징(90)과 마찬가지로 구성할 수 있다. 따라서, 제2 플라즈마 발생기(130)에 대해서는, 그 설명을 생략한다.
계속해서, 처리실(1)의 각 부의 설명으로 되돌아간다. 회전 테이블(2)의 외주측에 있어서 당해 회전 테이블(2)보다도 약간 아래 위치에는, 도 2, 도 5 및 도 10에 도시한 바와 같이, 커버체인 사이드 링(100)이 배치되어 있다. 사이드 링(100)은, 예를 들어 장치의 클리닝 시에 있어서, 각 처리 가스 대신에 불소계의 클리닝 가스를 통류시켰을 때, 클리닝 가스로부터 처리실(1)의 내벽을 보호하기 위한 것이다. 즉, 사이드 링(100)을 설치하지 않으면, 회전 테이블(2)의 외주부와 처리실(1)의 내벽 사이에는, 가로 방향으로 기류(배기류)가 형성되는 오목부 형상의 기류 통로가 주위 방향에 걸쳐 링 형상으로 형성되어 있다. 그로 인해, 이 사이드 링(100)은, 기류 통로에 처리실(1)의 내벽면이 가능한 한 노출되지 않도록, 기류 통로에 설치되어 있다. 이 예에서는, 각 분리 영역 D 및 하우징(90)에 있어서의 외측 테두리측의 영역은, 이 사이드 링(100)의 상방측에 노출되어 있다.
사이드 링(100)의 상면에는, 서로 주위 방향으로 이격하도록 2개소에 배기구(61, 62)가 형성되어 있다. 바꾸어 말하면, 기류 통로의 하방측에 2개의 배기구가 형성되고, 이들 배기구에 대응하는 위치에 있어서의 사이드 링(100)에, 배기구(61, 62)가 형성되어 있다. 이들 2개의 배기구(61, 62) 중 한쪽 및 다른 쪽을 각각 제1 배기구(61) 및 제2 배기구(62)라고 칭하면, 제1 배기구(61)는 제1 플라즈마 가스 노즐(31)과, 제1 플라즈마 가스 노즐(31)보다도 회전 테이블의 회전 방향 하류측에 있어서의 분리 영역 D 사이에 있어서, 분리 영역 D측에 가까운 위치에 형성되어 있다. 제2 배기구(62)는 플라즈마 가스 노즐(32)과, 플라즈마 가스 노즐(32)보다도 회전 테이블의 회전 방향 하류측에 있어서의 분리 영역 D 사이에 있어서, 분리 영역 D측에 가까운 위치에 형성되어 있다. 제1 배기구(61)는 에칭용 제1 플라즈마 가스 및 분리 가스를 배기하기 위한 것이고, 제2 배기구(62)는 개질용의 제2 플라즈마 가스 및 분리 가스를 배기하기 위한 것이다. 이들 제1 배기구(61) 및 제2 배기구(62)는 도 1에 도시한 바와 같이, 각각 나비밸브 등의 압력 조정부(65)가 개재 설치된 배기관(63)에 의해, 진공 배기 기구인 예를 들어 진공 펌프(64)에 접속되어 있다.
여기서, 상술한 바와 같이, 중심부 영역 C측으로부터 외측 테두리측에 걸쳐 하우징(90, 140)을 설치하고 있으므로, 하우징(90, 140)보다도 회전 테이블(2)의 회전 방향 상류측에 토출된 각 가스는, 하우징(90, 140)에 의해 제1 및 제2 배기구(61, 62)를 향하려고 하는 가스류가 이른바 규제되어 버린다. 따라서, 하우징(90, 140)의 외측에 있어서의 사이드 링(100)의 상면에, 제1 및 제2 플라즈마 가스 및 분리 가스가 흐르기 위한 홈 형상의 가스 유로(101, 102)를 각각 형성하고 있다. 구체적으로는, 이 가스 유로(101, 102)는 도 3에 도시한 바와 같이, 하우징(90, 140)에 있어서의 회전 테이블(2)의 회전 방향 상류측의 단부보다도 예를 들어 60㎜ 정도 제1 및 제2 플라즈마 가스 노즐(31, 32)측에 가까운 위치부터, 제1 및 제2 배기구(61, 62)까지의 사이에 걸쳐, 깊이 치수가 예를 들어 30㎜로 되도록 원호 형상으로 형성되어 있다. 따라서, 가스 유로(101, 102)는 하우징(90, 140)의 외측 테두리를 따르도록, 또한 상방측에서 본 때에 하우징(90, 140)의 외측 테두리부에 걸쳐지도록 형성되어 있다. 이 사이드 링(100)은, 도시를 생략하고 있지만, 불소계 가스에 대한 내부식성을 갖게 하기 위하여, 표면이 예를 들어 알루미나 등에 의해 코팅되어 있거나, 혹은 석영 커버 등에 의해 덮여 있다.
천장판(11)의 하면에 있어서의 중앙부에는, 도 2에 도시한 바와 같이 볼록 형상부(4)에 있어서의 중심부 영역 C측의 부위와 연속하여 주위 방향에 걸쳐 개략 링 형상으로 형성됨과 함께, 그 하면이 볼록 형상부(4)의 하면(천장면(44))과 동일한 높이로 형성된 돌출부(5)가 설치되어 있다. 이 돌출부(5)보다도 회전 테이블(2)의 회전 중심측에 있어서의 코어부(21)의 상방측에는, 중심부 영역 C에 있어서 제1 플라즈마 가스와 제2 플라즈마 가스가 서로 혼합되는 것을 억제하기 위한 래비린스 구조부(110)가 배치되어 있다. 즉, 도 1로부터 알 수 있는 바와 같이, 하우징(90)을 중심부 영역 C측에 가까운 위치까지 형성하고 있으므로, 회전 테이블(2)의 중앙부를 지지하는 코어부(21)는 회전 테이블(2)의 상방측의 부위가 하우징(90)을 피하도록 회전 중심측에 가까운 위치에 형성되어 있다. 따라서, 중심부 영역 C측에서는, 외측 테두리부측보다도 예를 들어 처리 가스끼리 혼합되기 쉬운 상태로 되어 있다. 따라서, 래비린스 구조부(110)를 형성함으로써, 가스의 유로를 형성하여 처리 가스끼리 혼합되는 것을 방지하고 있다. 또한, 도 1에 있어서 하우징(140)은 도시되어 있지 않으나, 하우징(90)과 마찬가지이다.
회전 테이블(2)과 처리실(1)의 저면부(14) 사이의 공간에는, 도 1에 도시한 바와 같이 가열 기구인 히터 유닛(7)이 설치되고, 회전 테이블(2)을 개재하여 회전 테이블(2) 상의 웨이퍼 W를 예를 들어 300℃로 가열하도록 되어 있다. 도 1 중 히터 유닛(7)의 측방측에 설치된 커버 부재(71a), 히터 유닛(7)의 상방측을 덮는 덮개 부재(7a)가 도시되어 있다. 또한, 처리실(1)의 저면부(14)에는, 히터 유닛(7)의 하방측에 있어서, 히터 유닛(7)의 배치 공간을 퍼지하기 위한 퍼지 가스 공급관(73)이 주위 방향에 걸쳐 복수 개소에 설치되어 있다.
처리실(1)의 측벽에는, 도 2 및 도 3에 도시한 바와 같이 도시하지 않은 외부의 반송 아암과 회전 테이블(2) 사이에 있어서 웨이퍼 W의 수수를 행하기 위한 반송구(15)가 형성되어 있고, 이 반송구(15)는 게이트 밸브 G보다 기밀하게 개폐 가능하도록 구성되어 있다. 또한, 회전 테이블(2)의 오목부(24)는 이 반송구(15)에 면하는 위치에서 반송 아암과의 사이에서 웨이퍼 W의 수수가 행해지는 점에서, 회전 테이블(2)의 하방측에 있어서 당해 수수 위치에 대응하는 부위에는, 오목부(24)를 관통하여 웨이퍼 W를 이면으로부터 들어 올리기 위한 수수용의 승강 핀 및 그 승강 기구(모두 도시하지 않음)가 설치되어 있다.
또한, 도 1에 도시한 바와 같이, 에칭 장치에는, 장치 전체의 동작의 컨트롤을 행하기 위한 컴퓨터를 포함하는 제어부(120)가 설치되어 있다. 제어부(120)는 CPU(Central Processing Unit, 중앙 처리 장치)(121) 및 메모리(122)를 구비한다. 제어부(120)의 메모리(122) 내에는 후술하는 에칭 처리 및 개질 처리를 행하기 위한 프로그램이 저장되어 있고, CPU(121)가 프로그램을 판독하여 프로그램을 실행한다. 이 프로그램은, 후술하는 장치의 동작을 실행하도록 스텝군이 짜여 있고, 하드 디스크, 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플렉시블 디스크 등의 기억 매체인 기억부(121)로부터 제어부(120) 내의 메모리(122)에 인스톨된다.
제어부(120)는 프로세스 레시피에 따라, 플라즈마 처리 제어를 포함한 전체의 프로세스 제어를 행한다. 또한, 플라즈마 처리 제어의 구체적인 제어·처리 내용은, 프로세스 레시피와 마찬가지로, 콘디셔닝 레시피와 같은 상태에서 부여되어도 된다. 프로세스 레시피, 콘디셔닝 레시피는, 예를 들어 기억부(121)로부터 제어부(120) 내의 메모리(122)에 인스톨되고, CPU(121)에 의해 실행되어도 된다.
이어서, 본 발명의 실시 형태에 관한 플라즈마 처리 방법에 대하여 설명한다. 본 발명에 관한 플라즈마 처리 방법은, 비교적 단시간의 에칭 공정과 개질 공정의 주기적인 전환이 가능하면, 상술한 플라즈마 처리 장치 이외의 플라즈마 처리 장치를 사용해도 실현 가능하지만, 상술한 플라즈마 처리 장치는 본 발명에 관한 플라즈마 처리 방법을 적절하게 실시할 수 있으므로, 상술한 플라즈마 처리 장치를 사용한 예를 들어 본 발명의 실시 형태에 관한 플라즈마 처리 방법을 설명한다. 또한, 본 발명의 실시 형태에 관한 플라즈마 처리 방법은, 본 발명에 관한 플라즈마 처리 방법을, 에칭 처리 방법에 적용한 예를 들어 설명한다.
도 11a 내지 도 11d는 본 발명의 실시 형태에 관한 플라즈마 처리 방법의 일례를 나타낸 일련의 공정도이다. 도 11a는 플라즈마 처리 기판 준비 공정의 일례를 나타낸 도면이다. 플라즈마 처리 기판 준비 공정에서는, 표면 상에 에칭 대상으로 되는 막(160)이 성막된 웨이퍼 W를 준비한다. 도 11a에 도시한 바와 같이 웨이퍼 W의 표면에는 오목 형상 패턴(150)이 형성되어 있어도 된다. 오목 형상 패턴(150)은, 웨이퍼 W의 표면에 형성된 오목부 형상을 갖는 배선 패턴이며, 홈 형상의 트렌치, 우물 형상의 고애스펙트비의 구멍 등을 포함한다. 본 실시 형태에 있어서는, 막(160)이 SiO2막인 예를 들어 설명한다.
구체적으로는, 먼저, 게이트 밸브 G를 개방하고(도 2, 도 3 참조), 회전 테이블(2)을 간헐적으로 회전시키면서, 도시하지 않은 반송 아암에 의해 반송구(15)를 통하여 회전 테이블(2) 상에, 예를 들어 5매의 웨이퍼 W를 적재한다. 계속해서, 게이트 밸브 G를 폐쇄하고, 진공 펌프(64)에 의해 처리 용기(1) 내를 중단의 상태로 함과 함께, 회전 테이블(2)을 시계 방향으로 회전시키면서 히터 유닛(7)에 의해 웨이퍼 W를, 예를 들어 250 내지 600℃ 정도로 가열한다. 웨이퍼 W의 온도는, 용도에 따라 다양한 온도로 할 수 있지만, 예를 들어 400℃ 전후로 설정해도 된다. 또한, 처리 용기(1) 내의 압력도, 용도에 따라 다양한 압력값으로 설정할 수 있지만, 예를 들어 2Torr로 설정해도 된다.
회전 테이블(2)의 회전 속도는, 프로세스에 따라 상이하지만, 예를 들어 SiO2막을 에칭 처리하는 경우에는 1 내지 240rpm의 범위, 바람직하게는 20 내지 240rpm의 범위로 해도 된다.
계속해서, 제1 플라즈마 가스 노즐(31)로부터 Ar 가스 및 NF3 가스의 혼합 가스를 제1 플라즈마 처리 영역 P1에 공급함과 함께, 제2 플라즈마 가스 노즐(32)로부터 Ar 가스 및 H2 가스의 혼합 가스를 제2 플라즈마 처리 영역 P2에 공급한다. 또한, 분리 가스 노즐(41, 42)로부터 분리 가스(또는 퍼지 가스)로서 Ar 가스를 소정의 유량으로 공급하고, 분리 가스 공급관(51) 및 퍼지 가스 공급관(72, 73)으로부터도 Ar 가스를 소정의 유량으로 공급한다. 그리고, 압력 조정부(65)에 의해 진공 용기(1) 내를 미리 설정한 처리 압력으로 조정한다. 또한, 제1 및 제2 플라즈마 발생기(80, 130)에 고주파 전력을 공급한다.
또한, 각 가스의 유량은, 용도에 따라 다양한 유량값으로 설정해도 되지만, 예를 들어 목표로서, 분리 가스 공급관(51)의 Ar 가스의 유량을 1(slm) 전후, 분리 가스 노즐(41, 42)로부터의 Ar 가스의 유량을 5(slm) 전후, 제1 플라즈마 가스 노즐(31)로부터의 Ar 가스의 유량을 10(slm) 전후, NF3 가스의 유량을 0.1(slm) 전후, 제2 플라즈마 가스 노즐(32)로부터의 Ar 가스의 유량을 10(slm) 전후, H2 가스의 유량을 2(slm) 전후로 설정해도 된다.
도 11b는 에칭 공정의 일례를 나타낸 도면이다. 에칭 공정은, 회전 테이블(2)을 회전시켜, 웨이퍼 W가 제1 플라즈마 처리 영역 P1을 통과할 때에 행하여진다. 웨이퍼 W가 제1 플라즈마 처리 영역 P1 내를 통과할 때, Ar과 F의 플라즈마에 의해 막(160)은 에칭된다. 제1 에칭 가스 노즐(31)로부터 공급된 Ar 가스와 NF3 가스는, 제1 플라즈마 발생기(80)에 의해 플라즈마화되고, 이 플라즈마가 SiO2막(160)을 에칭한다. 회전 테이블(2)은, 예를 들어 비교적 느린 20rpm의 회전 속도로 회전하고 있다고 해도, 1회전하는 데 3초이기 때문에, 3초 미만의 시간으로 제1 플라즈마 처리 영역 P1을 웨이퍼 W는 통과한다. 따라서, 3초 미만의 단시간의 에칭 처리가 막(160)에 대하여 이루어진다. 보다 정확하게는, 제1 플라즈마 처리 영역 P1은, 많아야 회전 테이블(2)의 전체의 1/4 미만의 면적밖에 없으므로, 0.75초 미만의 단시간의 에칭 처리가 행하여진다. 또한, 에칭 공정에서는, 막(160) 중에 불소 성분이 잔류하므로, 도 11a의 상태와는 막(160)의 상태가 상이하고, 이 상태를 막(161)으로 한다.
도 11c는, 개질 공정의 일례를 나타낸 도면이다. 개질 공정은, 회전 테이블(2)을 회전시켜, 웨이퍼 W가 분리 영역 D를 통과한 후, 제2 플라즈마 처리 영역 P2를 통과할 때에 행하여진다. 웨이퍼 W는, 제1 플라즈마 처리 영역 P1의 회전 방향 하류측에 있는 분리 영역 D를 통과할 때, 분리 가스 노즐(41)로부터 공급되는 분리 가스인 Ar 가스로 퍼지되어, 청정화된다. 그리고, 분리 영역 D를 통과한 후, 웨이퍼 W가 제2 플라즈마 처리 영역 P2 내를 통과할 때, Ar과 H의 플라즈마에 의해 막(161)은 개질된다. 제2 에칭 가스 노즐(32)로부터 공급된 Ar 가스와 H2 가스는, 제2 플라즈마 발생기(130)에 의해 플라즈마화되어, 이 플라즈마가 SiO2막(161) 중의 불소 성분과 반응하여, HF로 되어 SiO2막(161) 중으로부터 빠져, SiO2막(161) 중의 F성분을 저감시킨다. 또한, 그 때의 반응은, 화학 반응식 (2)와 같다.
H+F→HF (2)
도 11b에서 설명한 바와 같이, 회전 테이블(2)은, 예를 들어 비교적 느린 20rpm의 회전 속도로 회전하고 있다고 해도, 1회전하는 데 3초이기 때문에, 3초 미만의 시간에 제2 플라즈마 처리 영역 P2를 웨이퍼 W는 통과한다. 따라서, 3초 미만의 단시간의 개질 처리가 막(160)에 대하여 이루어진다. 보다 정확하게는, 제2 플라즈마 처리 영역 P2도, 많아야 회전 테이블(2)의 전체의 1/4 미만의 면적밖에 없으므로, 0.75초 미만의 단시간의 개질 처리가 행하여진다. 또한, 개질 공정에서는, 막(161) 중에 불소 성분이 소멸 또는 저감되므로, 도 11a의 상태와 마찬가지의 상태로 막(161)이 되돌아가, 이 막질 회복 상태를, 도 11a와 마찬가지로 막(160)으로 한다.
웨이퍼 W는, 제2 플라즈마 처리 영역 P2를 통과한 후는 제2 플라즈마 처리 영역 P2의 회전 방향 하류측에 있는 분리 영역 D를 통과하여, 분리 가스 노즐(42)로부터 Ar 가스의 공급을 받아 퍼지되어, 청정화된다. 그리고, 분리 영역 D를 통과했다.
여기서, 회전 테이블(2)은 연속적으로 회전을 계속하므로, 도 11b의 에칭 공정과 도 11c의 개질 공정은, 동일 주기로, 회전 테이블(2)이 정지될 때까지 반복되게 된다. 주기는, 비교적 회전 속도가 느린 20rpm인 경우에도 3초가 된다. 회전 속도가 빠른 240rpm인 경우에는 주기는 0.25초이다. 또한, 주기의 범위는, 예를 들어 0초보다 크고 60초 이하이며, 바람직하게는 0초보다 크고 30초 이하이고, 더욱 바람직하게는, 0.25초 이상 3초 이하의 범위이다. 따라서, 회전 테이블(2)의 회전에 의해, 매우 단시간의 에칭 공정, 퍼지 공정, 개질 공정, 퍼지 공정의 사이클이 동일 주기로 복수회 반복되게 된다. 당연히, 에칭량 및 개질량은 원자층 레벨로 되고, ALE법(원자층 에칭법)에 의한 에칭 처리와, 에칭 후의 개질 처리가 교대로 주기적으로 행하여진다. 이러한 미량의 에칭 처리와, 미량의 개질 처리를 반복하는 것은, 불소 함유량이 적은 고품질의 에칭막을 형성하는 데 있어서, 매우 효과적이다. 일반적으로, 종래의 에칭 처리에서는, 본 실시 형태에 관한 에칭 처리와 달리, 도 11b에 도시하는 에칭 공정을 어느 정도 장시간 계속 행하여, 에칭 공정이 종료된 후, 도 11c에 도시하는 개질 처리 공정을 역시 어느 정도 장시간 계속 행하는 프로세스가 행하여지고 있었다. 그러나, 이 경우, 개질 처리가 불충분하여, 막(160) 중의 불소 성분을 충분히 저감시킬 수 없는 경우가 많았다. 본 실시 형태에 관한 플라즈마 처리 방법으로는, 막(160) 중의 불소 성분을 효과적으로 제거할 수 있다. 이 점에 대하여, 도 12를 사용하여 상세하게 설명한다.
도 12a 및 도 12b는, 본 실시 형태에 관한 플라즈마 처리 방법의 개질 처리를 설명하기 위한 도면이다. 도 12a는, SiO2막의 성막 시에 행하여지는 O2 플라즈마 개질 처리를 설명하기 위한 도면이다. 도 12a에 도시된 바와 같이, SiO2막을 성막한 경우, 일반적으로는 O2 가스, O3 가스 등의 산화 가스에 의한 개질 처리가 행하여진다. O2 가스가 플라즈마화되어, O(3P)는, SiO2막의 막 중까지 도달하여, Si 기판을 산화할 수 있다. 또한, O(1P)는, 수명이 짧아, 막 중 깊이까지는 도달할 수 없지만, 반응성이 매우 높기 때문에, SiO2막의 표면 개질이 가능하다. 즉, SiO2막의 성막 시에는 소정의 막 두께의 SiO2막을 성막한 후, O2 플라즈마 개질 처리를 어느 정도 시간을 들여 행하면, 막 중까지 산화에 의한 개질 처리를 행하는 것이 가능하다.
도 12b는, SiO2막의 에칭 시에 행하여지는 H2 플라즈마 개질 처리를 설명하기 위한 도면이다. 도 12b에 도시된 바와 같이, SiO2막을 에칭한 경우, 일반적으로는 H2 가스에 의한 개질 처리가 행하여진다. H2 플라즈마는, 반응성 자체는 높지만, 수명이 짧기 때문에, 막 중의 깊은 개소까지 도달하지 않아, 막의 표면에서의 반응 개질이 될 수밖에 없다. 그렇게 하면, 에칭 처리를 장시간 행하여, 소정의 에칭량까지 에칭한 후, 일괄하여 에칭 후의 막의 개질 처리를 행하고자 해도, H 플라즈마가 막 중까지 도달하지 않아, 막 중의 불소 성분을 제거하는 것이 곤란하다. 따라서, 미량의 에칭을 행하면 미량의 개질 처리를 행한다는 본 실시 형태에 관한 플라즈마 처리 방법이 불소 성분의 제거에 매우 유효하여, 효과적으로 SiO2막 중의 불소 성분의 제거, 저감을 행할 수 있다.
도 13은 종래의 개질 공정을 실시한 후의 SiO2막 중의 불소 농도의 분석 결과를 도시한 도면이다. 도 13에 있어서는, 처리 용기(1) 내의 온도가 400℃, 회전 테이블(2)의 회전 속도가 60rpm, 고주파 전원(85)이 1500W, Ar 가스의 유량 10kcc, 에칭 가스의 유량 50cc의 조건 하에서, 에칭을 행하지 않는 막 중의 잔류 불소 농도를 곡선 N, 에칭 및 개질 처리를 행한 때의 막 중의 잔류 불소 농도를 곡선 M, 에칭만 행하고 개질 처리를 행하지 않을 때의 막 중의 잔류 불소 농도를 곡선 L로 나타내고 있다.
도 13에 도시된 바와 같이, 에칭을 행한 곡선 L, M은 모두 에칭을 행하지 않는 곡선 N보다도 불소 잔류 농도가 크게 올라가 있다. 또한, 개질 처리를 행하지 않은 곡선 L에 비교하여 개질 처리를 행한 곡선 M은, 막이 얇은 영역의 표면으로부터 3㎚ 미만의 영역에서는 불소 농도가 저하되어 있어, 약간의 불소 농도 저감의 효과가 보이고 있지만, 그 효과는 작아, 막의 깊이 5㎚ 이상의 개소에서는, 거의 불소 저감의 효과는 찾아볼 수 없다. 이 점이, 도 12a 및 도 12b에서 설명한 내용과 합치하고 있다.
도 11a 내지 도 11d의 설명으로 되돌아간다. 도 11b, 도 11c에 있어서 설명한 바와 같이, 미량의 에칭 공정(ALE)과 미량의 표면의 불소 제거의 개질 처리를 반복함으로써, 도 12a, 도 12b 및 도 13에서 설명한 종래 기술의 문제점을 해소할 수 있어, 불소 함유량이 적은 SiO2막(160)을 형성할 수 있다.
도 11d는 매립 공정의 일례를 나타낸 도면이다. 매립 공정에서는, 원하는 에칭 처리 및 개질 처리를 종료한 후, 필요에 따라 오목 형상 패턴(150)의 매립을 행한다. 또한, 에칭 공정 및 개질 공정 자체는, 도 11b, 도 11c에서 종료하고 있으므로, 에칭뿐인 프로세스이면, 도 11d는 행하지 않아도 된다. 또한, 반대로 도 11a 내지 도 11c의 성막, 에칭의 공정을 반복하여, 서서히 오목 형상 패턴(150)의 매립을 행하도록 해도 된다. 본 실시 형태에 관한 플라즈마 처리 방법은, 에칭 공정을 포함하는 다양한 프로세스에 적용할 수 있다.
또한, 에칭을 포함하는 기판 처리 프로세스가 종료된 후는 웨이퍼 W를 반입한 수순과 역의 수순으로 웨이퍼 W를 처리 용기(1)로부터 반출하여, 소정의 기판 처리 프로세스를 종료한다.
도 14a 및 도 14b는, 본 실시 형태에 관한 플라즈마 처리 장치의 수소 가스의 격리 상태를 나타내는 시뮬레이션 결과를 도시한 도면이다. 도 14a는 회전 테이블(2)의 회전 속도를 20rpm으로 한 때의 수소 가스의 격리 상태를 도시한 도면이며, 도 14b는 회전 테이블(2)의 회전 속도를 240rpm으로 한 때의 수소 가스의 격리 상태를 도시한 도면이다.
상술한 바와 같이, 에칭 가스인 NF3 가스와 개질 가스인 H2 가스는, 소정 농도 범위에서 혼합하면 폭발을 일으키고, 또한 비록 폭발하지 않아도 HF를 발생시키면 처리 용기(1)의 내벽에 악영향을 미치기 때문에, 양자는 서로 완전히 격리되는 것이 바람직하다. 따라서, 본 실시 형태에 관한 플라즈마 처리 장치가 본 실시 형태에 관한 플라즈마 처리 방법을 실시하고 있을 때의 개질 가스와 에칭 가스의 격리 상황을 파악하기 위한 시뮬레이션 실험을 행했다.
도 14a 및 도 14b는 개질 가스인 H2 가스의 질량 농도를 나타내고 있다. 또한, 시뮬레이션 조건은 처리 용기(1) 내의 압력이 2Torr, 웨이퍼 W의 온도 400℃, 분리 가스 공급관(51)의 Ar 가스 유량이 1slm, 분리 가스 노즐(41, 42)의 Ar 가스 유량이 5slm, 제1 플라즈마 가스 노즐(31)의 Ar 가스 유량이 10slm, NF3 가스 유량이 0.1slm, 제2 플라즈마 가스 노즐(32)의 Ar 가스 유량이 10slm, H2 가스 유량이 2slm이다.
도 14a 및 도 14b에 도시한 바와 같이, 회전 테이블(2)의 회전 속도가 20rpm, 240rpm인 경우 양쪽 모두, 질량 비율 수소가 높은 영역 Q, R은 제2 플라즈마 처리 영역 P2와 거의 일치하고 있으며, 질량 비율 수소가 중정도인 영역 S, T, O 및 낮은 영역 U, V가 회전 테이블(2)의 회전에 인장되어 약간 제2 플라즈마 처리 영역 P2의 회전 방향 하류측으로 나와 있지만, 그 이외는 질량 비율 수소가 거의 제로에 가까운 영역 W로 되어 있다. 또한, 회전 테이블(2)의 회전 속도가 높은 도 14b 쪽이, 회전 속도가 낮은 도 14a보다도 질량 비율 수소가 높은 영역이 확대되어 있지만, 그래도 또한 영역 V는 분리 영역 D까지 도달하고 있지 않다. 따라서, 제2 플라즈마 처리 영역 P2의 수소 가스 격리 능력 및 분리 영역 D의 수소 가스 격리 영역은 충분히 높아, 수소 가스의 격리에 문제가 없는 것이 확인되었다.
도 15a 및 도 15b는, 본 실시 형태에 관한 플라즈마 처리 장치의 NF3 가스의 격리 상태를 나타내는 시뮬레이션 결과를 도시한 도면이다. 도 15a는, 회전 테이블(2)의 회전 속도를 20rpm으로 한 때의 NF3 가스의 격리 상태를 도시한 도면이며, 도 15b는, 회전 테이블(2)의 회전 속도를 240rpm으로 한 때의 NF3 가스의 격리 상태를 도시한 도면이다.
또한, 시뮬레이션 조건은, 도 14a 및 도 14b에서 설명한 조건과 마찬가지이다. 도 15a 및 도 15b에 있어서, 질량 비율 불소가 높은 영역 Q, R은 제1 플라즈마 처리 영역 P1의 부근에 수용되어 있으며, 질량 비율 불소가 중정도인 영역 S, T, O 및 낮은 영역 U, V가 약간 제1 플라즈마 처리 영역 P1의 회전 방향 양측으로 확대되어 있지만, 회전 테이블(2)의 회전 방향 하류측에 있는 분리 영역 D로 완전히 분리되어, 분리 영역 D 및 그의 하류측에서는, 질량 비율 불소가 거의 제로인 영역 W로 되어 있다. 또한, 상류측은 분리 영역 D에 멀리 도달하지 않는 위치이며 질량 비율 불소가 거의 제로인 영역 W로 되어 있다. 당연히, 질량 비율 불소는, 제2 플라즈마 처리 영역 P2가 포함되어 있는 분리 영역 D 사이에 있는 영역에서는 거의 제로이다(영역 W). 따라서, 제1 플라즈마 처리 영역 P1의 NF3 가스 격리 능력 및 분리 영역 D의 NF3 가스 격리 영역은 충분히 높아, NF3 가스의 격리에 문제가 없는 것이 확인되었다.
도 16a 및 도 16b는, 본 실시 형태에 관한 플라즈마 처리 장치의 분리 가스의 격리성을 압력의 관점에서 나타내는 시뮬레이션 결과를 도시한 도면이다. 도 16a는, 회전 테이블(2)의 회전 속도를 20rpm으로 한 때의 처리 용기(1) 내의 압력 상태를 도시한 도면이며, 도 16b는 회전 테이블(2)의 회전 속도를 240rpm으로 한 때의 처리 용기(1) 내의 압력 상태를 도시한 도면이다.
또한, 시뮬레이션 조건은, 도 14a 및 도 14b에서 설명한 조건과 마찬가지이다. 따라서, 처리 용기(1) 내의 압력은 2Torr로 설정되어 있다. 도 16a 및 도 16b에 도시된 바와 같이, 분리 가스 노즐(41, 42) 및 그의 주변의 압력은, 고압력의 영역 Q, R, S, T로 되어 있지만, 그 이외의 영역은, 압력이 중정도로부터 약간 낮은 U, V로 되어 있다. 이것은, 분리 가스 노즐(41, 42) 및 그의 주변의 압력이 다른 영역보다도 높게 되어 있어, 분리 영역 D의 가스 격리성에 문제가 없는 것을 나타내고 있다. 따라서, 분리 영역 D의 가스 격리성에 문제가 없는 것이, 압력의 관점에서 나타났다.
도 17a 및 도 17b는, 본 실시 형태에 관한 플라즈마 처리 장치의 분리 가스의 격리성을 Ar 질량 농도의 관점에서 나타내는 시뮬레이션 결과를 도시한 도면이다. 도 17a는, 회전 테이블(2)의 회전 속도를 20rpm으로 한 때의 처리 용기(1) 내의 Ar 질량 농도를 도시한 도면이며, 도 17b는 회전 테이블(2)의 회전 속도를 240rpm으로 한 때의 처리 용기(1) 내의 Ar 질량 농도를 도시한 도면이다.
도 17a 및 도 17b에 도시된 바와 같이, Ar 질량 농도는, 분리 영역 D와, 제1 및 제2 플라즈마 처리 영역 P1, P2 이외의 영역에서는 고농도의 영역 Q가 크게 차지하고 있지만, 제1 및 제2 플라즈마 처리 영역 P1, P2 내에서는, 저농도의 영역 V가 대부분을 차지하고 있다. 이것은, Ar 가스를 퍼지 가스로서 공급하고 있는 분리 영역 D의 Ar 가스에 의한 가스 격리성에 문제가 없는 것을 나타내고 있다. 즉, Ar 가스 농도에도 영역차가 나와 있어, 가스 격리성의 높이를 나타내고 있다. 따라서, 분리 영역 D의 가스 격리성에 문제가 없는 것이, Ar 질량 농도의 관점에서 나타났다.
이와 같이, 본 실시 형태에 관한 플라즈마 처리 장치는, 높은 가스의 격리 능력을 갖고, 따라서 혼합하면 문제가 발생할 수 있는 H2 가스와 NF3 가스를 동시에 처리 용기(1) 내에 공급할 수 있고, ALE와 미량의 개질 처리를 주기적으로 행하여, 효과적으로 막 중의 불소 성분을 제거 또는 저감시킬 수 있다. 이에 의해, 고품질의 막질을 유지하면서 에칭을 행할 수 있다.
또한, 본 실시 형태에 관한 플라즈마 처리 장치 및 플라즈마 처리 방법은, SiO2막에 에칭 처리를 실시하는 예를 들어 설명했지만, SiN막, TiN막을 포함하는 다양한 막에 대하여 에칭 처리가 가능하다.
또한, 에칭 처리뿐만 아니라, 2종류의 상이한 플라즈마 처리가 필요한 프로세스이면, 적절하게 본 실시 형태에 관한 플라즈마 처리 장치 및 플라즈마 처리 방법을 적용할 수 있으며, 예를 들어 성막 공정이나, 성막 공정과 에칭 공정의 양쪽을 교대로 행하는 오목 형상 패턴에의 막의 매립 등, 다양한 프로세스에 적용하는 것이 가능하다.
본 발명에 따르면, 막 중의 불소 농도를 억제하면서 에칭을 행할 수 있다.
이상, 본 발명의 바람직한 실시 형태에 대하여 상세하게 설명했지만, 본 발명은, 상술한 실시 형태에 제한되지 않고, 본 발명의 범위를 일탈하지 않고, 상술한 실시 형태에 다양한 변형 및 치환을 가할 수 있다.

Claims (18)

  1. 처리 용기와,
    해당 처리 용기 내에 설치되고, 기판을 상면에 적재 가능한 회전 테이블과,
    해당 회전 테이블의 주위 방향에 있어서의 소정 개소에 형성되고, 제1 플라즈마 가스로부터 제1 플라즈마를 발생시켜 제1 플라즈마 처리를 행하는 제1 플라즈마 처리 영역과,
    해당 제1 플라즈마 처리 영역과 상기 주위 방향에 있어서 이격하여 형성되고, 제2 플라즈마 가스로부터 제2 플라즈마를 발생시켜 제2 플라즈마 처리를 행하는 제2 플라즈마 처리 영역과,
    상기 주위 방향에 있어서 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역 사이의 2개의 간격 영역 각각에 형성되고, 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역을 분리하여 상기 제1 플라즈마 가스와 상기 제2 플라즈마 가스의 혼합을 방지하는 2개의 분리 영역을 갖는, 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 제1 플라즈마 처리 영역에는, 상기 제1 플라즈마 가스를 공급하는 제1 플라즈마 가스 노즐이 설치되고,
    상기 제2 플라즈마 처리 영역에는, 상기 제2 플라즈마 가스를 공급하는 제2 플라즈마 가스 노즐이 설치되고,
    상기 분리 영역에는, 분리 가스를 공급하는 분리 가스 노즐이 설치된, 플라즈마 처리 장치.
  3. 제1항에 있어서, 상기 제1 플라즈마 처리 영역은 에칭 처리를 행하는 영역이며,
    상기 제2 플라즈마 처리 영역은 해당 에칭 처리 후의 개질 처리를 행하는 영역인, 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 제1 플라즈마 처리 영역 및 상기 제2 플라즈마 처리 영역은, 상기 처리 용기의 천장면으로부터 상기 회전 테이블을 향하여 돌출되고, 상기 제1 플라즈마 및 상기 제2 플라즈마의 유출을 방지하는 측벽을 각각 갖는, 플라즈마 처리 장치.
  5. 제2항에 있어서, 상기 분리 영역은, 상기 처리 용기의 천장면으로부터 상기 회전 테이블을 향하여 돌출되고 하면과 상기 회전 테이블의 상면 사이에 좁은 공간을 형성하는 볼록 형상부와, 상기 하면보다도 높은 면을 갖고 상기 분리 가스 노즐을 수용하는 홈을 갖고,
    상기 분리 가스 노즐로부터의 상기 분리 가스의 공급에 의해, 상기 제1 플라즈마 가스와 상기 제2 플라즈마 가스의 혼합을 방지하는, 플라즈마 처리 장치.
  6. 제1항에 있어서, 상기 제1 플라즈마 처리 영역에는 상기 제1 플라즈마 가스로서 불소 함유 가스가 공급되고,
    상기 제2 플라즈마 처리 영역에는, 상기 제2 플라즈마 가스로서 수소 가스 함유 가스가 공급되고,
    상기 분리 영역에는, 상기 분리 가스로서 희가스 또는 질소 가스가 공급되는, 플라즈마 처리 장치.
  7. 제1항에 있어서, 상기 분리 영역에서 상기 주위 방향으로 구획된 2개의 영역은, 상기 처리 용기의 저면에 각각 배기구를 갖는, 플라즈마 처리 장치.
  8. 제7항에 있어서, 상기 배기구는, 상기 제1 플라즈마 처리 영역 및 상기 제2 플라즈마 처리 영역의 상기 회전 테이블의 회전 방향 하류측 단부에 각각 설치된, 플라즈마 처리 장치.
  9. 제1항에 있어서, 상기 회전 테이블은, 상기 상면에 적재된 상기 기판을 상기 제1 플라즈마 처리 영역, 상기 분리 영역, 상기 제2 플라즈마 처리 영역, 상기 분리 영역의 순으로 통과시키는 방향으로 회전 가능한, 플라즈마 처리 장치.
  10. 제1 플라즈마 가스로부터 제1 플라즈마를 발생시켜 기판에 제1 플라즈마 처리를 행하는 공정과,
    상기 제1 플라즈마 처리가 행하여진 상기 기판을 퍼지 가스에 의해 퍼지하는 공정과,
    제2 플라즈마 가스로부터 제2 플라즈마를 발생시켜 상기 퍼지된 상기 기판에 제2 플라즈마 처리를 행하는 공정과,
    상기 제2 플라즈마 처리가 행하여진 상기 기판을 상기 퍼지 가스에 의해 퍼지하는 공정을 포함하는 사이클을 동일 주기로 복수회 반복함으로써, 상기 기판에 2종류의 플라즈마 처리를 교대로 행하는, 플라즈마 처리 방법.
  11. 제10항에 있어서, 상기 제1 플라즈마 처리는 에칭 처리이며,
    상기 제2 플라즈마 처리는 해당 에칭 처리 후의 개질 처리인, 플라즈마 처리 방법.
  12. 제11항에 있어서, 상기 기판의 표면 상에는 막이 성막되어 있고,
    상기 에칭 처리는 해당 막을 에칭하는 처리이며,
    상기 개질 처리는 상기 에칭 처리가 행하여진 해당 막을 개질하는 처리인, 플라즈마 처리 방법.
  13. 제12항에 있어서, 상기 에칭 처리는, 상기 막을 분자층 레벨로 에칭하는 미량의 처리이며,
    상기 개질 처리는, 에칭 처리된 상기 막의 표면을 분자층 레벨로 개질하는 미량의 처리인, 플라즈마 처리 방법.
  14. 제11항에 있어서, 상기 제1 플라즈마 가스는 불소 함유 가스이며,
    상기 제2 플라즈마 가스는 수소 함유 가스이며,
    상기 퍼지 가스는 희가스 또는 질소 가스인, 플라즈마 처리 방법.
  15. 제10항에 있어서, 상기 사이클에 필요로 하는 시간은 0초보다 크고 30초 이하인, 플라즈마 처리 방법.
  16. 제15항에 있어서, 상기 사이클에 필요로 하는 시간은 0.25초 이상 12초 이하인, 플라즈마 처리 방법.
  17. 제10항에 있어서, 처리 용기 내에 설치된 회전 테이블 상에 해당 회전 테이블의 주위 방향을 따라 복수의 기판을 적재하고, 상기 처리 용기 내에 상기 회전 테이블의 회전 방향을 따라 상기 제1 플라즈마 처리를 행하는 제1 플라즈마 처리 영역, 상기 제1 플라즈마 처리가 행하여진 기판을 상기 퍼지 가스에 의해 퍼지하는 퍼지 영역, 상기 제2 플라즈마 처리를 행하는 상기 제2 플라즈마 처리 영역, 상기 제2 플라즈마 처리가 행하여진 기판을 상기 퍼지 가스에 의해 퍼지하는 상기 퍼지 영역을 순서대로 배치하고, 상기 회전 테이블을 소정의 속도로 회전시킴으로써 상기 사이클을 상기 동일 주기로 복수회 반복하는, 플라즈마 처리 방법.
  18. 제17항에 있어서, 상기 제1 플라즈마 처리 영역과 상기 제2 플라즈마 처리 영역은, 상기 퍼지 영역에 의해 분리되고,
    상기 제1 플라즈마 처리가 행하여진 기판을 상기 퍼지 가스에 의해 퍼지하는 공정에 의해 상기 제1 플라즈마 처리를 행하는 공정 중에 있어서의 상기 제2 플라즈마 가스의 혼입을 방지하고,
    상기 제2 플라즈마 처리가 행하여진 기판을 상기 퍼지 가스에 의해 퍼지하는 공정에 의해 상기 제2 플라즈마 처리를 행하는 공정 중에 있어서의 상기 제1 플라즈마 가스의 혼입을 방지하는, 플라즈마 처리 방법.
KR1020150125487A 2014-09-09 2015-09-04 플라즈마 처리 장치 및 플라즈마 처리 방법 KR101922287B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014183609A JP6362488B2 (ja) 2014-09-09 2014-09-09 プラズマ処理装置及びプラズマ処理方法
JPJP-P-2014-183609 2014-09-09

Publications (2)

Publication Number Publication Date
KR20160030368A true KR20160030368A (ko) 2016-03-17
KR101922287B1 KR101922287B1 (ko) 2018-11-26

Family

ID=55438158

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150125487A KR101922287B1 (ko) 2014-09-09 2015-09-04 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (4)

Country Link
US (1) US20160071722A1 (ko)
JP (1) JP6362488B2 (ko)
KR (1) KR101922287B1 (ko)
TW (1) TWI612175B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
JP6832154B2 (ja) * 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
JP2019096666A (ja) * 2017-11-20 2019-06-20 東京エレクトロン株式会社 エッチング方法及びこれを用いた窪みパターンの埋め込み方法
US11239060B2 (en) * 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04504442A (ja) * 1988-12-21 1992-08-06 モンコブスキー―ライン・インコーポレイテッド 化学気相成長反応装置とその使用方法
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2013149945A (ja) * 2011-12-21 2013-08-01 Hitachi High-Technologies Corp 半導体装置の製造方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition

Also Published As

Publication number Publication date
JP6362488B2 (ja) 2018-07-25
TWI612175B (zh) 2018-01-21
TW201621081A (zh) 2016-06-16
US20160071722A1 (en) 2016-03-10
JP2016058548A (ja) 2016-04-21
KR101922287B1 (ko) 2018-11-26

Similar Documents

Publication Publication Date Title
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101922287B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP6767885B2 (ja) 保護膜形成方法
JP5803706B2 (ja) 成膜装置
KR101536805B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101563773B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP6723135B2 (ja) 保護膜形成方法
JP6661487B2 (ja) シリコン窒化膜の成膜方法
KR20190016899A (ko) 실리콘 질화막의 성막 방법 및 성막 장치
KR20170092462A (ko) 성막 방법
KR20200094662A (ko) 성막 방법
US11118264B2 (en) Plasma processing method and plasma processing apparatus
US10458016B2 (en) Method for forming a protective film
KR102092444B1 (ko) 성막 방법
JP2020012136A (ja) 成膜方法
JP7224241B2 (ja) 成膜方法及び成膜装置
US20230245858A1 (en) Substrate processing apparatus and method for processing substrate
JP2024057175A (ja) 成膜方法及び成膜装置
JP2023051104A (ja) 成膜方法及び成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant