KR20200094662A - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR20200094662A
KR20200094662A KR1020200007084A KR20200007084A KR20200094662A KR 20200094662 A KR20200094662 A KR 20200094662A KR 1020200007084 A KR1020200007084 A KR 1020200007084A KR 20200007084 A KR20200007084 A KR 20200007084A KR 20200094662 A KR20200094662 A KR 20200094662A
Authority
KR
South Korea
Prior art keywords
gas
film
substrate
chlorine
plasma generator
Prior art date
Application number
KR1020200007084A
Other languages
English (en)
Other versions
KR102640001B1 (ko
Inventor
가즈미 구보
다카유키 가라카와
유타카 다카하시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200094662A publication Critical patent/KR20200094662A/ko
Application granted granted Critical
Publication of KR102640001B1 publication Critical patent/KR102640001B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

플라스마 발생기 내에 있어서 염소 가스를 활성화하는 공정과,
처리실 내에 마련된 기판의 표면에 상기 활성화된 염소 가스를 흡착시켜, 흡착 저해기를 형성하는 공정과,
상기 기판의 표면의 상기 흡착 저해기가 형성되지 않은 영역에 실리콘 또는 금속과 염소를 함유하는 원료 가스를 흡착시키는 공정과,
상기 기판의 표면에 질화 가스를 공급하고, 상기 원료 가스와의 반응에 의해 질화막을 퇴적시키는 공정과,
상기 처리실로부터 상기 질화막이 퇴적한 상기 기판을 반출하는 공정과,
상기 플라스마 발생기 내를 활성화한 산소 가스로 퍼지하는 공정을 갖는 성막 방법.

Description

성막 방법{FILM FORMING METHOD}
본 개시는, 성막 방법에 관한 것이다.
종래부터, 기판에 형성된 오목부의 내면에 원하는 분포로 수산기를 흡착시키고, 이어서 유기 아미노실란 가스를 수산기가 흡착된 기판에 공급하여 흡착시키며, 이어서 산화 가스를 유기 아미노실란 가스가 흡착한 기판에 공급하고, 실리콘 산화막을 오목부 내에 성막하는 성막 방법이 알려져 있다(예를 들어, 특허문헌 1 참조).
이러한 성막 방법에 의하면, 수산기의 흡착 분포를 제어함으로써, 원하는 막 두께 분포로 성막을 행하는 것이 가능하게 되어, 보텀 업성이 높은 성막이나, 오목부의 형상으로 컨포멀한 성막 등을 용도에 따라서 실시할 수 있다.
일본 특허공개 제2013-135154호 공보
그런데, 상술한 바와 같은 보텀 업성이 높은 성막은, 반도체 집적 회로의 고밀도화 및 다양화에 따라, 실리콘 산화막 이외의 성막, 예를 들어 질화막에서도 요구되고 있으며, 질화막의 성막을 반복한 경우에 있어서도, 재현성 좋게 막 두께가 대략 균일한 질화막의 성막 방법이 요구되고 있다.
본 개시의 일 양태에 따른 성막 방법은, 플라스마 발생기 내에 있어서 염소 가스를 활성화하는 공정과,
처리실 내에 마련된 기판의 표면에 상기 활성화된 염소 가스를 흡착시켜, 흡착 저해기를 형성하는 공정과,
상기 기판의 표면의 상기 흡착 저해기가 형성되지 않은 영역에 실리콘 또는 금속과 염소를 함유하는 원료 가스를 흡착시키는 공정과,
상기 기판의 표면에 질화 가스를 공급하고, 상기 원료 가스와의 반응에 의해 질화막을 퇴적시키는 공정과,
상기 처리실로부터 상기 질화막이 퇴적한 상기 기판을 반출하는 공정과,
상기 플라스마 발생기 내를 활성화한 산소 가스로 퍼지하는 공정을 갖는다.
본 개시에 의하면, 활성화된 염소 가스를 사용하여 성막을 행하는 경우에, 성막의 런 횟수가 많아져도, 활성화된 염소 가스의 공급량을 일정하게 유지할 수 있다.
도 1은, 본 실시 형태에 사용되는 성막 장치의 개략 단면도이다.
도 2는, 본 실시 형태에 사용되는 성막 장치의 진공 용기 내의 구성의 개략 사시도이다.
도 3은, 본 실시 형태에 사용되는 성막 장치의 진공 용기 내의 구성의 개략 평면도이다.
도 4는, 본 실시 형태에 사용되는 성막 장치 회전 테이블의 동심원을 따른 진공 용기의 개략 단면도이다.
도 5는, 본 실시 형태에 사용되는 성막 장치의 주요부의 개략 단면도이다.
도 6은, 본 실시 형태에 사용되는 성막 장치의 플라스마 발생기의 개략 단면도이다.
도 7은, 본 실시 형태에 사용되는 성막 장치의 플라스마 발생기의 다른 개략 단면도이다.
도 8은, 본 실시 형태에 사용되는 성막 장치의 플라스마 발생기의 개략 상면도이다.
도 9는, 본 실시 형태에 사용되는 성막 장치의 리모트 플라스마 발생기의 개략 단면도이다.
도 10은, 리모트 플라스마 발생기의 샤워 헤드부의 하면의 평면도이다.
도 11은, 성막 장치를 사용한 성막 방법의 일례를 나타내는 흐름도이다.
도 12는, SiN막의 성막 방법의 공정도이다.
도 13은, 활성화한 염소 가스를 사용하여 SiN막을 성막했을 때의 런 횟수와 정규화한 SiN막의 막 두께의 추이를 나타낸 도면이다.
도 14는, 리모트 플라스마 발생기에 있어서의 샤워 헤드부에서 발생하고 있다고 생각되는 현상을 설명하기 위한 도면이다.
도 15는, 본 실시 형태에 따른 성막 방법의 산소 퍼지 처리를 설명하기 위한 도면이다.
도 16은, 실시 형태에 있어서의 성막 방법에 의해 SiN막을 성막하는 공정을 설명하는 흐름도이다.
도 17은, 본 실시 형태에 따른 성막 방법을 실시한 실시예의 실시 조건을 설명하기 위한 표이다.
도 18은, 실시예의 실시 결과를 설명하기 위한 도면이다.
이하, 도면을 참조하여, 본 발명을 실시하기 위한 형태의 설명을 행한다.
[성막 장치]
본 실시 형태의 성막 방법에 사용되는 성막 장치에 대하여 설명한다. 본 실시 형태의 성막 방법에 사용되는 성막 장치는, 도 1 내지 도 3에 도시된 바와 같이, 거의 원형의 평면 형상을 갖는 편평한 진공 용기(1)와, 진공 용기(1) 내에 마련되고, 진공 용기(1)의 중심으로 회전 중심을 갖는 회전 테이블(2)을 구비하고 있다. 진공 용기(1)는, 내부에 수용한 웨이퍼의 표면 위에 성막 처리를 행하기 위한 처리실이다. 진공 용기(1)는, 바닥이 있는 원통 형상을 갖는 용기 본체(12)와, 용기 본체(12)의 상면에 대하여, 예를 들어 O링 등의 시일 부재(13)(도 1)를 통해 기밀하게 착탈 가능하게 배치되는 천장판(11)을 갖고 있다.
회전 테이블(2)은, 중심부에서 원통 형상의 코어부(21)에 고정되고, 이 코어부(21)는, 연직 방향으로 신장되는 회전축(22)의 상단에 고정되어 있다. 회전축(22)은 진공 용기(1)의 저부(14)를 관통하고, 하단이 회전축(22)(도 1)을 연직축 주위로 회전시키는 구동부(23)에 설치되어 있다. 회전축(22) 및 구동부(23)는, 상면이 개구한 통형의 케이스체(20) 내에 수납되어 있다. 케이스체(20)는 그 상면에 마련된 플랜지 부분이 진공 용기(1)의 저부(14)의 하면에 기밀하게 설치되어 있으며, 케이스체(20)의 내부 분위기와 외부 분위기의 기밀 상태가 유지되어 있다.
회전 테이블(2)의 표면부에는, 도 2 및 도 3에 도시한 바와 같이 회전 방향(주위 방향)을 따라서 복수(도시한 예에서는 5장)의 기판인 실리콘 기판 등의 반도체 웨이퍼(이하 「웨이퍼」라고 함) W를 적재하기 위한 원 형상의 오목부(24)가 마련되어 있다. 또한, 도 3에는 편의상 1개의 오목부(24)에만 웨이퍼 W를 나타낸다. 이 오목부(24)는, 웨이퍼 W의 직경보다도 근소하게 예를 들어 4㎜ 큰 내경과, 웨이퍼 W의 두께에 거의 동등한 깊이를 갖고 있다. 따라서, 웨이퍼 W가 오목부(24)에 수용되면, 웨이퍼 W의 표면과 회전 테이블(2)의 표면(웨이퍼 W가 적재되지 않는 영역)이 동일한 높이가 된다. 오목부(24)의 저면에는, 웨이퍼 W의 이면을 지지하여 웨이퍼 W를 승강시키기 위한 예를 들어 3개의 승강 핀이 관통하는 관통 구멍(모두 도시생략)이 형성되어 있다.
도 2 및 도 3은, 진공 용기(1) 내의 구조를 설명하기 위한 도면이며, 설명의 편의상, 천장판(11)의 도시를 생략하였다. 도 2 및 도 3에 도시한 바와 같이, 회전 테이블(2)의 상방에는, 각각 예를 들어 석영으로 이루어지는 반응 가스 노즐(31), 반응 가스 노즐(32), 후술하는 리모트 플라스마 발생기(90)의 가스 토출부(93d), 및 분리 가스 노즐(41, 42)이 진공 용기(1)의 주위 방향(회전 테이블(2)의 회전 방향(도 3의 화살표 A))으로 서로 간격을 두고 배치되어 있다. 도시한 예에서는, 후술하는 반송구(15)로부터 시계 방향(회전 테이블(2)의 회전 방향)으로, 리모트 플라스마 발생기(90)의 가스 토출부(93d), 분리 가스 노즐(41), 반응 가스 노즐(31), 분리 가스 노즐(42) 및 반응 가스 노즐(32)이 이 순서대로 배열되어 있다. 이들 노즐(31, 32, 41, 42)은, 각 노즐(31, 32, 41, 42)의 기단부인 가스 도입 포트(31a, 32a, 41a, 42a)(도 3)를 용기 본체(12)의 외주벽에 고정함으로써, 진공 용기(1)의 외주벽으로부터 진공 용기(1) 내에 도입되고, 용기 본체(12)의 반경 방향을 따라서 회전 테이블(2)에 대하여 수평하게 신장되도록 설치되어 있다. 또한, 후술하는 가스 토출부(93d)에 접속되어 있는 리모트 플라스마 발생기(90)의 가스 공급관(92)은, 가스 도입 포트(33a)에 접속되어 있어도 된다.
본 실시 형태에 있어서는, 도 3에 도시된 바와 같이, 반응 가스 노즐(31)은, 배관(110) 및 유량 제어기(120) 등을 통하여, 원료 가스의 공급원(130)에 접속되어 있다. 반응 가스 노즐(32)은, 배관(111) 및 유량 제어기(121) 등을 통하여, 질화 가스의 공급원(131)에 접속되어 있다. 또한, 리모트 플라스마 발생기(90)의 가스 공급관(92)은, 배관(112) 및 유량 제어기(122) 등을 통하여, 염소(Cl2) 가스의 공급원(132)에 접속되어 있다. 분리 가스 노즐(41, 42)은, 어느 것도 도시하지 않은 배관 및 유량 제어 밸브 등을 통하여, 분리 가스의 공급원(도시생략)에 접속되어 있다. 분리 가스로서는, 헬륨(He)이나 아르곤(Ar) 등의 희가스나 질소(N2) 가스 등의 불활성 가스를 사용할 수 있다. 본 실시 형태에서는, Ar 가스를 사용하는 예를 들어 설명한다.
도 4에 도시된 바와 같이, 반응 가스 노즐(31, 32)에는, 회전 테이블(2)을 향하여 개구하는 복수의 토출 구멍(35)이, 반응 가스 노즐(31, 32)의 길이 방향을 따라서, 예를 들어 10㎜의 간격으로 배열되어 있다. 도 2 및 도 3에 도시된 바와 같이, 반응 가스 노즐(31)의 하방 영역은, 원료 가스를 웨이퍼 W에 흡착시키기 위한 제1 처리 영역 P1로 된다. 반응 가스 노즐(32)의 하방 영역은, 제1 처리 영역 P1에 있어서 웨이퍼 W에 흡착된 원료 가스를 질화하는 질화 가스를 공급하고, 질화막을 생성하는 제2 처리 영역 P2로 된다. 리모트 플라스마 발생기(90)의 가스 토출부(93d)의 하방 영역은, 제2 처리 영역 P2에 있어서 생성한 반응 생성물(질화막)에 플라스마에 의해 활성화한 염소 가스를 공급하고, 흡착 저해기를 형성하는 제3 처리 영역 P3으로 된다. 본원에 있어서는, 제1 처리 영역 P1은, 원료 가스를 웨이퍼에 흡착시키는 영역이므로, 원료 가스 흡착 영역 P1이라 기재하는 경우가 있다. 마찬가지로, 제2 처리 영역 P2는, 원료 가스와 반응하여 질화물을 생성 가능한 질화 가스를 공급하여 원료 가스를 질화하는 영역이므로, 질화 영역 P2라 기재하는 경우가 있다. 또한, 제3 처리 영역 P3은, 염소 라디칼 등의 활성화된 염소 가스를 웨이퍼 W의 표면에 흡착시키는 영역이므로, 활성화 염소 흡착 영역 P3이라 기재하는 경우가 있다.
또한, 제2 처리 영역 P2의 상방에는, 플라스마 발생기(80)가 마련되어 있으며, 제3 처리 영역 P3의 상방에는, 리모트 플라스마 발생기(90)가 마련되어 있다. 도 3에 있어서, 플라스마 발생기(80) 및 리모트 플라스마 발생기(90)는, 파선으로 간략화하여 도시되어 있다. 플라스마 발생기(80), 리모트 플라스마 발생기(90)의 상세에 대해서는 후술한다.
또한, 원료 가스로서는, 실리콘 및 염소를 함유하는 가스, 또는 금속 및 염소를 함유하는 가스가 선택된다. 예를 들어, 질화실리콘(SiN)막을 성막하는 경우에는, 디클로로실란(DCS, SiH2Cl2) 등의 실리콘 및 염소를 함유하는 가스가 선택된다. 또한, 예를 들어 질화티타늄(TiN)막, 질화알루미늄(AlN)막 등의 금속 질화막을 성막하는 경우에는, 사염화티타늄(TiCl4), 삼염화알루미늄(AlCl3) 등의 금속 및 염소를 함유하는 가스가 원료 가스로서 선택된다.
또한, 질화 가스로서는, 일반적으로는 암모니아(NH3) 함유 가스가 선택된다. 그 밖에, 질화 가스를 플라스마에 의해 활성화하여 공급하는 경우에는, 질소(N2) 함유 가스가 선택되는 경우도 있다. 또한, 질화 가스는, 암모니아 외에, Ar 등의 캐리어 가스를 포함해도 된다.
제3 반응 노즐로부터 공급되는 염소 가스는, 제1 반응 가스 노즐(31)로부터 공급되는 원료 가스가 웨이퍼에 흡착되는 것을 저해하는 흡착 저해기를 웨이퍼의 표면 위에 형성하는 역할을 갖는다. 예를 들어, 웨이퍼의 표면에 비아, 트렌치 등의 오목부 패턴이 형성되어 있는 경우에는, 웨이퍼의 표면 및 오목부 패턴의 상부에 흡착 저해기를 형성함으로써, 오목부 패턴의 상부에서는 막 두께가 두꺼워지지 않고, 저면측의 막 두께가 두꺼워진다. 이에 의해, 보텀 업성이 높은 성막이 가능하게 된다. 원료 가스가 질화됨으로써, NH2 구조의 수소기로 종단되고, 원료 가스에 대하여 흡착 사이트를 형성하고 있지만, 활성화한 염소가 공급되면, NH2 구조의 H기가 Cl기로 치환되어 버린다. 상술한 바와 같이, 원료 가스가 염소를 함유하는 가스이며, 염소끼리는 흡착되지 않기 때문에, 염소로 종단화된 개소에는 원료 가스가 흡착되지 않는다. 이와 같이, Cl기로 종단된 개소는 흡착 저해기로서 기능하고, 원료 가스의 흡착을 저해한다. 또한, 활성화한 염소 가스는, 웨이퍼 W의 표면 및 오목부 패턴의 상부에는 용이하게 도달되므로 많이 흡착되지만, 오목부 패턴의 하부 및 저부에는 도달되기 어려워지므로, 오목부 패턴의 저부에 접근함에 따라서, Cl기의 밀도는 작아진다. 따라서, 오목부 패턴의 상부 및 웨이퍼의 표면에는 고밀도로 흡착 저해기가 형성되지만, 오목부 패턴의 하부(저부)에는, 흡착 저해기가 저밀도로 형성된다. 이에 의해, 원료 가스가 웨이퍼 W의 표면 및 상부보다 하부에 많이 흡착시킬 수 있어, 오목부 패턴의 저부로부터 성막을 개시하는 보텀 업 성막이 가능해진다. 또한, 제3 반응 노즐로부터 공급되는 가스는, 염소 가스 외에, Ar 등의 캐리어 가스를 포함해도 된다.
도 2 및 도 3을 참조하면, 진공 용기(1) 내에는 2개의 볼록형부(4)가 마련되어 있다. 볼록형부(4)는, 분리 가스 노즐(41, 42)과 함께 분리 영역 D를 구성하기 위해서, 후술하는 바와 같이, 회전 테이블(2)을 향해 돌출되도록 천장판(11)의 이면에 설치되어 있다. 또한, 볼록형부(4)는, 정상부가 원호형으로 절단된 부채형의 평면 형상을 갖고, 본 실시 형태에 있어서는, 내측 원호가 돌출부(5)(후술)에 연결되고, 외측 원호가, 진공 용기(1)의 용기 본체(12)의 내주면을 따르도록 배치되어 있다.
도 4는, 반응 가스 노즐(31)로부터 반응 가스 노즐(32)까지 회전 테이블(2)의 동심원을 따른 진공 용기(1)의 단면을 나타내고 있다. 도시한 바와 같이, 천장판(11)의 이면에 볼록형부(4)가 설치되어 있기 때문에, 진공 용기(1) 내에는, 볼록형부(4)의 하면인 평탄한 낮은 천장면(44)(제1 천장면)과, 이 천장면(44)의 주위 방향 양측에 위치하는, 천장면(44)보다도 높은 천장면(45)(제2 천장면)이 존재한다. 천장면(44)은, 정상부가 원호형으로 절단된 부채형의 평면 형상을 갖고 있다. 또한, 도시한 바와 같이, 볼록형부(4)에는 주위 방향 중앙에 있어서, 반경 방향으로 신장되도록 형성된 홈부(43)가 형성되고, 분리 가스 노즐(42)이 홈부(43) 내에 수용되어 있다. 또 하나의 볼록형부(4)에도 마찬가지로 홈부(43)가 형성되고, 여기에 분리 가스 노즐(41)이 수용되어 있다. 또한, 높은 천장면(45)의 하방 공간에 반응 가스 노즐(31, 32)이 각각 마련되어 있다. 이들 반응 가스 노즐(31, 32)은, 천장면(45)으로부터 이격해서 웨이퍼 W의 근방에 마련되어 있다. 또한, 도 4에 도시한 바와 같이, 높은 천장면(45)의 하방 우측 공간(481)에 반응 가스 노즐(31)이 마련되고, 높은 천장면(45)의 하방 좌측 공간(482)에 반응 가스 노즐(32)이 마련된다.
또한, 볼록형부(4)의 홈부(43)에 수용되는 분리 가스 노즐(41, 42)에는, 회전 테이블(2)을 향해 개구되는 복수의 가스 토출 구멍(42h)(도 4 참조)이, 분리 가스 노즐(41, 42)의 길이 방향을 따라서, 예를 들어 10㎜의 간격으로 배열되어 있다.
천장면(44)은, 협애한 공간인 분리 공간 H를 회전 테이블(2)에 대하여 형성되어 있다. 분리 가스 노즐(42)의 복수의 가스 토출 구멍(42h)으로부터 Ar 가스가 공급되면, 이 Ar 가스는, 분리 공간 H를 통하여 공간(481) 및 공간(482)을 향해 흐른다. 이때, 분리 공간 H의 용적은 공간(481 및 482)의 용적보다도 작기 때문에, Ar 가스에 의해 분리 공간 H의 압력을 공간(481 및 482)의 압력에 비하여 높게 할 수 있다. 즉, 공간(481 및 482)의 사이에 압력이 높은 분리 공간 H가 형성된다. 또한, 분리 공간 H로부터 공간(481 및 482)으로 흘러 나오는 Ar 가스가, 제1 영역 P1로부터의 제1 반응 가스와, 제2 영역 P2로부터의 제2 반응 가스에 대한 카운터 플로우로서 작용한다. 따라서, 제1 영역 P1로부터의 제1 반응 가스와, 제2 영역 P2로부터의 제2 반응 가스가 분리 공간 H에 의해 분리된다. 따라서, 진공 용기(1) 내에 있어서 제1 반응 가스와 제2 반응 가스가 혼합되어, 반응하는 것이 억제된다.
또한, 회전 테이블(2)의 상면에 대한 천장면(44)의 높이 h1은, 성막 시의 진공 용기(1) 내의 압력, 회전 테이블(2)의 회전 속도, 공급하는 분리 가스(Ar 가스)의 공급량 등을 고려하여, 분리 공간 H의 압력을 공간(481 및 482)의 압력에 비하여 높게 하는 데 적합한 높이로 설정하는 것이 바람직하다.
한편, 천장판(11)의 하면에는, 회전 테이블(2)을 고정하는 코어부(21)의 외주를 둘러싸는 돌출부(5)(도 2 및 도 3)가 마련되어 있다. 이 돌출부(5)는, 본 실시 형태에 있어서는, 볼록형부(4)에 있어서의 회전 중심측의 부위와 연속되어 있으며 그 하면이 천장면(44)과 동일한 높이로 형성되어 있다.
우선 참조한 도 1은, 도 3의 I-I'선을 따른 단면도이며, 천장면(45)이 마련되어 있는 영역을 나타내고 있다. 한편, 도 5는, 천장면(44)이 마련되어 있는 영역을 나타내는 단면도이다. 도 5에 도시한 바와 같이, 부채형의 볼록형부(4)의 주연부(진공 용기(1)의 외측 에지측의 부위)에는, 회전 테이블(2)의 외측 단부면에 대향하도록 L자형으로 굴곡하는 굴곡부(46)가 형성되어 있다. 이 굴곡부(46)는, 볼록형부(4)와 마찬가지로, 분리 영역 D의 양측으로부터 반응 가스가 침입되는 것을 억제하여, 양쪽 반응 가스의 혼합을 억제한다. 부채형의 볼록형부(4)는 천장판(11)에 마련되고, 천장판(11)을 용기 본체(12)로부터 떼어낼 수 있게 되어 있는 점에서, 굴곡부(46)의 외주면과 용기 본체(12)의 사이에는 근소하게 간극이 있다. 굴곡부(46)의 내주면과 회전 테이블(2)의 외측 단부면의 간극 및 굴곡부(46)의 외주면과 용기 본체(12)의 간극은, 예를 들어 회전 테이블(2)의 상면에 대한 천장면(44)의 높이와 마찬가지의 치수로 설정되어 있다.
용기 본체(12)의 내주벽은, 분리 영역 D에 있어서는 도 4에 도시한 바와 같이 굴곡부(46)의 외주면과 접근해서 수직면에 형성되어 있지만, 분리 영역 D 이외의 부위에 있어서는, 도 1에 도시한 바와 같이 예를 들어 회전 테이블(2)의 외측 단부면과 대향하는 부위로부터 저부(14)에 걸쳐서 바깥쪽으로 오목하게 들어가 있다. 이하, 설명의 편의상, 대략 직사각형의 단면 형상을 갖는 오목하게 들어간 부분을 배기 영역이라 기재한다. 구체적으로는, 제1 처리 영역 P1에 연통하는 배기 영역을 제1 배기 영역 E1이라 기재하고, 제2 및 제3 처리 영역 P2, P3에 연통하는 영역을 제2 배기 영역 E2라 기재한다. 이들 제1 배기 영역 E1 및 제2 배기 영역 E2의 저부에는, 도 1 내지 도 3에 도시한 바와 같이, 각각, 제1 배기구(610) 및 제2 배기구(620)가 형성되어 있다. 제1 배기구(610) 및 제2 배기구(620)는, 도 1에 도시한 바와 같이 각각 배기관(630)을 통해 진공 배기 수단인 예를 들어 진공 펌프(640)에 접속되어 있다. 또한, 진공 펌프(640)와 배기관(630)의 사이에, 압력 제어기(650)가 마련된다. 또한, 도 1에서는, 제1 배기구(610)에 대하여 나타내지만, 제2 배기구(620)에 대해서도 마찬가지이다.
또한, 도 2 및 도 3에 도시된 바와 같이, 제2 처리 영역 P2와 제3 처리 영역 P3의 사이에 분리 영역 H는 마련되어 있지 않지만, 도 3에 있어서, 플라스마 발생기(80)로서 나타내어진 영역에, 회전 테이블(2) 위의 공간을 칸막이하는 하우징이 마련된다. 이에 의해, 제2 처리 영역 P2와 제3 처리 영역 P3의 공간은 칸막이된다.
회전 테이블(2)과 진공 용기(1)의 저부(14) 사이의 공간에는, 도 1 및 도 5에 도시한 바와 같이 가열 수단인 히터 유닛(7)이 마련되고, 회전 테이블(2)을 통해 회전 테이블(2) 위의 웨이퍼 W가, 프로세스 레시피로 정해진 온도(예를 들어 400℃)로 가열된다. 회전 테이블(2)의 주연 부근의 하방측에는, 회전 테이블(2)의 상방 공간으로부터 배기 영역 E1, E2에 이르기까지의 분위기와 히터 유닛(7)이 놓여 있는 분위기를 구획해서 회전 테이블(2)의 하방 영역으로의 가스의 침입을 억제하기 위해서, 링 상의 커버 부재(71)가 마련되어 있다(도 5). 이 커버 부재(71)는, 회전 테이블(2)의 외측 에지부 및 외측 에지부보다도 외주측을 하방측으로부터 면하도록 마련된 내측 부재(71a)와, 이 내측 부재(71a)와 진공 용기(1)의 내벽면의 사이에 마련된 외측 부재(71b)를 구비하고 있다. 외측 부재(71b)는, 분리 영역 D에 있어서 볼록형부(4)의 외측 에지부에 형성된 굴곡부(46)의 하방에서, 굴곡부(46)와 근접하여 마련되고, 내측 부재(71a)는, 회전 테이블(2)의 외측 에지부 하방(및 외측 에지부보다도 약간 외측 부분의 하방)에 있어서, 히터 유닛(7)을 전체 둘레에 걸쳐 둘러싸고 있다.
히터 유닛(7)이 배치되어 있는 공간보다도 회전 중심 근처의 부위에 있어서의 저부(14)는, 회전 테이블(2)의 하면의 중심부 부근에 있어서의 코어부(21)에 접근하도록 상방측에 돌출되어 돌출부(12a)를 이루고 있다. 이 돌출부(12a)와 코어부(21)의 사이는 좁은 공간으로 되어 있으며, 또한 저부(14)를 관통하는 회전축(22)의 관통 구멍의 내주면과 회전축(22)의 간극이 좁아져 있으며, 이들 좁은 공간은 케이스체(20)에 연통되어 있다. 그리고 케이스체(20)에는 퍼지 가스인 Ar 가스를 좁은 공간 내에 공급하여 퍼지하기 위한 퍼지 가스 공급관(72)이 마련되어 있다. 또한 진공 용기(1)의 저부(14)에는, 히터 유닛(7)의 하방에 있어서 주위 방향으로 소정의 각도 간격으로, 히터 유닛(7)의 배치 공간을 퍼지하기 위한 복수의 퍼지 가스 공급관(73)이 마련되어 있다(도 5에는 하나의 퍼지 가스 공급관(73)을 나타냄). 또한, 히터 유닛(7)과 회전 테이블(2)의 사이에는, 히터 유닛(7)이 마련된 영역으로의 가스의 침입을 억제하기 위해서, 외측 부재(71b)의 내주벽(내측 부재(71a)의 상면)으로부터 돌출부(12a)의 상단부의 사이를 주위 방향에 걸쳐 덮는 덮개 부재(7a)가 마련되어 있다. 덮개 부재(7a)는 예를 들어 석영으로 제작할 수 있다.
또한, 진공 용기(1)의 천장판(11)의 중심부에는 분리 가스 공급관(51)이 접속되어 있으며, 천장판(11)과 코어부(21) 사이의 공간(52)에 분리 가스인 Ar 가스를 공급하도록 구성되어 있다. 이 공간(52)에 공급된 분리 가스는, 돌출부(5)와 회전 테이블(2)의 좁은 간극으로 되는 공간(50)을 통해 회전 테이블(2)의 웨이퍼 적재 영역측의 표면을 따라서 주연을 향해 토출된다. 공간(50)은 분리 가스에 의해 공간(481) 및 공간(482)보다도 높은 압력으로 유지될 수 있다. 따라서, 공간(50)에 의해, 제1 처리 영역 P1에 공급되는 원료 가스와 제2 처리 영역 P2에 공급되는 질화 가스가, 중심 영역 C를 통하여 혼합하는 것이 억제된다. 즉, 공간(50)(또는 중심 영역 C)은 분리 공간 H(또는 분리 영역 D)와 마찬가지로 기능할 수 있다.
또한, 진공 용기(1)의 측벽에는, 도 2, 도 3에 도시한 바와 같이, 외부의 반송 암(10)과 회전 테이블(2)의 사이에서 기판인 웨이퍼 W의 전달을 행하기 위한 반송구(15)가 형성되어 있다. 이 반송구(15)는 도시하지 않은 게이트 밸브에 의해 개폐된다. 또한 회전 테이블(2)에 있어서의 웨이퍼 적재 영역인 오목부(24)는 이 반송구(15)에 면하는 위치에서 반송 암(10)과의 사이에서 웨이퍼 W의 전달이 행해지는 점에서, 회전 테이블(2)의 하방측에 있어서 전달 위치에 대응하는 부위에, 오목부(24)를 관통하여 웨이퍼 W를 이면으로부터 들어 올리기 위한 전달용 승강 핀 및 그 승강 기구(모두 도시생략)가 마련되어 있다.
다음으로, 도 6 내지 도 8을 참조하면서, 플라스마 발생기(80)에 대하여 설명한다. 도 6은, 회전 테이블(2)의 반경 방향을 따른 플라스마 발생기(80)의 개략 단면도이고, 도 7은, 회전 테이블(2)의 반경 방향과 직교하는 방향을 따른 플라스마 발생기(80)의 개략 단면도이며, 도 8은, 플라스마 발생기(80)의 개략을 나타내는 상면도이다. 도시의 편의상, 이들 도면에 있어서 일부의 부재를 간략화하였다.
도 6을 참조하면, 플라스마 발생기(80)는, 고주파 투과성의 재료로 제작되고, 상면으로부터 오목하게 들어간 오목부를 갖고, 천장판(11)에 형성된 개구부(11a)에 끼워 넣어지는 프레임 부재(81)와, 프레임 부재(81)의 오목부 내에 수용되고, 상부가 개구된 대략 상자형의 형상을 갖는 패러데이 차폐판(82)과, 패러데이 차폐판(82)의 저면 위에 배치되는 절연판(83)과, 절연판(83)의 상방에 지지되고, 대략 팔각형의 상면 형상을 갖는 코일 형상의 안테나(85)를 구비한다.
천장판(11)의 개구부(11a)는 복수의 단차부를 갖고 있으며, 그 중 하나의 단차부에는 전체 둘레에 걸쳐 홈부가 형성되고, 이 홈부에 예를 들어 O-링 등의 시일 부재(81a)가 끼워 넣어져 있다. 한편, 프레임 부재(81)는, 개구부(11a)의 단차부에 대응하는 복수의 단차부를 갖고 있으며, 프레임 부재(81)를 개구부(11a)에 끼워 넣으면, 복수의 단차부 중 하나의 단차부의 이면이, 개구부(11a)의 홈부에 끼워 넣어진 시일 부재(81a)와 접한다. 이에 의해, 천장판(11)과 프레임 부재(81) 사이의 기밀성이 유지된다. 또한, 도 6에 도시한 바와 같이, 천장판(11)의 개구부(11a)에 끼워 넣어지는 프레임 부재(81)의 외주를 따른 압박 부재(81c)가 마련되고, 이에 의해, 프레임 부재(81)가 천장판(11)에 대하여 하방으로 압박된다. 이 때문에, 천장판(11)과 프레임 부재(81) 사이의 기밀성이 보다 확실하게 유지된다.
프레임 부재(81)의 하면은, 진공 용기(1) 내의 회전 테이블(2)에 대향하고 있으며, 그 하면의 외주에는 전체 둘레에 걸쳐 하방으로(회전 테이블(2)을 향해) 돌기하는 돌기부(81b)가 마련되어 있다. 돌기부(81b)의 하면은 회전 테이블(2)의 표면에 근접하고 있으며, 돌기부(81b)와, 회전 테이블(2)의 표면과, 프레임 부재(81)의 하면에 의해 회전 테이블(2)의 상방에 공간(제2 처리 영역 P2)이 구획 형성되어 있다. 또한, 돌기부(81b)의 하면과 회전 테이블(2)의 표면의 간격은, 분리 공간 H(도 4)에 있어서의 천장면(44)의 회전 테이블(2)의 상면에 대한 높이 h1과 거의 동일해도 된다.
또한, 제2 처리 영역 P2에는, 돌기부(81b)를 관통한 반응 가스 노즐(32)이 연장되어 있다. 반응 가스 노즐(32)에는, 본 실시 형태에 있어서는, 도 6에 도시한 바와 같이, 질화 가스가 충전되는 질화 가스의 공급원(131)이, 유량 제어기(121)를 통해 배관(111)에 의해 접속되어 있다. 유량 제어기(121)에 의해 유량 제어된 질화 가스가, 플라스마 발생기(80)에서 활성화되고, 소정의 유량으로 제2 처리 영역 P2에 공급된다.
또한, 반응 가스 노즐(32)에는, 그 길이 방향을 따라서 소정의 간격(예를 들어 10㎜)으로 복수의 토출 구멍(35)이 형성되어 있으며, 토출 구멍(35)으로부터 상술한 질화 가스가 토출된다. 토출 구멍(35)은, 도 7에 도시한 바와 같이, 회전 테이블(2)에 대하여 수직인 방향으로부터 회전 테이블(2)의 회전 방향의 상류측을 향해 기울고 있다. 이 때문에, 반응 가스 노즐(32)로부터 공급되는 가스는, 회전 테이블(2)의 회전 방향과 역방향으로, 구체적으로는, 돌기부(81b)의 하면과 회전 테이블(2)의 표면 사이의 간극을 향해 토출된다. 이에 의해, 회전 테이블(2)의 회전 방향을 따라서 플라스마 발생기(80)보다도 상류측에 위치하는 천장면(45)의 하방 공간으로부터 반응 가스나 분리 가스가, 제2 처리 영역 P2 내로 유입되는 것이 억제된다. 또한, 상술한 바와 같이, 프레임 부재(81)의 하면의 외주를 따라서 형성되는 돌기부(81b)가 회전 테이블(2)의 표면에 근접하고 있기 때문에, 반응 가스 노즐(32)로부터의 가스에 의해 제2 처리 영역 P2 내의 압력을 용이하게 높게 유지할 수 있다. 이것에 의해서도, 반응 가스나 분리 가스가 제2 처리 영역 P2 내로 유입되는 것이 억제된다.
이와 같이, 프레임 부재(81)는, 제2 처리 영역 P2를 제3 처리 영역 P3으로부터 분리하기 위한 역할을 담당하고 있다. 따라서, 본 실시 형태의 성막 방법에 사용되는 성막 장치는, 플라스마 발생기(80)의 전체를 반드시 구비하지 않아도 되지만, 제2 처리 영역 P2를 제3 처리 영역 P3으로부터 구획하고, 반응 가스의 혼입을 방지하기 위해서, 프레임 부재(81)를 구비하고 있도록 한다.
패러데이 차폐판(82)은, 금속 등의 도전성 재료로 제작되며, 도시는 생략하였지만 접지되어 있다. 도 8에 명확하게 나타낸 바와 같이, 패러데이 차폐판(82)의 저부에는, 복수의 슬릿(82s)이 형성되어 있다. 각 슬릿(82s)은, 대략 팔각형의 평면 형상을 갖는 안테나(85)가 대응하는 변과 거의 직교하도록 연장되어 있다.
또한, 패러데이 차폐판(82)은, 도 7 및 도 8에 도시한 바와 같이, 상단의 2군데에 있어서 외측으로 절곡되는 지지부(82a)를 갖고 있다. 지지부(82a)가 프레임 부재(81)의 상면에 지지됨으로써, 프레임 부재(81) 내의 소정의 위치에 패러데이 차폐판(82)이 지지된다.
절연판(83)은, 예를 들어 석영 유리에 의해 제작되고, 패러데이 차폐판(82)의 저면보다도 약간 작은 크기를 갖고, 패러데이 차폐판(82)의 저면에 적재된다. 절연판(83)은, 패러데이 차폐판(82)과 안테나(85)를 절연하는 한편, 안테나(85)로부터 방사되는 고주파를 하방으로 투과시킨다.
안테나(85)는, 평면 형상이 대략 팔각형으로 되도록 구리제의 중공관(파이프)을 예를 들어 3겹으로 권회함으로써 형성된다. 파이프 내에 냉각수를 순환시킬 수 있어, 이에 의해, 안테나(85)에 공급되는 고주파에 의해 안테나(85)가 고온으로 가열되는 것이 방지된다. 또한, 안테나(85)에는 입설부(85a)가 마련되어 있고, 입설부(85a)에 지지부(85b)가 설치되어 있다. 지지부(85b)에 의해, 안테나(85)가 패러데이 차폐판(82) 내의 소정의 위치에 유지된다. 또한, 지지부(85b)에는, 매칭 박스(86)를 통해 고주파 전원(87)이 접속되어 있다. 고주파 전원(87)은, 예를 들어 13.56㎒의 주파수를 갖는 고주파를 발생할 수 있다.
이와 같은 구성을 갖는 플라스마 발생기(80)에 의하면, 매칭 박스(86)를 통해 고주파 전원(87)으로부터 안테나(85)로 고주파 전력을 공급하면, 안테나(85)에 의해 전자계가 발생한다. 이 전자계 중 전계 성분은, 패러데이 차폐판(82)에 의해 차폐되기 때문에, 하방으로 전파할 수는 없다. 한편, 자계 성분은 패러데이 차폐판(82)의 복수의 슬릿(82s)을 통하여 제2 처리 영역 P2 내로 전파된다. 이 자계 성분에 의해, 반응 가스 노즐(32)로부터 소정의 유량비로 제2 처리 영역 P2에 공급되는 질화 가스가 활성화된다.
그런데, 염소 가스는, 너무 강하게 플라스마화하면, 하지막 UF를 에칭해버리는 경우가 있다. 도 6 내지 8에서 설명한 안테나(85)를 사용한 플라스마 발생기(80)는, 유도형 플라스마 발생기(ICP: Inductively Coupled Plasma)이며, 높은 플라스마 강도로 플라스마를 발생시키는 데 유효하다. 그러나, 염소의 활성화는, 더 약한 플라스마를 발생시키는 플라스마 발생기를 사용하는 편이 바람직하다. 즉, 염소 가스의 공급은, 염소 가스를 웨이퍼 W의 오목부 패턴의 상부에 고밀도로 흡착시켜, 오목부 패턴의 상부에 흡착 저해기를 발생하는 것을 의도하고 있으며, 막의 에칭을 의도하지 않는다. 따라서, 염소 가스의 플라스마화는, 그다지 플라스마 강도를 높이지 않고, 에칭 작용을 발생시키지 않는 범위에서 행한다. 이와 같이 하여 발생하는 플라스마에 의하면, 웨이퍼 W의 표면에 형성된 비아, 트렌치 등의 오목부 패턴의 상부에 흡착하여 원료 가스에 대한 흡착 저해기를 형성하고, 흡착 저해기에 의해 원료 가스의 흡착이 방해되지 않는 저부측으로부터의 성막을 행할 수 있다. 리모트 플라스마 발생기(90)는, 플라스마 발생기(80)보다도 약한 플라스마를 발생시키는 데 적합하다. 따라서, 본 실시 형태에 있어서의 성막 장치에서는, 제3 처리 영역 P3에 있어서의 염소 가스의 활성화를 리모트 플라스마 발생기(90)를 사용하여 행한다.
도 9는, 본 실시 형태에 있어서의 성막 장치의 리모트 플라스마 발생기(90)를 포함하는 부분의 단면도이다.
도 3 등에 도시된 바와 같이, 리모트 플라스마 발생기(90)는, 제3 처리 영역 P3에 마련되어 있으며, 도 9에 도시된 바와 같이, 회전 테이블(2)과 대향하고 있다. 리모트 플라스마 발생기(90)는, 플라스마 생성부(91)와, 가스 공급관(92)과, 샤워 헤드부(93)와, 배관(94)을 구비하고 있다. 또한, 샤워 헤드부(93)는, 염소 가스 토출부의 일례이며, 예를 들어 샤워 헤드부(93) 대신에 가스 노즐이 사용되어도 된다.
플라스마 생성부(91)는, 가스 공급관(92)으로부터 공급된 염소 가스를 플라스마원에 의해 활성화하고, 라디칼화한다. 플라스마원으로서는, 염소 가스를 활성화하는 것이 가능하면, 특별히 한정되는 것은 아니다. 플라스마원으로서는, 예를 들어 유도 결합형 플라스마(ICP: Inductively Coupled Plasma), 용량 결합형 플라스마(CCP: Capacitively Coupled Plasma), 표면파 플라스마(SWP: Surface Wave Plasma)를 사용할 수 있다.
가스 공급관(92)은, 그 일단부가 플라스마 생성부(91)와 접속되어 있으며, 플라스마 생성부(91)에 염소 가스를 공급한다. 가스 공급관(92)의 타단은, 예를 들어 개폐 밸브 및 유량 조정기를 통해 염소 가스가 저류된 염소 가스 공급원(132)과 접속되어 있다.
샤워 헤드부(93)는, 배관(94)을 통해 플라스마 생성부(91)와 접속되어 있으며, 플라스마 생성부(91)에서 활성화된 염소 가스를 진공 용기(1) 내에 공급하는 부분이다. 샤워 헤드부(93)는, 부채형의 평면 형상을 갖고, 부채형의 평면 형상의 외측 에지를 따르도록 형성된 압박 부재(95)에 의해 하방측을 향해 주위 방향에 걸쳐서 압박된다. 또한, 압박 부재(95)가 도시하지 않은 볼트 등에 의해 천장판(11)에 고정됨으로써, 진공 용기(1)의 내부 분위기가 기밀 상태로 된다. 천장판(11)에 고정되었을 때의 샤워 헤드부(93)의 하면과 회전 테이블(2)의 상면의 간격은, 예를 들어 0.5㎜ 내지 5㎜ 정도로 할 수 있다.
샤워 헤드부(93)에는, 회전 테이블(2)의 각속도의 차이에 대응하여 회전 중심측에서 적고, 외주측에서 많아지도록 복수의 가스 토출 구멍(93a)이 마련되어 있다. 복수의 가스 토출 구멍(93a)의 개수로서는, 예를 들어 수십 내지 수백개로 할 수 있다. 또한, 복수의 가스 토출 구멍(93a)의 직경으로서는, 예를 들어 0.5㎜ 내지 3㎜ 정도로 할 수 있다. 샤워 헤드부(93)에 공급된 활성화된 염소 가스는, 가스 토출 구멍(93a)을 통하여 회전 테이블(2)과 샤워 헤드부(93) 사이의 공간에 공급된다.
샤워 헤드부(93)는, 다양한 재료로 구성할 수 있지만, 예를 들어 금속으로 구성해도 된다. 구체적으로는, 예를 들어 샤워 헤드부(93)는, 알루미늄으로 구성되어도 된다. 알루미늄은, 반도체 제조 장치에 많이 사용되는 금속이며, 샤워 헤드부(93)도, 예를 들어 알루미늄으로 구성할 수 있다.
도 10은, 샤워 헤드부(93)의 하면의 일례를 나타낸 평면도이다. 도 10에 도시된 바와 같이, 하방 돌출면(93c)을, 부채형의 샤워 헤드부(93)의 하면(93b)의 외주를 따르도록, 띠 형상으로 마련해도 된다. 이에 의해, 주위 방향으로 균일하게 제3 처리 영역 P3의 외주측의 압력의 저하를 방지할 수 있다. 단, 하방 돌출면(93c)는 필수가 아니라, 필요에 따라서 마련하도록 해도 된다. 또한, 가스 토출 구멍(93a)은, 샤워 헤드부(93)의 하면(93b)의 주위 방향의 중앙에, 반경 방향으로 연장되도록 마련되어도 된다. 이에 의해, 회전 테이블(2)의 중심측으로부터 외주측으로 분산시켜 염소 가스를 공급할 수 있다. 본원에 있어서는, 샤워 헤드부(93)에 있어서 복수의 가스 토출 구멍(93a)이 마련되어 있는 부분을 가스 토출부(93d)라 기재하는 경우가 있다.
이와 같이, 리모트 플라스마 발생기(90)를 사용하여, 활성화한 염소 가스, 예를 들어 염소 라디칼을 웨이퍼 W에 공급하고 있다.
또한, 본 실시 형태의 성막 방법에 사용되는 성막 장치에는, 도 1에 도시한 바와 같이, 장치 전체의 동작의 컨트롤을 행하기 위한 컴퓨터로 이루어지는 제어부(100)가 마련되어 있으며, 이 제어부(100)의 메모리 내에는, 제어부(100)의 제어 하에, 후술하는 성막 방법을 성막 장치에 실시시키는 프로그램이 저장되어 있다. 이 프로그램은 후술하는 성막 방법을 실행하도록 스텝군이 짜여져 있으며, 하드 디스크, 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플렉시블 디스크 등의 매체(102)에 기억되어 있고, 소정의 판독 장치에 의해 기억부(101)로 읽어들여져서, 제어부(100) 내에 인스톨된다.
[성막 방법의 일례]
다음으로, 상술한 성막 장치를 사용한 SiN막의 성막 방법의 일례를 도 11 및 도 12에 기초하여 설명한다. 또한, 도 11은, 이 SiN막의 성막 방법의 일례의 공정을 설명하는 흐름도이며, 도 12는, 성막 공정에서의 웨이퍼 W의 단면의 모습을 모식적으로 나타낸다. 이 SiN막의 성막 방법의 설명에서는, 웨이퍼 W로서 실리콘 웨이퍼를 사용하도록 하고, 실리콘 웨이퍼의 표면에는, 도 12의 (a)에 도시한 바와 같이, 트렌치 T가 형성되어 있다.
또한, 상술한 성막 장치를 사용하여 SiN막을 성막하는 경우에 대하여 설명한다. 이 경우에는, 반응 가스 노즐(31)로부터 디클로로실란(DCS, SiH2Cl2)이 공급되고, 반응 가스 노즐(32)로부터 질화 가스로서 암모니아(NH3)가 공급되며, 리모트 플라스마 발생기(90)의 가스 토출부(93d)로부터 활성화된 염소가 공급된다. 또한, 플라스마 발생기(80)도 탑재되어 있으며, 염소 가스 및 암모니아 가스는, 활성화 됨으로써 이온화 또는 라디칼화하여 공급된다.
처음에, 스텝 102(S102)에 나타낸 바와 같이, 상술한 성막 장치의 진공 용기(1) 내의 회전 테이블(2)에 5개의 오목부(24) 내에 각각 웨이퍼 W를 적재한다. 구체적으로는, 상술한 성막 장치의 도시하지 않은 게이트 밸브를 개방하고, 외부로부터 반송 암(10)(도 3)에 의해 반송구(15)(도 2 및 도 3)를 통해 웨이퍼 W를 회전 테이블(2)의 오목부(24) 내에 전달한다. 이 전달은, 오목부(24)가 반송구(15)에 면하는 위치에 정지했을 때 오목부(24)의 저면 관통 구멍을 통해 진공 용기(1)의 저부측으로부터 도시하지 않은 승강 핀이 승강함으로써 행해진다. 이러한 웨이퍼 W의 전달을, 회전 테이블(2)을 간헐적으로 회전시켜 행하고, 회전 테이블(2)의 5개의 오목부(24) 내에 각각 웨이퍼 W를 적재한다.
다음으로, 스텝 104(S104)에 나타낸 바와 같이, 상술한 성막 장치의 진공 용기(1) 내를 배기한다. 구체적으로는, 상술한 성막 장치의 게이트 밸브를 폐쇄하고, 진공 펌프(640)에 의해 도달 가능 진공도까지로 진공 용기(1) 내를 배기한다.
다음으로, 스텝 106(S106)에 나타낸 바와 같이, 웨이퍼 W의 트렌치 T가 형성되어 있는 면에 SiN에 의해 하지막 UF를 성막한다. 구체적으로는, 분리 가스 노즐(41, 42)로부터 분리 가스인 Ar 가스를 소정의 유량으로 토출하고, 분리 가스 공급관(51) 및 퍼지 가스 공급관(72, 73)으로부터도 Ar 가스를 소정의 유량으로 토출한다. 이 후, 반응 가스 노즐(31)(도 2 및 도 3)로부터 DCS를 공급하고, 반응 가스 노즐(32)로부터 암모니아 가스를 공급한다. 또한, 플라스마 발생기(80)도 작동시킨다. 암모니아 가스는, 플라스마 발생기(80)에 의해 활성화된다. 이에 수반하여, 압력 제어기(650)(도 1)에 의해 진공 용기(1) 내를 미리 설정한 처리 압력으로 제어한다. 이어서, 회전 테이블(2)을 시계 방향으로 예를 들어 5rpm의 회전 속도로 회전시키면서 히터 유닛(7)에 의해 웨이퍼 W를 예를 들어 400℃로 가열한다. 회전 테이블(2)의 회전 속도는, 용도에 따라서 다양한 회전 속도로 설정할 수 있다. 이와 같이, 반응 가스 노즐(31)로부터 공급된 DCS와, 반응 가스 노즐(32)로부터 공급된 암모니아 가스가 반응하여, SiN의 하지막 UF가 성막된다.
회전 테이블(2)의 회전에 의해, 웨이퍼 W는, 제3 처리 영역 P3, 분리 영역 D, 제1 처리 영역 P1, 분리 영역 D, 제2 처리 영역 P2를 이 순서대로 반복하여 통과한다(도 3 참조). 이에 의해, 도 12의 (b)에 도시된 바와 같이, 웨이퍼 W의 트렌치 T의 저면 및 측면을 포함하는 트렌치 T가 형성되어 있는 면에 하지막 UF가 성막된다. 이와 같이 성막되는 하지막 UF는, 보텀 업성이 높은 막이 아니라, 트렌치 T의 형상을 따른 컨포멀한 막이다. 또한, 도 12의 (b)에 도시한 상태는, 제2 처리 영역 P2를 통과한 직후의 상태를 나타내고 있으며, SiN에 의해 형성되는 하지막 UF의 최표면은, NH2 구조를 갖는 H기로 종단되어 있다. 이것은, 제2 처리 영역 P2를 통과했을 때, NH3 함유 가스로 질화되어 있기 때문이다. 또한, 본원에 있어서는, 분리 영역 D를 퍼지 영역이라 기재하는 경우가 있다.
다음으로, 스텝 108(S108)에 나타낸 바와 같이, 웨이퍼 W의 트렌치 T 내를 SiN에 의해 매립하는 매립막을 성막한다. 구체적으로는, 리모트 플라스마 발생기(90)에 염소 가스를 공급하고, 리모트 플라스마 발생기(90)를 작동시킴으로써, 리모트 플라스마 발생기(90)의 가스 토출부(93d)로부터 활성화된 염소를 공급한다. 또한, 회전 테이블(2)의 회전에 의해, 각 영역 P1 내지 P3, D로부터 처리가 개시되는 웨이퍼 W가 각각 존재하지만, 편의상, 제3 처리 영역 P3으로부터 웨이퍼 W가 통과하는 상태로부터 설명한다.
도 12의 (c)는, 흡착 저해기 형성 공정의 일례를 나타낸 도면이다. 흡착 저해기 형성 공정에서는, 제3 처리 영역 P3을 웨이퍼 W가 통과함으로써, 트렌치 T 내의 하지막 UF 위에, 플라스마에 의해 활성화된 염소 가스(염소 라디칼, 염소 이온, 여기한 염소 가스)가 공급된다. 이에 의해, 도 12의 (c)에 도시된 바와 같이, 염소 가스는, H기와 반응하여 HCl을 생성함과 함께, H기와 치환되어 Cl기 종단을 형성한다. 이러한 Cl기는, 염소 함유 가스에 대해서는, 흡착 저해기를 형성한다. 여기서, 염소 가스는, 웨이퍼 W의 표면 S, 트렌치 T의 상부에는 용이하게 도달되지만, 트렌치 T의 안쪽, 즉 저부 부근의 하부에는 그다지 많이 도달되지는 않는다. 트렌치 T의 애스펙트비는 높으므로, 대부분의 염소 가스는, 트렌치 T의 안쪽에 도달되기 전에 H기와 치환되어 버린다. 따라서, 웨이퍼 W의 표면 S 및 트렌치 T의 상부에는 고밀도로서 흡착 저해기인 Cl기가 형성되지만, 트렌치 T의 하부에는 NH2 구조의 H기가 많이 잔존되어, Cl기의 밀도는 낮아진다.
도 12의 (d)는, 원료 가스 흡착 공정의 일례를 나타낸 도면이다. 원료 가스 흡착 공정에서는, 웨이퍼 W가 분리 영역 D를 통과하여 퍼지 가스가 공급되어 퍼지된 후, 제1 처리 영역 P1을 통과함으로써, DCS가 공급된다. 이에 의해, 도 12의 (d)에 도시된 바와 같이, DCS는, 흡착 저해기인 Cl기가 존재하는 영역에는 그다지 흡착되지 않고, 흡착 저해기의 존재하지 않는 영역에 많이 흡착한다. 따라서, 트렌치 T 내의 저면 부근에 DCS가 많이 흡착되고, 웨이퍼 W의 표면 S 및 트렌치 T의 상부에는 그다지 DCS가 흡착되지 않는다. 즉, 트렌치 T의 저부 부근에 원료 가스인 DCS가 고밀도로서 흡착되고, 트렌치 T의 상부 및 웨이퍼 W의 표면 위에는 DCS가 저밀도로 흡착된다.
도 12의 (e)는, 질화막 퇴적 공정의 일례를 나타낸 도면이다. 질화막 퇴적 공정에서는, 웨이퍼 W가 분리 영역 D를 통과해서 퍼지 가스가 공급되어 퍼지된 후, 제2 처리 영역 P2를 통과함으로써, 플라스마에 의해 활성화된 NH3 가스가 공급된다. 이에 의해, 도 12의 (e)에 도시된 바와 같이, NH3 가스의 공급에 의해, 트렌치 T 내에 흡착된 DCS와 공급된 NH3이 반응하고, 질화막인 SiN막이 반응 생성물로서 형성된다. 여기서, DCS는, 트렌치 T의 저부 부근에 많이 흡착되어 있으므로, 트렌치 T 내의 저부 부근에 SiN막이 많이 형성된다. 따라서, 도 12의 (e)에 도시한 바와 같은 보텀 업성이 높은 매립 성막이 가능해진다.
또한, 웨이퍼 W가 제3 처리 영역 P3을 통과하면, 다시 도 12의 (c)에 도시한 상태로 되고, 흡착 저해기인 Cl기가 트렌치 T 내의 상부 및 웨이퍼 W의 표면에 흡착한다.
이하, 각 반응 가스를 공급하면서 회전 테이블(2)을 반복하여 회전시킴으로써, 도 12의 (c) 내지 도 12의 (e)에 도시한 사이클이 바뀌고, 트렌치 T의 개구부가 막히지 않는 상태에서, 저면측으로부터 SiN막이 퇴적한다. 그리고, 도 12의 (e)에 도시된 바와 같이, V자의 단면을 형성하면서, 개구부를 막지 않은 보텀 업성이 높은 SiN막의 성막을 행할 수 있다. 그리고, 최종적으로는, 연속적인 질화막으로 트렌치 T를 매립할 수 있어, 보이드 등을 발생시키지 않고 고품질의 질화막의 매립 성막을 행할 수 있다.
다음으로, 스텝 110(S110)에 나타낸 바와 같이, 퍼지를 행한다. 구체적으로는, 반응 가스 노즐(31)로부터의 DCS의 공급을 정지하고, 리모트 플라스마 발생기(90)로의 염소의 공급 및 리모트 플라스마 발생기(90)를 정지함으로써, 가스 토출부(93d)로부터의 활성화된 염소의 공급을 정지한다. 또한, 플라스마 발생기(80)를 정지한다. 따라서, 분리 가스 노즐(41, 42)로부터 분리 가스인 Ar 가스를 소정의 유량으로 토출하고, 분리 가스 공급관(51) 및 퍼지 가스 공급관(72, 73)으로부터도 Ar 가스를 소정의 유량으로 토출하고, 반응 가스 노즐(32)로부터 암모니아 가스를 공급한 상태를 10분간 계속한다. 또한, 리모트 플라스마 발생기(90)에 Ar 가스는 공급되고는 있지만, 리모트 플라스마 발생기(90)는 동작하지 않기 때문에, 공급되어 있는 Ar은 활성화되지 않는다.
이 후, 상술한 성막 장치의 진공 용기(1) 내를 누설함으로써 대기압으로 되돌아가고, 진공 용기(1) 내에서, SiN의 매립막이 성막된 웨이퍼 W를 취출한다.
이와 같은 성막 방법에 의하면, 활성화된 염소 가스를 트렌치 T의 상부에 공급하여 흡착 저해기를 형성하면서 ALD(Atomic Layer Deposition)법에 의한 성막을 행함으로써, 보텀 업성이 높은 선택적인 성막을 행할 수 있다.
또한, NH3은, 반드시 플라스마에 의해 활성화되어 공급될 필요는 없으며, 질화가 가능하면, 플라스마화되지 않고 공급해도 된다.
이러한 성막 방법에 의하면, 트렌치 T의 저면으로부터 SiN막을 매립할 수 있어, 보이드를 발생시키지 않는 고품질의 매립 성막을 행할 수 있다.
그런데, 상기 성막 방법에 의해 SiN막을 성막한 경우, 발명자들은, 성막을 반복하면 경시적으로 SiN막의 막 두께가 얇아지는, 즉, SiN막의 성막 레이트가 저하되는 경향이 있다는 사실을 발견하였다.
도 13은, 활성화된 염소 가스를 사용하여 SiN막을 성막했을 때의 런 횟수와 정규화한 SiN막의 막 두께의 추이를 나타낸 도면이다. 여기서, 런 횟수란, 웨이퍼 W를 진공 용기(1) 내에 반입하여 회전 테이블(2) 위에 적재하고, SiN막을 성막하고, 성막된 웨이퍼 W를 진공 용기(1)로부터 반출한 횟수임을 의미한다. 즉, 회전 테이블(2) 위에는, 5∼6장 정도의 웨이퍼 W가 적재되고, SiN막의 성막을 행하지만, 1회의 운전이 끝나면, 성막 처리를 실시한 5∼6장의 웨이퍼 W를 반출하고, 다음 배치의 5∼6장의 웨이퍼 W를 반입해서 동일한 성막 처리를 반복한다.
도 13에 도시된 바와 같이, 1런째의 SiN막의 막 두께를 1.00으로 하면, 2런째는 0.985, 3런째는 0.970, 4런째는 약 0.965로 되어 있으며, 점차 막 두께가 저하되고 있다. 이것은, 활성화된 염소 가스의 상태가, 런 횟수를 따를 때마다 변화하고 있기 때문이라고 생각된다.
도 13과 같은 성막 특성의 경우, 성막을 계속한 경우, 점차 SiN막의 성막 레이트가 저하되면, 웨이퍼 W에 형성된 트렌치 T의 매립이 양호하지 않게 되어, 수율 등의 저하를 초래하기 때문에 바람직하지 않다. 이 때문에, 성막을 계속해도, SiN막의 성막 레이트가 저하되지 않고, 재현성 좋게 SiN막을 안정적으로 성막할 수 있는 성막 방법이 요구되고 있다.
도 14는, 리모트 플라스마 발생기(90)에 있어서의 샤워 헤드부(93)에서 발생되었다고 생각되는 현상을 설명하기 위한 도면이다.
상술한 바와 같이, 리모트 플라스마 발생기(90)의 샤워 헤드부(93) 등은 알루미늄(Al)에 의해 형성되어 있으며, 표면은 산화되어 있기 때문에, O 원자로 종단된 상태로 되어 있다. SiN막을 성막할 때에는, 리모트 플라스마 발생기(90)의 샤워 헤드부(93)에는 염소 가스가 공급된다.
도 14의 (a)는, 리모트 플라스마 발생기(90)의 샤워 헤드부(93)의 내벽면의 초기 상태를 나타낸 도면이다. 초기 상태에는, 샤워 헤드부(93)의 내벽면은, O 원자로 종단된 AlO가 배열되어 형성되어 있는 상태이다.
도 14의 (b)는, 리모트 플라스마 발생기(90) 내에서 염소 가스를 활성화하고 있으며, 샤워 헤드부(93)로부터 활성화된 염소 가스를 공급하고 있는 상태의 일례를 나타낸 도면이다. 도 14의 (b)에 도시된 바와 같이, O 원자로 종단된 내벽면이, 부분적으로 Cl 원자로 치환된다. 이것은, 샤워 헤드부(93)의 내벽면에 반응성이 높은 Cl 라디칼 등의 활성화된 Cl 원자가 충돌하여, O 원자와 치환되기 때문이다.
구체적으로는, 리모트 플라스마 발생기(90)의 샤워 헤드부(93) 등은 알루미늄(Al)에 의해 형성되어 있으며, SiN막을 성막할 때에는, 리모트 플라스마 발생기(90)의 샤워 헤드부(93)에는 염소 가스가 공급된다. 공급된 염소 가스는 리모트 플라스마 발생기(90)에 의해 활성화되기 때문에, 도 14의 (b)에 도시된 바와 같이, 샤워 헤드부(93) 등의 표면의 Al과 결합하여 표면에 AlCl의 결합이 형성된다.
도 14의 (c)는, 성막 처리를 계속하고, 복수의 런 횟수를 행한 경우의 플라스마 생성부(91)의 내벽면의 상태의 일례를 나타낸 도면이다. 도 14의 (c)에 도시된 바와 같이, AlO의 O 원자는 Cl 원자와 꽤 많은 부분이 교체되고, 샤워 헤드부(93)로부터의 활성화된 염소 가스의 공급량이 변화해 간다. 이러한 경시 변화가 발생해버리면, 염소 라디칼의 공급량이 변화하여, 런 횟수를 따를 때마다 염소 라디칼의 공급량이 변화되어 런 간의 막 두께의 균일성을 유지할 수 없게 될 우려가 있다.
이와 같이, 샤워 헤드부(93) 등의 표면에 AlCl의 결합이 형성되면, SiN막을 성막할 때, 도 14의 (c)에 도시된 바와 같이, 활성화한 Cl이, 샤워 헤드부(93) 등의 표면에 부착되는 양이 점차 감소한다. 이 때문에, 제3 처리 영역 P3에 공급되는 활성화된 Cl이 점차 많아진다. 제3 처리 영역 P3에 공급되는 활성화된 Cl이 증가되면, 활성화된 Cl은 에칭 가스로서도 작용하기 때문에, 성막되는 SiN막의 막 두께가 점차 얇아진다. 이러한 메커니즘에 의해, SiN막의 막 두께가 성막할 때마다 점차 얇아지는 것이라 추정된다. 또한, 리모트 플라스마 발생기(90)의 샤워 헤드부(93) 등은 알루마이트 처리가 이루어져 있는 경우가 있지만, 이 경우에는, 샤워 헤드부(93) 등의 표면의 Al과 결합하고 있는 O와 활성화된 Cl이 치환되어, AlCl의 결합이 형성되는 것이라 생각된다.
그래서, 본 실시 형태에 따른 성막 방법에서는, 런 간에 리모트 플라스마 발생기(90)의 내부에 산소 라디칼을 공급하고, 염소와 치환하여 소실된 산소를 보충하는 산소 퍼지 처리를 행한다.
도 15는, 본 실시 형태에 따른 성막 방법의 산소 퍼지 처리를 설명하기 위한 도면이다.
도 15의 (a)는, 플라스마 발생기(90)의 샤워 헤드부(93)의 초기 상태를 나타낸 도면이다. 샤워 헤드부(93)가 알루미늄으로 형성되어 있는 경우, 표면이 자연 산화하여 O 원자로 종단되어 있다.
도 15의 (b)는, 염소 가스를 활성화한 상태의 일례를 나타낸 도면이다. 도 15의 (b)에 도시된 바와 같이, 염소 가스를 활성화하여 염소 라디칼을 생성하고 있는 동안에, 샤워 헤드부(93)의 내벽면은 리모트 플라스마 발생기(90) 내에서 생성된 염소 라디칼의 어택을 받아, 부분적으로 산소 원자가 염소 원자로 치환되고, 염소 원자 종단으로 된다.
도 15의 (a), (b)는, 도 14의 (a), (b)와 마찬가지이다.
도 15의 (c)는, 산소 퍼지 공정의 일례를 나타낸 도면이다. 산소 퍼지 공정에서는, 리모트 플라스마 발생기(90)의 내부에 활성화한 산소 가스를 공급하고, 샤워 헤드부(93)에 있어서 염소 원자로 치환된 부분을 다시 산소로 치환시켜, O 원자로 종단된 샤워 헤드부(93)의 초기 상태로 되돌리는 처리를 행한다. 이에 의해, 샤워 헤드부(93)의 상태를 초기화할 수 있다.
여기서, 리모트 플라스마 발생기(90)를 작동시킨 채 산소 가스를 공급하면, 산소 가스가 활성화되어, 산소 라디칼이 발생하여 반응성이 높아지므로, 효과적으로 염소 원자를 산소 원자로 치환할 수 있다. 따라서, 리모트 플라스마 발생기(90)를 동작시킨 상태에서 산소 가스를 리모트 플라스마 발생기(90) 내에 공급하는 것이 바람직하다. 이 경우, 활성화한 산소로 샤워 헤드부(93)의 내벽면을 퍼지하므로, 활성화 산소 퍼지 공정이라 칭해도 된다.
또한, 활성화 산소 퍼지 공정은, 진공 용기(1) 내에 웨이퍼 W가 존재하지 않는 상태에서 행하는 것이 바람직하다. 웨이퍼 W 위에는 질화막이 성막되어 있기 때문에, 샤워 헤드부(93)로부터 산소가 누설되면, 웨이퍼 W 위에 퇴적된 질화막이 산화되어 버려, 품질을 저하시키기 때문이다.
이러한 관점에서, 산소 퍼지 공정은, 웨이퍼 W가 진공 용기(1) 내에 존재하지 않는 런 간에 행하는 것이 바람직하다. 즉, 웨이퍼 W의 반출이 종료된 단계에서 산소 퍼지 공정을 행하고, 웨이퍼 W를 진공 용기(1) 내에 반입되어 있을 때 및 성막 처리를 행하고 있을 때에는, 산소 퍼지 공정을 행하지 않도록 하는 것이 바람직하다.
성막 완료의 웨이퍼 W의 반출과 다음의 새로운 웨이퍼의 반입의 사이에는, 어느 정도의 시간이 필요하기 때문에, 그 동안에 행하도록 해도 되고, 그것만으로는 시간이 부족한 경우에는, 산소 퍼지 공정의 시간을 어느 정도 설정하도록 해도 된다. 산소 퍼지 공정의 설정 방법에 대해서는, 구체적인 성막 프로세스와의 관계에서 적절히 정할 수 있다.
도 15의 (d)는, 다음 런에 있어서의 성막 처리 시의 샤워 헤드부(93)의 내부의 벽면 상태의 일례를 나타낸 도면이다. 이와 같이, 초기화한 내벽면도, 다음의 성막 프로세스에서 활성화한 염소 가스를 다시 생성하면, 다시 일부의 산소 원자가 염소 원자로 치환되어 버린다.
따라서, 도 15의 (d)가 종료되면, 다시 도 15의 (c)에 도시한 산소 퍼지 공정을 실행한다. 이와 같이, 도 15의 (c)와 (d) 또는 도 15의 (b)와 (c)를 반복함으로써, 항상 샤워 헤드부(93)의 내벽면을 초기화하고, 산소 종단의 표면으로 할 수 있다.
이에 의해, 샤워 헤드부(93)의 내부를 일정한 상태로 유지할 수 있어, 런 횟수가 증가하여도, 런 간의 막 두께 차를 감소시켜, 일정한 막 두께로 성막을 계속하는 것이 가능해진다.
또한, 알루미늄으로 구성되어 있는 샤워 헤드부(93)의 거동을 중심으로 설명하였지만, 리모트 플라스마 발생기(90)의 내부에서는, 다른 개소라도 마찬가지의 현상이 발생하고 있다고 생각되며, 샤워 헤드부(93)뿐만 아니라, 리모트 플라스마 발생기(90) 전체에 유효하다고 생각된다.
[본 개시의 실시 형태에 따른 성막 방법]
다음으로, 본 실시 형태에 있어서의 성막 방법에 대하여 설명한다. 본 실시 형태에 있어서의 성막 방법은, 상술한 성막 장치를 사용한 SiN막의 성막 방법이며, 도 16에 기초하여 설명한다. 또한, 도 16은, 실시 형태에 있어서의 성막 방법에 의해 SiN막을 성막하는 공정을 설명하는 흐름도이다.
처음에, 스텝 102(S102)에 나타낸 바와 같이, 상술한 성막 장치의 진공 용기(1) 내의 회전 테이블(2)의 5개의 오목부(24) 내에 각각 웨이퍼 W를 적재한다.
다음으로, 스텝 104(S104)에 나타낸 바와 같이, 상술한 성막 장치의 진공 용기(1) 내를 배기한다.
다음으로, 스텝 106(S106)에 나타낸 바와 같이, 웨이퍼 W의 트렌치 T가 형성되어 있는 면에 SiN에 의해 하지막 UF를 성막한다.
다음으로, 스텝 108(S108)에 나타낸 바와 같이, 웨이퍼 W의 트렌치 T 내를 SiN에 의해 매립하는 매립막을 성막한다. 구체적으로는, 리모트 플라스마 발생기(90)에 염소 가스를 공급하고, 리모트 플라스마 발생기(90)를 작동시킴으로써, 리모트 플라스마 발생기(90)의 가스 토출부(93d)로부터 활성화된 염소 가스를 공급한다.
매립 성막을 소정의 막 두께까지 행하고, 트렌치 T를 질화막으로 매립하면, 원료 가스, 활성화된 염소 가스의 공급을 정지한다. 또한, 포스트 트리트먼트로 질화 가스만 공급을 계속하는 경우에는, 반응 가스 노즐(32)로부터 질화 가스의 공급을 계속한다.
모든 성막 처리가 종료되면, 회전 테이블(2)의 회전을 정지시켜, 진공 용기(1) 내에서 성막 처리가 실시된 웨이퍼 W를 반출한다. 이에 의해, 진공 용기(1) 내에 웨이퍼 W가 존재하지 않는 상태로 된다.
다음으로, 스텝 120(S120)에 나타낸 바와 같이, 산소 퍼지를 행한다. 구체적으로는, 리모트 플라스마 발생기(90)에 산소를 공급을 정지한다. 그 때, 리모트 플라스마 발생기(90)를 작동시키면, 산소 가스가 활성화되어, 산화 효과를 높일 수 있다. 이에 의해, 샤워 헤드부(93)를 포함하는 리모트 플라스마 발생기(90)의 내부가 산화되고, 염소 원자 종단이 산소 원자 종단으로 치환되어, 리모트 플라스마 발생기(90)의 내부를 초기 상태로 되돌릴 수 있다.
스텝 122(S122)에서는, 성막 처리를 종료할지 여부를 판단한다. 종료되지 않은 경우에는, 스텝 102(S102)로 되돌아가고, 다음 로트의 웨이퍼 W를 진공 용기(1) 내에 반입하여, 회전 테이블(2) 위에 적재한다. 그리고, 스텝 104 내지 스텝 122까지를 반복한다.
런 간에는, 스텝 120의 산소 퍼지 또는 활성화 산소 퍼지를 실행하므로, 항상 리모트 플라스마 발생기(90)의 내부를 초기화할 수 있어, 성막 처리를 반복하여도, 균일한 막 두께로 성막을 계속할 수 있다.
또한, NH3은, 반드시 플라스마에 의해 활성화되어 공급될 필요는 없으며, 질화가 가능하면, 플라스마화되지 않고 공급해도 된다.
[실시예]
도 17은, 본 실시 형태에 따른 성막 방법을 실시한 실시예의 실시 조건을 설명하기 위한 표이며, 도 18은, 실시예의 실시 결과를 설명하기 위한 도면이다.
실시예에 있어서의 성막 처리는, 도 17에 나타낸 조건에서 실시하였다. 즉, 성막 처리에 있어서는, 회전 테이블(2)의 회전 속도를 20rpm, 웨이퍼 W의 온도를 350℃로 설정하고, 압력을 2.0Torr로 설정하였다. 염소 가스의 유량은 5sccm, 리모트 플라스마 발생기(90)의 Ar의 유량은 4000sccm으로 설정하였다. 또한, 반응 가스 노즐(32)로부터는 암모니아를 2000sccm의 유량으로 공급하고, 반응 가스 노즐(31)로부터는 DCS를 1000sccm의 유량으로 공급하였다. 성막 시간은 3600초로 하였다.
또한, 산소 퍼지에서는, 웨이퍼 W의 온도는 350℃, 압력은 2.0Torr로 성막 시와 동일하게 설정하였다. 또한, 산소 퍼지 가스의 유량은 300sccm으로 설정하고, 리모트 플라스마 발생기(90)의 Ar의 유량은 4000sccm으로 설정하였다. 시간은, 600초로 하였다.
도 18은, 실시예의 실시 결과를 정규화하여 나타낸 도면이다. 도 18에 도시된 바와 같이, 1 내지 4회째의 런에 대하여, 막 두께는 거의 1.0으로 되고, 런 횟수를 거듭해도 막 두께는 일정하였다.
이와 같이, 본 실시예에 의하면, 본 실시 형태에 따른 성막 방법에 의해, 런 간의 막 두께를 일정하게 할 수 있음이 설명되었다.
또한, 상기에 있어서의 성막 방법의 설명에서는, 하지막을 성막하는 공정을 포함하는 것이지만, 표면에 하지막 UF가 성막되어 있는 웨이퍼 W를 사용해도 된다. 이 경우에는, 스텝 106을 생략하고, 스텝 102, 스텝 104, 스텝 108, 스텝 120의 순서대로 행한다. 또한, 하지막 UF는, 실리콘 질화막 외에, 실리콘 산화막이어도 된다.
또한, 본 실시 형태에 따른 성막 방법에서는, 질화실리콘막을 성막한 예를 들어 설명하였지만, 원료 가스로서, TiCl4, AlCl3 등의 금속 및 염소를 포함하는 가스를 사용함으로써, TiN, AlN 등의 금속 질화막을 성막하는 것도 가능하다. 금속 원소의 종류도, Ti, Al 외에, 지르코늄(Zr), 하프늄(Hf) 등 다양한 금속 원소 및 염소를 포함하는 원료 가스를 사용하여, 금속 질화막에 의한 매립 성막을 행하는 것이 가능하다.
이상, 본 발명의 바람직한 실시 형태 및 실시예에 대하여 상세히 설명하였지만, 본 발명은, 상술한 실시 형태 및 실시예로 제한되지 않으며, 본 발명의 범위를 일탈하지 않고, 상술한 실시 형태에 다양한 변형 및 치환을 가할 수 있다.

Claims (17)

  1. 플라스마 발생기 내에 있어서 염소 가스를 활성화하는 공정과,
    처리실 내에 마련된 기판의 표면에 상기 활성화된 염소 가스를 흡착시켜, 흡착 저해기를 형성하는 공정과,
    상기 기판의 표면의 상기 흡착 저해기가 형성되지 않은 영역에 실리콘 또는 금속과 염소를 함유하는 원료 가스를 흡착시키는 공정과,
    상기 기판의 표면에 질화 가스를 공급하고, 상기 원료 가스와의 반응에 의해 질화막을 퇴적시키는 공정과,
    상기 처리실로부터 상기 질화막이 퇴적한 상기 기판을 반출하는 공정과,
    상기 플라스마 발생기 내를 활성화한 산소 가스로 퍼지하는 공정을 갖는, 성막 방법.
  2. 제1항에 있어서,
    상기 흡착 저해기를 형성하는 공정, 상기 원료 가스를 흡착시키는 공정 및 상기 질화막을 퇴적시키는 공정을 1 사이클로 하고, 상기 1 사이클을 소정 횟수 반복하여 상기 질화막을 성막하는, 성막 방법.
  3. 제2항에 있어서,
    상기 염소 가스를 활성화하는 공정은, 상기 1 사이클 중 계속해서 행해지는,성막 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 플라스마 발생기 내를 활성화한 산소 가스로 퍼지하는 공정의 후에, 기판을 상기 처리실 내에 반입하는 공정을 더 갖는, 성막 방법.
  5. 제4항에 있어서,
    상기 염소 가스를 활성화하는 공정, 상기 흡착 저해기를 형성하는 공정, 상기 원료 가스를 흡착시키는 공정, 상기 질화막을 퇴적시키는 공정, 상기 플라스마 발생기 내를 활성화한 산소 가스로 퍼지하는 공정 및 상기 기판을 상기 처리실 내에 반입하는 공정을 복수회 반복하는, 성막 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 금속은 티타늄 또는 알루미늄을 포함하는, 성막 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 기판은 실리콘 기판인, 성막 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 기판에는 오목부 패턴이 형성되어 있으며,
    상기 질화막을 퇴적시키는 공정에 있어서 퇴적되는 상기 질화막에 의해, 상기 오목부 패턴이 매립되는, 성막 방법.
  9. 제8항에 있어서,
    상기 활성화된 염소 가스는 상기 오목부 패턴의 상부 및 상기 오목부 패턴끼리 사이의 상기 기판의 평탄면 위에 흡착하고,
    상기 흡착 저해기가 형성되지 않은 영역은, 상기 오목부 패턴의 저면을 포함하는, 성막 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 기판의 표면에는, 하지막이 미리 형성되어 있는, 성막 방법.
  11. 제10항에 있어서,
    상기 하지막은, 실리콘 질화막 또는 실리콘 산화막인, 성막 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 염소 가스를 활성화시키는 공정 전에, 상기 기판의 표면에 하지막을 성막하는 공정을 포함하며,
    상기 하지막을 성막하는 공정은,
    상기 기판의 표면에 실리콘 함유 가스를 공급하고, 상기 실리콘 함유 가스를 흡착시키는 공정과,
    상기 기판의 표면에 상기 질화 가스를 공급하고, 상기 실리콘 함유 가스와의 반응에 의해 실리콘 질화막을 퇴적시키는 공정을
    포함하는, 성막 방법.
  13. 제12항에 있어서,
    상기 실리콘 함유 가스를 흡착시키는 공정과, 상기 실리콘 질화막을 퇴적시키는 공정을 소정 횟수 반복하여 상기 하지막을 성막하는, 성막 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 질화 가스는, 플라스마에 의해 활성화되어 있는, 성막 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 기판은, 회전 테이블 위에 주위 방향을 따라 배치되어 있으며,
    상기 회전 테이블의 회전 방향을 따라서, 활성화 염소 흡착 영역, 원료 가스 흡착 영역 및 질화 영역이 순서대로 서로 이격하여 배치되어 있으며,
    상기 회전 테이블이 상기 회전 방향으로 회전함으로써, 상기 흡착 저해기를 형성하는 공정, 상기 원료 가스를 흡착시키는 공정 및 상기 질화막을 퇴적시키는 공정을 순차 반복하는, 성막 방법.
  16. 제14항에 있어서,
    상기 활성화 염소 흡착 영역과 상기 원료 가스 흡착 영역의 사이, 및 상기 원료 가스 흡착 영역과 상기 질화 영역의 사이에는, 상기 기판의 표면을 퍼지하는 퍼지 영역이 마련되어 있으며,
    상기 흡착 저해기를 형성하는 공정과 상기 원료 가스를 흡착시키는 공정의 사이, 및 상기 원료 가스를 흡착시키는 공정과 상기 질화막을 퇴적시키는 공정의 사이에는, 상기 기판의 표면을 퍼지하는 공정이 마련된, 성막 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서,
    상기 활성화된 염소 가스는, 리모트 플라스마 발생기 내에서 생성되고,
    상기 활성화한 산소 가스는, 상기 리모트 플라스마 발생기 내에서 생성되는, 성막 방법.
KR1020200007084A 2019-01-30 2020-01-20 성막 방법 KR102640001B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2019-014764 2019-01-30
JP2019014764A JP7090568B2 (ja) 2019-01-30 2019-01-30 成膜方法

Publications (2)

Publication Number Publication Date
KR20200094662A true KR20200094662A (ko) 2020-08-07
KR102640001B1 KR102640001B1 (ko) 2024-02-27

Family

ID=71733596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200007084A KR102640001B1 (ko) 2019-01-30 2020-01-20 성막 방법

Country Status (3)

Country Link
US (1) US11404265B2 (ko)
JP (1) JP7090568B2 (ko)
KR (1) KR102640001B1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7223047B2 (ja) * 2021-03-03 2023-02-15 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
WO2023164717A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Surface inhibition atomic layer deposition

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP2000174007A (ja) * 1998-12-07 2000-06-23 Tokyo Electron Ltd 熱処理装置
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP2006190977A (ja) * 2004-12-09 2006-07-20 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
US20060289910A1 (en) * 2004-07-29 2006-12-28 Youn-Sub Lim Image sensor and method for fabricating the same
JP2013135154A (ja) 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP2017092310A (ja) * 2015-11-12 2017-05-25 東京エレクトロン株式会社 ハロゲン系ガスを用いる処理装置における処理方法
JP2018137369A (ja) * 2017-02-22 2018-08-30 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4226597B2 (ja) 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7863198B2 (en) 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
WO2012147680A1 (ja) 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20140199854A1 (en) 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
JP6347544B2 (ja) 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6468955B2 (ja) 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
JP6509095B2 (ja) 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US10163629B2 (en) 2015-11-16 2018-12-25 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
JP6545094B2 (ja) 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6576277B2 (ja) 2016-03-23 2019-09-18 東京エレクトロン株式会社 窒化膜の形成方法
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6869141B2 (ja) 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6968011B2 (ja) 2018-03-19 2021-11-17 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP2000174007A (ja) * 1998-12-07 2000-06-23 Tokyo Electron Ltd 熱処理装置
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
US20060289910A1 (en) * 2004-07-29 2006-12-28 Youn-Sub Lim Image sensor and method for fabricating the same
JP2006190977A (ja) * 2004-12-09 2006-07-20 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
JP2013135154A (ja) 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP2017092310A (ja) * 2015-11-12 2017-05-25 東京エレクトロン株式会社 ハロゲン系ガスを用いる処理装置における処理方法
JP2018137369A (ja) * 2017-02-22 2018-08-30 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
JP7090568B2 (ja) 2022-06-24
JP2020123673A (ja) 2020-08-13
KR102640001B1 (ko) 2024-02-27
US11404265B2 (en) 2022-08-02
US20200243330A1 (en) 2020-07-30

Similar Documents

Publication Publication Date Title
KR102241266B1 (ko) 성막 방법 및 성막 장치
JP6767885B2 (ja) 保護膜形成方法
JP6869141B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
KR102064640B1 (ko) 성막 방법 및 성막 장치
JP6873007B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
KR102640001B1 (ko) 성막 방법
KR20120112116A (ko) 성막 장치 및 성막 방법
KR102548628B1 (ko) 실리콘 질화막의 성막 방법 및 성막 장치
KR20170077047A (ko) 보호막 형성 방법
KR20200096128A (ko) 성막 방법
KR102119299B1 (ko) 성막 장치
KR20190065934A (ko) 실리콘 질화막의 성막 방법 및 성막 장치
JP6832808B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
KR102454904B1 (ko) 성막 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant