KR20150140339A - 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법 - Google Patents

에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법 Download PDF

Info

Publication number
KR20150140339A
KR20150140339A KR1020157031644A KR20157031644A KR20150140339A KR 20150140339 A KR20150140339 A KR 20150140339A KR 1020157031644 A KR1020157031644 A KR 1020157031644A KR 20157031644 A KR20157031644 A KR 20157031644A KR 20150140339 A KR20150140339 A KR 20150140339A
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
layer
etching
alkyl group
Prior art date
Application number
KR1020157031644A
Other languages
English (en)
Other versions
KR101755420B1 (ko
Inventor
테츠야 카미무라
아키코 코야마
사토미 타카하시
아츠시 미주타니
야수오 수기시마
Original Assignee
후지필름 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 가부시키가이샤 filed Critical 후지필름 가부시키가이샤
Publication of KR20150140339A publication Critical patent/KR20150140339A/ko
Application granted granted Critical
Publication of KR101755420B1 publication Critical patent/KR101755420B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System compounds of the platinum group
    • C07F15/0086Platinum compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System

Abstract

저마늄(Ge)을 포함하는 제1 층과, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 적어도 1종의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 제2 층을 선택적으로 제거하는 에칭 방법으로서, 알칼리 화합물을 포함하는 에칭액을 제2 층에 접촉시켜 제2 층을 제거하는 반도체 기판의 에칭 방법.

Description

에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법{ETCHING METHOD, ETCHING SOLUTION USED IN SAME, ETCHING SOLUTION KIT, AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE PRODUCT}
본 발명은, 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법에 관한 것이다.
집적회로의 제조는 다단계의 다양한 가공 공정으로 구성되어 있다. 구체적으로 그 제조 과정에서는, 다양한 재료의 퇴적, 필요한 부분 또는 전체적으로 노출된 층의 리소그래피, 혹은 그 층의 에칭 등이 여러 차례 반복된다. 그 중에서도, 금속이나 금속 화합물의 층의 에칭은 중요한 프로세스가 된다. 금속 등을 선택적으로 에칭하고, 그 외의 층에 대해서는 부식시키지 않고 잔존시켜야 한다. 경우에 따라서는, 유사한 금속종으로 이루어지는 층끼리나, 보다 부식성이 높은 층을 남기는 형태로 소정의 층만을 제거하는 것이 요구된다. 반도체 기판 내의 배선이나 집적회로의 사이즈는 점점 더 작아져, 정확하게 부식시키지 않고 에칭을 행하는 중요성은 더 높아지고 있다.
전계 효과 트랜지스터를 예로 들어 보면, 그 급속한 미세화에 따라, 소스·드레인 영역의 상면에 형성되는 실리사이드층의 박막화나, 신규 재료의 개발이 강하게 요구되어 왔다. 이 실리사이드층을 형성하는 샐리사이드(Salicide: Self-Aligned Silicide) 프로세스에서는, 반도체 기판 상에 형성된 실리콘 등으로 이루어지는 소스 영역 및 드레인 영역의 일부와 그 상면에 부착된 금속층을 어닐링한다. 금속층으로서는, 텅스텐(W), 타이타늄(Ti), 코발트(Co) 등이 적용되며, 최근에는 니켈(Ni)이 채용되고 있다. 이로써, 소스·드레인 전극 등의 상측에 저저항의 실리사이드층을 형성할 수 있다. 최근에는, 추가적인 미세화에 따라 귀금속인 백금(Pt)을 첨가한 NiPt 실리사이드층을 형성하는 것도 제안되고 있다.
샐리사이드 공정 후에 있어서는, 거기에 남겨진 금속층을 에칭에 의하여 제거한다. 이 에칭은 통상 웨트 에칭에 의하여 행해지며, 그 약액으로서 염산과 질산의 혼합액(왕수)이 적용되고 있다. 특허문헌 1은, 질산 및 염산에 더하여, 톨루엔설폰산을 첨가한 약액을 이용하는 예를 개시하고 있다.
특허문헌 1: 국제 공개공보 제2012/125401호 팸플릿
본 발명의 목적은, 저마늄을 포함하는 층에 대하여, 특정 금속을 포함하는 층을 선택적으로 제거할 수 있는 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법의 제공에 있다.
상기의 특허문헌을 비롯하여 이 계의 에칭액에는 산성의 왕수가 이용된다. 그러나, 본 발명자들은 이것과는 다른 알칼리성의 에칭액을 적용하는 것을 검토했다. 그 결과, 하기 실시예에 나타내는 바와 같이 저마늄에 대하여 낮은 에칭성(내손상성)을 나타내는 한편 타이타늄 등의 금속층을 적합하게 제거할 수 있는 것을 확인했다. 본 발명은 이와 같은 지견에 근거하여 완성되었다.
상기의 과제는 이하의 수단에 의하여 해결되었다.
〔1〕저마늄(Ge)을 포함하는 제1 층과, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 적어도 1종의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 제2 층을 선택적으로 제거하는 에칭 방법으로서, 알칼리 화합물을 포함하는 에칭액을 제2 층에 접촉시켜 제2 층을 제거하는 반도체 기판의 에칭 방법.
〔2〕제1 층의 저마늄(Ge)의 농도가 40질량% 이상인 〔1〕에 기재된 에칭 방법.
〔3〕알칼리 화합물이, 하기 식 (I-1)로 나타나는 무기염기, 하기 식 (O-1)~(O-5) 중 어느 하나로 나타나는 유기염기, 하기 식 (H-1)로 나타나는 하이드라진류, 하기 식 (a-1)~(a-8)로부터 선택되는 반복 단위를 갖는 화합물, 혹은 하기 식 (b)로 나타나는 화합물인 〔1〕 또는 〔2〕에 기재된 에칭 방법.
M(OH)nI (I-1)
M은, 알칼리 금속, 알칼리 토류 금속, NH4, NRN 2(RN은 수소 원자 또는 탄소수 1~6의 알킬기), 천이 원소, 또는 희토류 원소이다. nI는 정수이다.
[화학식 1]
Figure pct00001
식 중, RO1~RO6은, 각각 독립적으로, 아실기, 알콕시기, 알콕시카보닐기, 알콕시카보닐아미노기, 하기 식 (x)로 나타나는 기, 알킬기, 알켄일기, 알카인일기, 아릴기, 또는 헤테로환기를 나타낸다.
X1-(Rx1-X2)mx-Rx2-* (x)
X1은 탄소수 0~4의 아미노기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Rx1 및 Rx2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. X2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. mx는 0~6의 정수를 나타낸다. *는 결합손이다.
[화학식 2]
Figure pct00002
식 중, RO7~RO10은 각각 독립적으로 탄소수 1~20의 알킬기, 탄소수 2~20의 알켄일기, 탄소수 2~20의 알카인일기, 탄소수 6~14의 아릴기, 탄소수 7~15의 아랄킬기, 또는 하기 식 (y)로 나타나는 기이다.
Y1-(Ry1-Y2)my-Ry2-* (y)
Y1은 탄소수 1~12의 알킬기, 탄소수 2~12의 알켄일기, 탄소수 2~12의 알카인일기, 탄소수 7~15의 아랄킬기, 탄소수 6~14의 아릴기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Y2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. Ry1 및 Ry2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. my는 0~6의 정수를 나타낸다. my가 2 이상일 때 복수의 Ry1 및 Y2는 각각 상이해도 된다. Ry1 및 Ry2는 추가로 치환기 T를 갖고 있어도 된다. *는 결합손이다.
RO11은 RO7과 동의인 기이다. RO12는 치환기이다. mO는 0~5의 정수이다.
M4-, M5-는 반대 이온이다.
RH1 2N-NRH2 2 (H-1)
RH1, RH2는, 각각 독립적으로, 수소 원자, 탄소수 1~6의 알킬기, 탄소수 2~6의 알켄일기, 탄소수 2~6의 알카인일기, 탄소수 6~10의 아릴기, 탄소수 7~15의 아랄킬기를 나타낸다.
[화학식 3]
Figure pct00003
Ra는, 수소 원자, 알킬기, 알켄일기, 아릴기, 또는 헤테로환기를 나타낸다. Rb는, 알킬기 또는 알켄일기를 나타낸다. La는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기 또는 카보닐기가 바람직하다. Lb는, 단결합, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. Rc는, 수소 원자 또는 알킬기를 나타낸다. n은 0 이상의 정수를 나타낸다. Q1~Q3은 각각 독립적으로 함질소 복소환을 나타낸다.
Rc 2N-[Ld-N(Rc)]m-Ld-NRc 2 (b)
Rc는, 수소 원자 또는 알킬기를 나타낸다. m은 0 이상의 정수를 나타낸다. Ld는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다.
〔4〕액중의 알칼리 화합물의 함유량이 0.01~20질량%인 〔1〕 내지 〔3〕 중 어느 하나에 기재된 에칭 방법.
〔5〕에칭액에 의한 에칭의 전후 중 어느 한 시점에 있어서, 제1 층 및 제2 층 중 적어도 어느 하나에 가열 처리를 실시하는 〔1〕 내지 〔4〕 중 어느 하나에 기재된 에칭 방법.
〔6〕제2 층을, 제1 층 및 하기 제3 층에 대하여 선택적으로 제거하는 〔1〕 내지 〔5〕 중 어느 하나에 기재된 에칭 방법.
[제3 층: 제1 층과 제2 층과의 사이에 개재하는 저마늄(Ge) 및 특정 금속 원소를 함유하는 층]
〔7〕에칭액을 반도체 기판에 부여할 때, 반도체 기판을 회전시켜, 그 회전 중인 반도체 기판 상면으로부터 노즐을 통하여 에칭액을 공급하는 〔1〕 내지 〔6〕 중 어느 하나에 기재된 에칭 방법.
〔8〕노즐을 반도체 기판의 회전에 대하여 상대 운동시키면서, 에칭액을 부여하는 〔7〕에 기재된 에칭 방법.
〔9〕제2 층에 접촉할 때의 에칭액의 온도가 15~80℃의 범위인 〔1〕 내지 〔8〕 중 어느 하나에 기재된 에칭 방법.
〔10〕기판 1매의 에칭에 필요한 시간이 10~180초의 범위인 〔1〕 내지 〔9〕 중 어느 하나에 기재된 에칭 방법.
〔11〕에칭의 전후 중 적어도 어느 한 시점에 반도체 기판을 물로 세정하는 공정을 포함하는 〔1〕 내지 〔10〕 중 어느 하나에 기재된 에칭 방법.
〔12〕에칭액이 산화제를 추가로 포함하고, 산화제를 포함하지 않는 제1 액과 산화제를 포함하는 제2 액으로 구분하여 보존되는 〔1〕 내지 〔11〕 중 어느 하나에 기재된 에칭 방법.
〔13〕제1 액 및 제2 액을, 반도체 기판의 에칭 시에 적시에 혼합하는 〔12〕에 기재된 에칭 방법.
〔14〕에칭액이 추가로 하기 유기 첨가제를 함유하는 〔1〕 내지 〔13〕 중 어느 하나에 기재된 에칭 방법.
[유기 첨가제: 질소 원자, 황 원자, 인 원자, 혹은 산소 원자를 함유하는 유기 화합물로 이루어지는 첨가제]
〔15〕저마늄(Ge)을 포함하는 제1 층과, 저마늄(Ge) 이외의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 제2 층을 선택적으로 제거하는 에칭액으로서, 알칼리 화합물을 포함하는 에칭액.
〔16〕제1 층의 저마늄(Ge)의 농도가 40질량% 이상인 〔15〕에 기재된 에칭액.
〔17〕제2 층을 구성하는 특정 금속 원소가, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 〔15〕 또는 〔16〕에 기재된 에칭액.
〔18〕알칼리 화합물이, 하기 식 (I-1)로 나타나는 무기염기, 하기 식 (O-1)~(O-5) 중 어느 하나로 나타나는 유기염기, 하기 식 (H-1)로 나타나는 하이드라진류, 하기 식 (a-1)~(a-8)로부터 선택되는 반복 단위를 갖는 화합물, 혹은 하기 식 (b)로 나타나는 화합물인 〔15〕 내지 〔17〕 중 어느 하나에 기재된 에칭액.
M(OH)nI (I-1)
M은, 알칼리 금속, 알칼리 토류 금속, NH4, NRN 2(RN은 수소 원자 또는 탄소수 1~6의 알킬기), 천이 원소, 또는 희토류 원소이다. nI는 정수이다.
[화학식 4]
Figure pct00004
식 중, RO1~RO6은, 각각 독립적으로, 아실기, 알콕시기, 알콕시카보닐기, 알콕시카보닐아미노기, 하기 식 (x)로 나타나는 기, 알킬기, 알켄일기, 알카인일기, 아릴기, 또는 헤테로환기를 나타낸다.
X1-(Rx1-X2)mx-Rx2-* (x)
X1은 탄소수 0~4의 아미노기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Rx1 및 Rx2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. X2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. mx는 0~6의 정수를 나타낸다. *는 결합손이다.
[화학식 5]
Figure pct00005
식 중, RO7~RO10은 각각 독립적으로 탄소수 1~20의 알킬기, 탄소수 2~20의 알켄일기, 탄소수 2~20의 알카인일기, 탄소수 6~14의 아릴기, 탄소수 7~15의 아랄킬기, 또는 하기 식 (y)로 나타나는 기이다.
Y1-(Ry1-Y2)my-Ry2-* (y)
Y1은 탄소수 1~12의 알킬기, 탄소수 2~12의 알켄일기, 탄소수 2~12의 알카인일기, 탄소수 7~15의 아랄킬기, 탄소수 6~14의 아릴기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Y2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. Ry1 및 Ry2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. my는 0~6의 정수를 나타낸다. my가 2 이상일 때 복수의 Ry1 및 Y2는 각각 상이해도 된다. Ry1 및 Ry2는 추가로 치환기 T를 갖고 있어도 된다. *는 결합손이다.
RO11은 RO7과 동의인 기이다. RO12는 치환기이다. mO는 0~5의 정수이다.
M4-, M5-는 반대 이온이다.
RH1 2N-NRH2 2 (H-1)
RH1, RH2는, 각각 독립적으로, 수소 원자, 탄소수 1~6의 알킬기, 탄소수 2~6의 알켄일기, 탄소수 2~6의 알카인일기, 탄소수 6~10의 아릴기, 탄소수 7~15의 아랄킬기를 나타낸다.
[화학식 6]
Figure pct00006
Ra는, 수소 원자, 알킬기, 알켄일기, 아릴기, 또는 헤테로환기를 나타낸다. Rb는, 알킬기 또는 알켄일기를 나타낸다. La는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기 또는 카보닐기가 바람직하다. Lb는, 단결합, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. Rc는, 수소 원자 또는 알킬기를 나타낸다. n은 0 이상의 정수를 나타낸다. Q1~Q3은 각각 독립적으로 함질소 복소환을 나타낸다.
Rc 2N-[Ld-N(Rc)]m-Ld-NRc 2 (b)
Rc는, 수소 원자 또는 알킬기를 나타낸다. m은 0 이상의 정수를 나타낸다. Ld는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다.
〔19〕알칼리 화합물의 함유량이 0.01~20질량%인 〔15〕 내지 〔18〕 중 어느 하나에 기재된 에칭액.
〔20〕제2 층을, 제1 층 및 제3 층에 대하여 선택적으로 제거하는 〔15〕 내지 〔19〕 중 어느 하나에 기재된 에칭액.
[제3 층: 제1 층과 제2 층과의 사이에 개재하는 저마늄(Ge) 및 특정 금속 원소를 함유하는 층]
〔21〕추가로 하기 유기 첨가제를 함유하는 〔15〕 내지 〔20〕 중 어느 하나에 기재된 에칭액.
[유기 첨가제: 질소 원자, 황 원자, 인 원자, 혹은 산소 원자를 함유하는 유기 화합물로 이루어지는 첨가제]
〔22〕저마늄(Ge)을 포함하는 제1 층과, 저마늄(Ge) 이외의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 제2 층을 선택적으로 제거하는 에칭액의 키트로서,
알칼리 화합물을 포함하는 제1 액과, 산화제를 포함하는 제2 액을 구비하는 에칭액의 키트.
〔23〕저마늄(Ge)을 포함하는 제1 층을 갖는 반도체 기판 제품의 제조 방법으로서,
적어도, 제1 층과, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 적어도 1종의 제2 층을 반도체 기판에 형성하는 공정,
반도체 기판을 가열하여 제1 층과 제2 층과의 사이에 양 층의 성분을 함유하는 제3 층을 형성하는 공정,
알칼리 화합물을 포함하는 에칭액을 준비하는 공정, 및
에칭액을 제2 층에 접촉시켜, 제1 층 및/또는 제3 층에 대하여 제2 층을 선택적으로 제거하는 공정을 포함하는 반도체 기판 제품의 제조 방법.
본 발명의 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법에 따르면, 저마늄을 포함하는 층에 대하여, 특정 금속을 포함하는 층을 선택적으로 제거할 수 있다. 또, 본 발명에 의하면, 상기 특정 금속층의 제거와 함께, 기판 상의 파티클의 제거도 적합하게 달성할 수 있다.
본 발명의 상기 및 다른 특징 및 이점은, 하기의 기재 및 첨부된 도면으로부터 보다 명확해질 것이다.
도 1은 본 발명의 일 실시형태에 있어서의 반도체 기판의 제작 공정예를 모식적으로 나타내는 단면도이다.
도 2는 본 발명의 일 실시형태에 있어서의 MOS 트랜지스터의 제조예를 나타내는 공정도이다.
도 3은 본 발명의 바람직한 실시형태에 관한 웨트 에칭 장치의 일부를 나타내는 장치 구성도이다.
도 4는 본 발명의 일 실시형태에 있어서의 반도체 기판에 대한 노즐의 이동 궤적선을 모식적으로 나타내는 평면도이다.
도 5는 면내 균일성 시험의 웨이퍼의 측정 개소를 나타낸 평면도이다.
도 6은 본 발명의 다른 실시형태에 관한 기판 구조를 모식적으로 나타내는 단면도이다.
먼저, 본 발명의 에칭 방법에 관한 에칭 공정의 바람직한 실시형태에 대하여, 도 1, 도 2에 근거하여 설명한다.
[에칭 공정]
도 1은 에칭 전후의 반도체 기판을 나타낸 도이다. 본 실시형태의 제조예에 있어서는, 실리콘층(제1 층)(2)의 상면에 금속층(제2 층)(1)이 배치되어 있다. 실리콘층(제1 층)으로서는 소스 전극, 드레인 전극을 구성하는 SiGe 에피텍셜층이 적용되어 있다. 본 발명에 있어서는, SiGe 에피텍셜층인 것이, 그 에칭액의 현저한 효과가 발휘되기 때문에 바람직하다.
금속층(제2 층)(1)의 구성 재료로서는, 텅스텐(W), 타이타늄(Ti), 코발트(Co), 니켈(Ni), NiPt 등을 들 수 있다. 금속층의 형성은 통상 이 종의 금속막의 형성에 적용되는 방법을 이용할 수 있으며, 구체적으로는. CVD(Chemical Vapor Deposition)에 의한 성막을 들 수 있다. 이 때의 금속층의 두께는 특별히 한정되지 않지만, 5nm 이상 50nm 이하의 막의 예를 들 수 있다. 본 발명에 있어서는, 금속층이 NiPt층(Pt 함유율 0질량% 초과 20질량% 이하가 바람직함), Ni층(Pt 함유율 0질량%)인 것이, 그 에칭액의 현저한 효과가 발휘되기 때문에 바람직하다.
금속층은, 상기에 든 금속 원자 이외에, 그 외의 원소를 포함하고 있어도 된다. 예를 들면, 불가피적으로 혼입되는 산소나 질소는 존재하고 있어도 된다. 불가피 불순물의 양은 예를 들면, 1ppt~10ppm(질량 기준) 정도로 억제되어 있는 것이 바람직하다.
또 반도체 기판에는, 상기 재료 이외에, 에칭되는 것을 원하지 않는 재료가 존재하는 경우가 있다. 본 발명의 에칭액은 에칭되는 것을 원하지 않는 재료의 부식 등을 최소한으로 억제할 수 있다. 에칭되는 것을 원하지 않는 재료로서는, Al, SiO2, SiN, SiOC, HfO 및 TiAlC로 이루어지는 군으로부터 선택되는 적어도 1종을 들 수 있다.
상기의 공정 (a)에 있어서 실리콘층(2)의 상측에 금속층(1)이 형성된 후, 어닐링(소결)이 행해져, 그 계면에 금속-Si 반응막(제3 층: 저마늄 실리사이드층)(3)이 형성된다(공정 (b)). 어닐링은 통상 이 종의 소자의 제조에 적용되는 조건에 따르면 되지만, 예를 들면 200~1000℃에서 처리하는 것을 들 수 있다. 이 때의 실리사이드층(3)의 두께는 특별히 한정되지 않지만, 50nm 이하의 층으로 되어 있는 예를 들 수 있으며, 또한 10nm 이하의 층으로 되어 있는 예를 들 수 있다. 하한값은 특별히 없지만, 1nm 이상인 것이 실제적이다. 이 저마늄 실리사이드층은 저저항막으로서 적용되며, 그 하부에 위치하는 소스 전극, 드레인 전극과, 그 상부에 배치되는 배선을 전기적으로 접속하는 도전부로서 기능한다. 따라서, 저마늄 실리사이드층에 결손이나 부식이 발생하면 이 도통이 저해되어, 소자 오작동 등의 품질 저하로 이어지는 경우가 있다. 특히, 최근, 기판 내부의 집적회로 구조는 미세화되고 있어, 미소한 손상이더라도 소자의 성능에 있어서 큰 영향을 줄 수 있다. 이로 인하여, 이와 같은 결손이나 부식은 가급적 방지되는 것이 바람직하다.
다만, 본 명세서에 있어서, 넓은 의미로는, 저마늄 실리사이드층은, 제1 층의 저마늄 함유층에 포함되는 개념이다. 따라서, 제1 층에 대하여 제2 층을 선택적으로 제거할 때에는, 실리사이드화되어 있지 않은 저마늄 함유층에 대하여 제2 층(금속층)을 우선적으로 제거하는 양태뿐만 아니라, 저마늄 실리사이드층에 대하여 제2 층(금속층)을 우선적으로 제거하는 양태를 포함하는 의미이다. 좁은 의미로, 제1 층의 저마늄 함유층(저마늄 실리사이드층을 제외함)과 제3 층의 저마늄 실리사이드층을 구별하여 설명할 때에는, 각각 제1 층 및 제3 층이라고 한다.
다음으로, 잔존한 금속층(1)의 에칭이 행해진다(공정 (b)->공정 (c)). 본 실시형태에 있어서는, 이 때 에칭액이 적용되어, 금속층(1)의 상측으로부터 에칭액을 부여하여 접촉시킴으로써, 금속층(1)을 제거한다. 에칭액의 부여 형태에 대해서는 후술한다.
실리콘층(2)은, SiGe 에피텍셜층으로 이루어지며, 화학적 기상 성장(CVD)법에 의하여, 특정의 결정성을 갖는 실리콘 기판 상에 결정 성장시켜 형성할 수 있다. 혹은, 전자선 에피텍시(MBE)법 등에 의하여, 원하는 결정성으로 형성한 에피텍셜층으로 해도 된다.
실리콘층을 P형의 층으로 하려면, 농도가 1×1014cm-3~1×1021cm-3 정도의 붕소(B)가 도프되는 것이 바람직하다. N형의 층으로 하려면, 인(P)이 1×1014cm-3~1×1021cm-3의 농도로 도프되는 것이 바람직하다.
SiGe 에피텍셜층에 있어서의 Ge 농도는, 20~100질량%인 것이 바람직하고, 40~90질량%인 것이 보다 바람직하다. Ge 농도를 상기의 범위로 함으로써, 처리 후의 웨이퍼의 면내 균일성을 향상시킬 수 있어 바람직하다. Ge가 비교적 고농도인 것이 바람직한 이유로서는 이하와 같이 추정된다. 즉, Ge와 Si를 비교한 경우에, Si는 산화된 후에 산화막 SiOx를 생성하고, 이 산화종은 용출되지 않아 반응 정지층이 된다고 해석된다. 이로 인하여, 웨이퍼 내에서, Ge가 용출된 부분과, SiOx에 의하여 반응이 정지한 부분에 차가 발생하여, 결과적으로 웨이퍼의 면내 균일성이 손상될 수 있다. 한편, Ge 농도가 높아지면 상기 기구에서의 SiOx에 의한 저해의 영향이 작아져, 특히 본 발명의 에칭액과 같이 금속층에 대하여 높은 제거성이 있는 약액을 적용했을 때에 웨이퍼의 면내 균일성을 확보할 수 있다고 생각된다. 다만, 저마늄 100질량%의 경우, 그 어닐링에 의하여 제2 층의 합금을 따라 형성되는 층은, 저마늄과 제2 층의 특정 금속 원소를 포함하고, 실리콘을 포함하지 않지만, 본 명세서에서는 편의상 이를 포함하여 저마늄 실리사이드층이라고 칭한다.
저마늄 실리사이드층(제3 층)은, 상기 제1 층과 제2 층과의 사이에 개재하는 저마늄(Ge) 및 상기 특정 금속 원소를 함유하는 층이다. 그 조성은, 특별히 한정되지 않지만, SixGeyMz(M: 금속 원소)의 식에서, x+y+z=1로서, y에 대해서는, 0.2≤x+y≤0.8인 것이 바람직하고, 0.3≤x+y≤0.7인 것이 보다 바람직하다. z에 대해서는, 0.2≤z≤0.8인 것이 바람직하고, 0.3≤z≤0.7인 것이 보다 바람직하다. x와 y의 비율의 바람직한 범위는 상기에서 규정한 바와 같다. 단, 제3 층에는 그 외의 원소가 포함되어 있어도 된다. 이는, 상기 금속층(제2 층)에서 설명한 바와 같다.
(MOS 트랜지스터의 가공)
도 2는, MOS 트랜지스터의 제조예를 나타내는 공정도이다. (A)는 MOS 트랜지스터 구조의 형성 공정, (B)는 금속막의 스퍼터링 공정, (C)는 1회째의 어닐링 공정, (D)는 금속막의 선택 제거 공정, (E)는 2회째의 어닐링 공정이다.
도면에 나타내는 바와 같이, 실리콘 기판(21)의 표면에 형성된 게이트 절연막(22)을 통하여 게이트 전극(23)이 형성되어 있다. 실리콘 기판(21)의 게이트 전극(23)의 양측에 익스텐션 영역이 별도 형성되어 있어도 된다. 게이트 전극(23)의 상측에, NiPt층과의 접촉을 방지하는 보호층(도시하지 않음)이 형성되어 있어도 된다. 또한, 실리콘 산화막 또는 실리콘 질화막으로 이루어지는 사이드 월(25)이 형성되고, 이온 주입에 의하여 소스 영역(26) 및 드레인 영역(27)이 형성되어 있다.
다음으로, 도면에 나타내는 바와 같이, NiPt막(28)이 형성되어, 급속 어닐링 처리가 실시된다. 이로써, NiPt막(28) 중의 원소를 실리콘 기판 중에 확산시켜 실리사이드화(본 명세서에서는, 저마늄 100질량%일 때도 포함하여, 편의상, 어닐링에 의한 합금화를 실리사이드화라고 칭함)시킨다. 그 결과, 소스 전극(26) 및 드레인 전극(27)의 상부가 실리사이드화되어, NiPtGeSi 소스 전극부(26A) 및 NiPtSiGe 드레인 전극부(27A)가 형성된다. 이 때, 필요에 따라, 도 2(E)에 나타낸 바와 같이 2회째의 어닐링을 함으로써 전극 부재를 원하는 상태로 변화시킬 수 있다. 상기 1회째와 2회째의 어닐링 온도는 특별히 한정되지 않지만, 예를 들면 400~1100℃에서 행할 수 있다.
실리사이드화에 기여하지 않고 남은 NiPt막(28)은, 본 발명의 에칭액을 이용함으로써 제거할 수 있다(도 2(C)(D)). 이 때, 도시한 것은 큰 폭으로 모식화하여 나타내고 있으며, 실리사이드화된 층(26A, 27A)의 상부에 퇴적하여 남는 NiPt막이 있어도 되고 없어도 된다. 반도체 기판 내지 그 제품의 구조도 간략화하여 도시하고 있으며, 필요에 따라서, 필요한 부재가 있는 것으로서 해석하면 된다.
21 실리콘 기판: Si, SiGe, Ge
22 게이트 절연막: HfO2(High-k)
23 게이트 전극: Al, W, TIN or Ta
25 사이드 월: SiOCN, SiN, SiO2(low-k)
26 소스 전극: SiGe, Ge
27 드레인 전극: SiGe, Ge
28 금속층: Ni, Pt, Ti
도시하지 않음 캡: TIN
본 발명의 에칭 방법이 적용되는 반도체 기판을 상술했지만, 이 구체예에 한정하지 않고, 다른 반도체 기판에도 적용할 수 있다. 예를 들면, 소스 및/또는 드레인 영역 상에 실리사이드 패턴을 갖는 고유전막/금속 게이트 FinFET를 포함하는 반도체 기판을 들 수 있다.
도 6은 본 발명의 다른 실시형태에 관한 기판 구조를 모식적으로 나타내는 단면도이다. 90A는, 제1 디바이스 영역에 위치하는 제1 게이트 스택이다. 90B는, 제2 소자 영역에 위치하는 제2 게이트 스택이다. 여기에서, 게이트 스택은, 도전성 탄탈럼 합금층 또는 TiAlC를 함유한다. 제1 게이트 스택에 대하여 설명하면, 92A는 웰이다. 94A가 제1 소스/드레인 확장 영역, 96A가 제1 소스/드레인 영역, 91A가 제1 금속 반도체 합금 부분이다. 95A가 제1 게이트 스페이서이다. 97A가 제1 게이트 절연막이며, 81이 제1 일함수 재료층(first work function material layer), 82A가 제2 일함수 재료층(second work function material layer)이다. 83A가 전극이 되는 제1 금속부이다. 93은 트렌치 구조부이며, 99는 평탄화 유전체층이다. 80은 하층 반도체층이다.
제1 게이트 스택도 동일한 구조이며, 그 91B, 92B, 94B, 95B, 96B, 97B, 82B, 83B가 각각, 제1 게이트 스택의 91A, 92A, 94A, 95A, 96A, 97A, 82A, 83A에 대응한다. 양자의 구조상의 상이점을 들면, 제1 게이트 스택에는, 제1 일함수 재료층(81)이 있지만, 제2 게이트 스택에는 그것이 마련되어 있지 않다.
일함수 재료층은, p형의 일함수 재료층 및 n형의 일함수 재료층 중 어느 것이어도 된다. p형 일함수 재료는, 실리콘의 가전자대 에너지 준위와 미드 밴드 갭 에너지 준위의 사이에 있는 일함수를 갖는 재료를 가리킨다. 즉, 실리콘의 에너지 준위에 있어서, 전도대의 에너지 준위와 가전자대 에너지 레벨이 등가로 분리되어 있는 것이다. n형 일함수 재료는, 실리콘의 전도대의 에너지 준위와 실리콘의 미드 밴드 갭 에너지 준위와의 사이에 일함수를 갖는 재료를 가리킨다.
일함수 재료층의 재료는 도전성 탄탈럼 합금층 또는 TiAlC인 것이 바람직하다. 도전성 탄탈럼 합금층은, (i) 탄탈럼과 알루미늄과의 합금, (ii) 탄탈럼 및 탄소의 합금, (iii) 탄탈럼, 알루미늄, 및 탄소의 합금으로부터 선택된 재료를 포함할 수 있다.
(i) TaAl
탄탈럼과 알루미늄과의 합금에 있어서, 탄탈럼의 원자 농도는 10%~99%로 할 수 있다. 알루미늄의 원자 농도는 1%~90%로 할 수 있다.
(ii) TaC
탄탈럼과 탄소의 합금에 있어서, 탄탈럼의 원자 농도는 20%~80%로 할 수 있다. 탄소의 원자 농도는, 20%~80%로 할 수 있다.
(iii) TaAlC
탄탈럼, 알루미늄, 및 탄소의 합금에 있어서, 탄탈럼의 원자 농도는 15%~80%로 할 수 있다. 알루미늄의 원자 농도는 1%~60%로 할 수 있다. 탄소의 원자 농도는 15%~80%로 할 수 있다.
다른 실시형태에서는, 일함수 재료층을, (iv) 질화 타이타늄으로 본질적으로 이루어지는 질화 타이타늄층 혹은, (v) 타이타늄과 알루미늄과 탄소의 합금층으로 할 수 있다.
(iv) TIN
질화 타이타늄층에 있어서, 타이타늄의 원자 농도는 30%~90%로 할 수 있다. 질소의 원자 농도는, 10%~70%로 할 수 있다.
(v) TiAlC
타이타늄과 알루미늄과 탄소의 합금층에 있어서, 타이타늄의 원자 농도는 15%~45%로 할 수 있다. 알루미늄의 원자 농도는, 5%~40%로 할 수 있다. 탄소의 원자 농도는, 5%~50%로 할 수 있다.
상기 일함수 재료층은, 원자층 퇴적(ALD), 물리 증착(PVD), 또는 화학 증착(CVD) 등에 의하여 형성할 수 있다. 일함수 재료층은 게이트 전극을 덮도록 형성되는 것이 바람직하고, 그 막두께는 100nm 이하가 바람직하며, 50nm 이하가 보다 바람직하고, 1nm~10nm가 더 바람직하다.
그 중에서도, 본 발명에 있어서는, 에칭의 선택성이 적합하게 발현되는 관점에서, TiAlC의 층이 채용된 기판을 적용하는 것이 바람직하다.
본 실시형태의 소자에 있어서, 게이트 유전체층은, 금속과 산소를 포함하는 high-k 재료로 이루어진다. high-k 게이트 유전체 재료로서는, 공지의 것을 사용할 수 있다. 그 막은 통상의 방법에 따라 퇴적시킬 수 있다. 예를 들면, 화학 증착(CVD), 물리 증착(PVD), 분자선 증착법(MBD), 펄스 레이저 증착(PLD), 액체 원료 미스트 화학 퇴적(LSMCD), 원자층 퇴적(ALD) 등을 들 수 있다. 전형적인 high-k 유전체 재료로서는, HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy 등을 들 수 있다. x는 0.5~3이며, y는 0~2이다. 게이트 유전체층의 두께는, 0.9~6nm인 것이 바람직하고, 1~3nm가 보다 바람직하다. 그 중에서도, 게이트 유전체층이 산화 하프늄(HfO2)으로 이루어지는 것이 바람직하다.
그 외의 부재나 구조는 적절히 통상의 재료에 의하여 상법에 따라 형성할 수 있다. 그 상세에 대해서는, 미국 공개공보 제2013/0214364호, 미국 공개공보 제2013/0341631호를 참조할 수 있으며, 본 발명에 인용하여 원용한다(incorporate by reference).
본 발명의 바람직한 실시형태에 관한 에칭액에 의하면, 상술한 바와 같은 일함수 재료층이 노출된 기판이더라도, 그 층의 손상을 억제하면서, 효과적으로 실리사이드 금속(Ni, Pt, Ti 등)을 제거할 수 있다.
[에칭액]
다음으로, 본 발명의 에칭액의 바람직한 실시형태에 대하여 설명한다. 본 실시형태의 에칭액은 알칼리 화합물과 필요에 따라 산화제 및 특정 유기 첨가제를 함유한다. 이하, 임의의 것을 포함하여 각 성분에 대하여 설명한다.
(알칼리 화합물)
알칼리 화합물은 수 매체의 계 내를 알칼리성으로 하는 물질이면 특별히 한정되지 않는다. 알칼리의 정의는 가장 넓은 의미로 해석되어야 하는 것이며, 예를 들면 아레니우스의 정의에 의한 염기로 정의할 수 있다. 알칼리 화합물은 유기염기여도 되고 무기염기여도 된다.
무기염기로서는, 하기 식 (I-1)의 화합물을 들 수 있다.
M(OH)nI (I-1)
M은, 알칼리 금속(바람직하게는, 리튬, 나트륨, 칼륨), 알칼리 토류 금속(바람직하게는 마그네슘, 칼슘), NH4, NRN 2(RN은 수소 원자 또는 탄소수 1~6의 알킬기), 천이 원소(바람직하게는, 망간, 아연, 구리), 희토류 원소(바람직하게는 란타넘)이다. nI는 정수이며, 1~3의 정수인 것이 바람직하다. 다만, nI는 M의 원소 또는 원자군에 의하여 스스로 정해지는 것이며, M이 NH4 또는 NRN 2일 때에는, nI는 1이고, 각각, 수산화 암모늄(NH4OH)(실시예에서는 NH3으로 표기) 및 하이드록실아민(NH2OH)이 된다. 알칼리 금속일 때 nI는 1이 되며, 알칼리 토류 금속일 때 nI는 2가 된다. 그 외의 천이 원소나 희토류 원소일 때에는, 그 원소의 가수에 따라 적절히 정해지면 된다. 무기염기로서는 추가로 하이드라진을 들 수 있지만, 이는 하기 하이드라진류의 식 (H-1)로 규정한다.
무기염기로서는, 그 중에서도, 알칼리 금속의 염(예를 들면, KOH, LiOH, NaOH 등을 들 수 있음), 알칼리 토류 금속의 염(예를 들면, Ca(OH)2, Mg(OH)2 등을 들 수 있음), 수산화 암모늄염, 하기 하이드라진류, 하이드록실아민 등을 들 수 있다. 다만, M이 NRN 2일 때, nI는 1이 되지만, 그 OH가 에스터화되어 있어도 된다. 예를 들면 탄소수 1~6의 알킬에스터를 들 수 있으며, RN이 메틸기이고, 메틸에스터를 형성하고 있는 경우, N,O-다이메틸하이드록실아민이 된다.
유기염기로서는, 유기 아민 화합물이나 유기 오늄염을 들 수 있다. 유기 아민 화합물로서는, 하기 식 (O-1)~(O-3) 중 어느 하나로 나타나는 화합물을 들 수 있다.
[화학식 7]
Figure pct00007
식 중, RO1~RO6은, 각각 독립적으로, 아실기(탄소수 1~6이 바람직함), 알콕시기(탄소수 1~6이 바람직함), 알콕시카보닐기(탄소수 2~6이 바람직함), 알콕시카보닐아미노기(탄소수 2~6이 바람직함), 하기 식 (x)로 나타나는 기, 알킬기(탄소수 1~6이 바람직함), 알켄일기(탄소수 2~6이 바람직함), 알카인일기(탄소수 2~6이 바람직함), 아릴기(탄소수 6~10이 바람직함), 또는 헤테로환기(탄소수 2~6이 바람직함)를 나타낸다. 이들 기는, 추가로 치환기 T를 갖고 있어도 된다. 그 중에서도 부가되는 임의의 치환기로서는, 아미노기, 하이드록시기가 바람직하다. 또, 알킬기, 알켄일기, 알카인일기는, 각각 1~4개의, O, S, CO, NRN을 개재하고 있어도 된다.
X1-(Rx1-X2)mx-Rx2-* (x)
X1은 탄소수 0~4의 아미노기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Rx1 및 Rx2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. X2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. mx는 0~6의 정수를 나타낸다. mx가 2 이상일 때 복수의 Rx1 및 X2는 각각 상이해도 된다. Rx1 및 Rx2는 추가로 치환기 T를 갖고 있어도 된다. *는 결합손이다.
유기 아민 화합물은, 구체적으로는, 카바진산 메틸, O-메틸하이드록실아민, N-메틸하이드록실아민, 모노에탄올아민, 에틸렌다이아민, 3-에톡시프로필아민, m-자일릴렌다이아민, 사이클로헥실아민, 펜틸아민, 벤질아민, n-헥실아민, 2-에틸헥실아민, 옥틸아민, 다이글라이콜아민, 트라이에탄올아민, 다이에탄올아민, 모노에탄올아민, N-메틸에탄올아민, N,N-다이에틸모노에탄올아민 등을 들 수 있다.
유기 오늄염으로서는, 함질소 오늄염(제4 급 암모늄염 등), 함인 오늄염(제4 급 포스포늄염 등), 함황 오늄염(예를 들면 SRy3M: Ry는 탄소수 1~6의 알킬기, M은 반대 음이온)을 들 수 있다. 그 중에서도 함질소 오늄염(제4 급 암모늄염, 피리디늄염, 피라졸륨염, 이미다졸륨염 등)이 바람직하다. 알칼리 화합물은, 그 중에서도 제4 급 암모늄 수산화물인 것이 바람직하다.
유기 오늄염으로서는, 하기 식 (O-4) 또는 (O-5)로 나타나는 화합물을 들 수 있다.
[화학식 8]
Figure pct00008
식 (O-4) 중, RO7~RO10은 각각 독립적으로 탄소수 1~20(바람직하게는 탄소수 1~8)의 알킬기, 탄소수 2~20(바람직하게는 탄소수 2~8)의 알켄일기, 탄소수 2~20(바람직하게는 탄소수 2~8)의 알카인일기, 탄소수 6~14(바람직하게는 탄소수 6~10)의 아릴기, 탄소수 7~15(바람직하게는 탄소수 7~11)의 아랄킬기, 또는 하기 식 (y)로 나타나는 기이다.
Y1-(Ry1-Y2)my-Ry2-* (y)
Y1은 탄소수 1~12(바람직하게는 탄소수 1~6)의 알킬기, 탄소수 2~12(바람직하게는 탄소수 2~6)의 알켄일기, 탄소수 2~12(바람직하게는 탄소수 2~6)의 알카인일기, 탄소수 7~15(바람직하게는 탄소수 7~11)의 아랄킬기, 탄소수 6~14(바람직하게는 탄소수 16~10)의 아릴기, 하이드록시기, 또는 탄소수 1~4(바람직하게는 탄소수 1~6)의 알콕시기를 나타낸다. Y2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. Ry1 및 Ry2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. my는 0~6의 정수를 나타낸다. my가 2 이상일 때 복수의 Ry1 및 Y2는 각각 상이해도 된다. Ry1 및 Ry2는 추가로 치환기 T를 갖고 있어도 된다. *는 결합손이다.
M4-, M5-는 반대 이온이며, 수산화물 이온 등을 나타낸다.
식 (O-5) 중, RO11은 RO7과 동의인 기이다. RO12는 임의의 치환기 T이며, 그 중에서도 치환기 RN과 동일한 것이 바람직하다. mO는 0~5의 정수이다.
구체적으로는, 수산화 테트라알킬암모늄(바람직하게는 탄소수 4~25)이 바람직하다. 이 때, 알킬기에는 본 발명의 효과를 해치지 않는 범위에서 임의의 치환기(예를 들면, 하이드록실기, 알릴기, 아릴기)가 치환되어 있어도 된다. 또, 알킬기는 직쇄여도 되고 분기여도 되며, 환상이어도 된다. 구체적으로는, 수산화 테트라메틸암모늄(TMAH), 수산화 테트라에틸암모늄(TEAH), 수산화 벤질트라이메틸암모늄, 수산화 에틸트라이메틸암모늄, 수산화 2-하이드록시에틸트라이메틸암모늄, 수산화 벤질트라이에틸암모늄, 수산화 헥사데실트라이메틸암모늄, 수산화 테트라뷰틸암모늄(TBAH), 수산화 테트라헥실암모늄(THAH), 수산화 테트라프로필암모늄(TPAH), 등을 들 수 있다. 혹은, 염화 벤잘코늄, 염화 벤제토늄, 염화 메틸벤제토늄, 염화 세틸피리디늄, 세트리모늄, 염화 도파늄, 브로민화 테트라에틸암모늄, 염화 다이데실다이메틸암모늄, 브로민화 도미펜 등을 들 수 있다.
알칼리 화합물은 하기의 식 (H-1)로 나타나는 하이드라진류인 것도 바람직하다.
RH1 2N-NRH2 2 (H-1)
RH1, RH2는, 각각 독립적으로, 수소 원자, 탄소수 1~6의 알킬기, 탄소수 2~6의 알켄일기, 탄소수 2~6의 알카인일기, 탄소수 6~10의 아릴기, 탄소수 7~15의 아랄킬기를 나타낸다. 구체적으로는, 하이드라진, 페닐하이드라진, 메틸하이드라진, 1,2-다이메틸하이드라진, 1,1-다이메틸하이드라진이 바람직하다.
·함질소 폴리머
상기 알칼리 화합물은 하기 함질소 폴리머인 것도 바람직하다. 함질소 폴리머는, 질소 원자를 갖는 반복 단위를 복수 갖는 화합물이면, 비교적 작은 분자를 포함하는 의미이다(하기 예시 화합물 A-15~A-17 참조). 상기 반복 단위는, 제1 급 아민 구조(-NRx2), 제2 급 아민 구조(>NRx), 제3 급 아민 구조(>N-), 또는 제4 급 암모늄 구조(>N<)를 갖는 것이 바람직하다(이러한 구조를 "특정 아민 구조"라고 칭하고, 그 반복 단위를 "특정 아민 반복 단위"라고 칭한다). Rx는 수소 원자 혹은 탄소수 1~6의 알킬기를 나타낸다.
함질소 폴리머는, 친수성 질소 함유기와 소수성 말단기를 갖는 양이온 계면활성제의 예를 들 수 있으며, 상기 특정 아민 구조를 갖는 반복 단위를 갖는 것이 바람직하다. 보다 구체적으로는, 아미노기(-NRx2), 아마이드기(-CONRx-), 이미드기(-CONRxCO-), 이미노기(-NRx-), 알킬렌이미노기(-N(Rx)Lx-: Lx는 탄소수 1~6의 알킬렌기), 및 하이드록시알킬렌이미노기(-NRx)Ly-: Ly는 탄소수 1~6의 하이드록시기를 갖는 알킬렌기)로 이루어지는 군으로부터 선택된 관능기를 포함하는 반복 단위를 함유하는 것이 바람직하다.
함질소 폴리머 중에 존재하는 특정 아민 반복 단위의 수는, 반복 단위의 합계수의 40% 이상인 것이 바람직하고, 50% 이상인 것이 보다 바람직하다. 상한값은 특별히 없지만, 100% 이하인 것이 바람직하다. 특정 아민 반복 단위의 수는 구체적으로는 1분자 중에 2개 이상 1000개 이하인 것이 바람직하고, 3개 이상 200개 이하인 것이 보다 바람직하다.
함질소 폴리머는, 상기에 든 반복 단위를 함유하는 호모폴리머여도 되고 코폴리머여도 된다. 혹은, 또 다른 반복 단위(바람직하게는 비이온성의 반복 단위)를 갖고 있어도 된다. 다른 반복 단위로서는, 에틸렌옥사이드기, 프로필렌옥사이드기, 스타이렌에 유래하는 반복 단위 등을 들 수 있다. 고분자 전해질 중에 존재하는 비이온성 반복 단위의 수는, 반복 단위의 합계수의 99% 이하인 것이 바람직하고, 90% 이하인 것이 보다 바람직하다. 하한값은 특별히 없지만, 임의의 반복 단위인 점에서 0% 이상으로 하면 된다.
함질소 폴리머는 또 다른 반복 단위를 포함하고 있어도 된다. 또 다른 반복 단위로서는, 예를 들면 하이드록시기, 포스폰산기(혹은 그 염), 설폰산기(혹은 그 염), 인산기(혹은 그 염), 또는 카복실산기(혹은 그 염)를 갖는 반복 단위를 들 수 있다.
함질소 폴리머는, 호모폴리머, 랜덤 코폴리머, 교호 코폴리머, 주기 코폴리머(periodiccopolymer), 블록 코폴리머(예를 들면, AB, ABA, ABC 등), 그래프트 코폴리머, 콤(comnb) 코폴리머 중 어느 것이어도 된다.
상기 특정 아민 반복 단위는, 하기 식 (a-1)~(a-8)로부터 선택되는 것인 것이 바람직하다.
[화학식 9]
Figure pct00009
·Ra
Ra는, 수소 원자, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 또는 헤테로환기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함)를 나타낸다. 그 중에서도 Ra가 수소 원자 또는 메틸기인 것이 바람직하다. 다만, 본 명세서에 있어서 알킬기는 아랄킬기를 포함하는 의미이다.
·Rb
Rb는, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함) 또는 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함)를 나타낸다. 그 중에서도 Rb가 메틸기 또는 에틸기인 것이 바람직하다.
·La
La는, 알킬렌기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 카보닐기, 이미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함), 아릴렌기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 헤테로환기(탄소수 1~12가 바람직하고, 2~5가 보다 바람직함), 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기 또는 카보닐기가 바람직하고, 메틸렌기, 에틸렌기, 프로필렌기, 또는 카보닐기가 바람직하며, 메틸렌기 또는 에틸렌기가 보다 바람직하고, 메틸렌기가 특히 바람직하다.
·Lb
Lb는, 단결합, 알킬렌기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 카보닐기, 이미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함), 아릴렌기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 헤테로환기(탄소수 1~12가 바람직하고, 2~5가 보다 바람직함), 또는 이들의 조합을 나타낸다. 그 중에서도, 단결합, 메틸렌기, 에틸렌기, 프로필렌기, 또는 카보닐기가 바람직하고, 단결합, 메틸렌기, 또는 에틸렌기가 바람직하다.
·Rc
Rc는, 수소 원자 또는 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함)를 나타낸다. 그 중에서도 Rc가 수소 원자 또는 메틸기인 것이 바람직하다.
·n
n은 0 이상의 정수를 나타낸다. n의 상한은 각 환상 구조부의 치환 가능수이다. 예를 들면, 하기 식 (5-1)~(5-4)이면 4이며, 식 (6-5), 식 (6-6)이면 3이다.
환 Q1은 함질소 복소환을 나타내며, 함질소 포화 복소환이 바람직하고, 5원 또는 6원환의 함질소 포화 복소환이 바람직하다. 그 환구조로서 구체적으로는, 하기 식 (5-1)~(5-6)이 바람직하다. 식 중 음이온은 생략되어 있다.
환 Q2는 함질소 복소환을 나타내며, 함질소 불포화 복소환이 바람직하고, 5원 또는 6원환의 함질소 불포화 복소환이 바람직하며, 피로릴기, 피라졸일기, 이미다졸일기, 트라이아졸일기, 피리딜기, 피리미딜기(모두 C위로 결합)가 바람직하다. 그 환구조로서 구체적으로는, 하기 식 (6-1)~(6-11)이 바람직하다.
환 Q3은 함질소 복소환을 나타내며, 함질소 불포화 복소환이 바람직하고, 5원환의 함질소 불포화 복소환이 바람직하며, 피로릴기, 이미다졸일기, 피라졸일기, 트라이아졸일기(모두 N위로 결합)가 바람직하다. 그 환구조로서 구체적으로는, 하기 식 (8-1)~(8-3)이 바람직하다.
식 중 *는, 결합 위치를 나타낸다.
[화학식 10]
Figure pct00010
상기의 환구조기는 모두 소정수의 치환기 Ra를 수반하고 있어도 된다. 식 중의 오늄은 염으로 되어 있어도 된다는 의미이다. 또, 식 6-1~6-11, 8-1~8-3은 오늄 혹은 그 염으로 되어 있어도 된다.
Ra, Rb, Rc, La, Lb는 분자 내에서 복수 존재할 때, 서로 동일해도 되고 상이해도 된다. 복수의 Ra, Rb, 및 Rc는 서로 결합하여 환을 형성하고 있어도 된다. 또한, 전부 해당되는 것은 아니지만, 인접하는 치환기나 연결기는, 본 발명의 효과를 해치지 않는 범위에서, 서로 결합하여 환을 형성하고 있어도 된다.
또한, 상기 함질소 폴리머는 하기 식 (b)로 나타나는 것도 바람직하다.
Rc 2N-[Ld-N(Rc)]m-Ld-NRc 2 (b)
식 중, Rc는, 상기와 동일하다. m은 0 이상의 정수를 나타내고, 바람직하게는 1 이상이며, 보다 바람직하게는 2 이상이고, 더 바람직하게는 3 이상이다. 상한은 특별히 없지만, 10 이하인 것이 실제적이고, 6 이하가 보다 실제적이다.
Ld는, 알킬렌기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 카보닐기, 이미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함), 아릴렌기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 헤테로환기(탄소수 1~12가 바람직하고, 2~5가 보다 바람직함), 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기가 바람직하고, 메틸렌기, 에틸렌기, 프로필렌기가 바람직하다.
다만, 복수의 Rc, Ld는, 서로 동일해도 되고 상이해도 된다. 복수의 Rc, Ld는 서로 결합하여 환을 형성하고 있어도 된다.
상기 함질소 폴리머는 하기의 화합물인 것이 바람직하다. 단, 본 발명이 이에 한정되어 해석되는 것은 아니다.
[화학식 11]
Figure pct00011
A-1 폴리에틸렌이민
A-2 폴리바이닐아민
A-3 폴리알릴아민
A-4 다이메틸아민·에피하이드린계 폴리머
A-5 폴리헥사다이메트린
A-6 폴리다이메틸다이알릴암모늄(염)
A-7 폴리(4-바이닐피리딘)
A-8 폴리오니틴
A-9 폴리라이신
A-10 폴리아르지닌
A-11 폴리히스티딘
A-12 폴리바이닐이미다졸
A-13 폴리다이알릴아민
A-14 폴리메틸다이알릴아민
A-15 다이에틸렌트라이아민
A-16 트라이에틸렌테트라민
A-17 테트라에틸렌펜타민
A-18 펜타에틸렌헥사민
상기의 함질소 폴리머는 적절히 시판하는 것 등을 적용할 수 있다.
함질소 폴리머의 농도는 특별히 한정되지 않지만, 에칭액 중에서, 0.0001질량% 이상이 바람직하고, 0.0005질량% 이상이 보다 바람직하며, 0.001질량% 이상이 특히 바람직하다. 상한은 특별히 제한되지 않지만, 5질량% 이하가 바람직하고, 2질량% 이하가 보다 바람직하며, 1질량% 이하가 특히 바람직하다. 상기 하한값 이상으로 함으로써, 타이타늄 함유층의 용해 속도를 컨트롤할 수 있기 때문에 바람직하다. 한편, 상기 상한값 이하로 하는 것이, 함질소 폴리머의 석출을 억제할 수 있는 관점에서 바람직하다. 함질소 폴리머는, 1종류만을 이용해도 되고, 그 2종 이상을 조합하여 이용해도 된다.
다만, 함질소 폴리머는, 질소를 흡착점으로서 타이타늄 함유층에 보호막을 형성하면서, 그 양호한 선택성을 달성하고 있는 것으로 추정된다.
함질소 폴리머의 분자량은 특별히 한정되지 않지만, 100 이상인 것이 바람직하고, 200 이상인 것이 보다 바람직하다. 상한은 100,000 이하인 것이 바람직하고, 50,000 이하인 것이 보다 바람직하며, 20,000 이하인 것이 더 바람직하고, 10,000 이하인 것이 특히 바람직하다. 상기 하한값 이상으로 하는 것이 실제적이다. 한편, 상기 상한값 이하로 하는 것이, 함질소 폴리머의 석출을 억제할 수 있는 관점에서 바람직하다. 본 발명에 있어서는, 이 분자량 범위에서 조건을 변경하여, 에칭의 속도비(η)를 효과적으로 변화시킬 수 있다. 그 상세는 후술한다.
함질소 폴리머의 분자량은 특별히 설명하지 않는 한 이하의 방법으로 측정한 값을 말하는 것으로 한다.
-분자량의 측정-
시판 중인 화합물에 대해서는 카탈로그에 기재된 화학 구조로부터 산출한 분자량을 적용했다. 화학 구조가 불분명한 경우 등은, LC-MS에 의하여 칼럼 분리를 한 후에 매스 스펙트로메트리에 의하여 분자량을 결정하는 방법을 적용했다. 또, 분자량이 커 매스 스펙트로메트리의 해석이 곤란한 경우에는 GPC에 의하여 폴리스타이렌 환산의 중량 평균 분자량을 계측했다. GPC 장치 HLC-8220(도소사제)을 이용하고, 용리액으로서는 THF(테트라하이드로퓨란)(쇼난 와코 준야쿠사제)를 이용하며 칼럼은 G3000HXL+G2000HXL을 이용하여, 23℃에서 유량은 1mL/min으로, RI로 검출했다.
알칼리 화합물의 농도는, 에칭액 중, 0.01질량% 이상인 것이 바람직하고, 0.02질량% 이상이 보다 바람직하며, 0.03질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는, 50질량% 이하가 바람직하고, 30질량% 이하가 보다 바람직하며, 20질량% 이하가 특히 바람직하다. 알칼리 화합물을 상기의 범위로 함으로써, 금속층(제2 층)의 양호한 에칭성을 유지하면서, 저마늄 함유층(제1 층) 내지 그 저마늄 실리사이드층(제3 층)의 손상을 효과적으로 억제할 수 있기 때문에 바람직하다. 에칭액의 성분의 동정에 관해서는, 알칼리 화합물로서 확인될 필요까지는 없고, 예를 들면 수산화 나트륨의 경우, 수용액 중에서 나트륨 이온(Na-)이 동정됨으로써, 그 존재 및 양이 파악되는 것이다.
여기에서, 산이 아닌, 알칼리를 에칭에 이용하는 것의 이점을 살펴 보면, 상술과 같이 본 발명에 있어서 대상으로 하는 금속층과 Ge층의 양호한 에칭 선택성을 실현하면서, 알칼리에서는 웨이퍼의 제타 전위가 부(네거티브)가 되기 때문에, 기판 상의 파티클의 부착도 효과적으로 방지하는 것이 가능해지는 점을 들 수 있다.
다만, 본 발명에 있어서, 상기 알칼리 화합물은, 1종만을 이용해도 되고, 2종 이상을 병용하여 이용해도 된다. "2종 이상의 병용"이란, 화학 구조로서 조금이라도 상이한 2종 이상의 화합물을 이용하는 것이며, 예를 들면 상술한 식 (O-1)에 해당하지만, 원자단 RO1에 해당하는 부분이 상이한 화합물 2종인 경우 등도 포함한다. 2종 이상을 병용하는 경우, 그 병용 비율은 특별히 한정되지 않지만, 합계 사용량은, 2종 이상의 알칼리 화합물의 총합으로서 상기 농도 범위로 하는 것이 바람직하다.
(산화제)
본 실시형태에 관한 에칭액에는 산화제가 포함되는 것이 바람직하다. 산화제로서는, 질산 또는 과산화 수소가 바람직하다.
그 농도는, 에칭액 중, 0.1질량% 이상인 것이 바람직하고, 1질량% 이상이 보다 바람직하며, 2질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는, 20질량% 이하가 바람직하고, 15질량% 이하가 보다 바람직하며, 10질량% 이하가 더 바람직하고, 3질량% 이하가 특히 바람직하다.
산화제의 함유량을 상기의 범위로 함으로써, 금속층(제2 층)의 양호한 에칭성을 유지하면서, 저마늄 함유층(제1 층) 내지 그 저마늄 실리사이드층(제3 층)의 손상을 효과적으로 억제할 수 있기 때문에 바람직하다. 다만, 에칭액의 성분의 동정에 관해서는, 예를 들면 질산으로서 확인될 필요까지는 없고, 수용액 중에서 질산 이온(NO3 -)이 동정됨으로써, 그 존재 및 양이 파악되는 것이다.
산화제는, 1종만을 이용해도 되고, 2종 이상을 병용해도 된다.
(특정 유기 첨가제)
본 실시형태에 관한 에칭액에는, 특정 유기 첨가제를 함유시키는 것이 바람직하다. 이 유기 첨가제는, 질소 원자, 황 원자, 인 원자, 혹은 산소 원자를 함유하는 유기 화합물로 이루어진다. 그 중에서도, 상기 유기 첨가제는, 아미노기(-NH2) 혹은 그 염, 이미노기(-NRN-) 혹은 그 염, 설판일기(-SH), 하이드록시기(-OH), 카보닐기(-CO-), 설폰산기(-SO3H) 혹은 그 염, 인산기(-PO4H2) 혹은 그 염, 오늄기 혹은 그 염, 설핀일기(-SO-), 설폰일기(SO2), 에터기(-O-), 아민옥사이드기, 및 싸이오에터기(-S-)로부터 선택되는 치환기 혹은 연결기를 갖는 화합물인 것이 바람직하다. 또한, 비프로톤 해리성 유기 화합물(알코올 화합물, 에터 화합물, 에스터 화합물, 카보네이트 화합물), 아졸 화합물, 베타인 화합물, 설폰산 화합물, 아마이드 화합물, 오늄 화합물, 아미노산 화합물, 인산 화합물, 설폭사이드 화합물인 것도 바람직하다.
상기 아미노기의 RN은 수소 원자 또는 치환기이다. 치환기로서는, 알킬기(탄소수 1~24가 바람직하고, 1~12가 보다 바람직함), 알켄일기(탄소수 2~24가 바람직하고, 2~12가 보다 바람직함), 알카인일기(탄소수 2~24가 바람직하고, 2~12가 보다 바람직함), 탄소수 6~10의 아릴기, 탄소수 7~11의 아랄킬기가 바람직함)이다.
상기 특정 유기 첨가제는, 하기 식 (I)~(XII) 중 어느 하나로 나타나는 화합물로 이루어지는 것이 특히 바람직하다.
[화학식 12]
Figure pct00012
식 (I):
R11 및 R12는, 각각 독립적으로, 수소 원자, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함), 설판일기(SH), 하이드록시기(OH), 또는 아미노기(-NRN 2)이다. 단 R11 및 R12 중 적어도 한쪽은 설판일기, 하이드록시기, 또는 아미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함)이다. 다만, 상기의 치환기는 추가로 치환기를 취하는 경우(알킬기, 알켄일기, 아릴기 등), 추가로 임의의 치환기 T를 갖고 있어도 된다. 이것은, 이 이후에 설명하는 치환기나 연결기에 대해서도 동일하다.
X1은 메틸렌기(CRC 2), 황 원자(S), 또는 산소 원자(O)이다. RC는 수소 원자 또는 치환기(하기 치환기 T가 바람직함)이다.
식 (II):
X2는 메타인기(=CRC-) 또는 질소 원자(N)이다. R21은 치환기(하기 치환기 T가 바람직함)이며, 그 중에서도 설판일기(SH), 하이드록시기(OH), 아미노기(NRN 2)가 바람직하다.
n2는 0~4의 정수이다.
R21이 복수 존재할 때, 이들은 동일해도 되고 상이해도 되며, 서로 결합 내지 축합하여 환을 형성하고 있어도 된다.
식 (III):
Y1은 메틸렌기, 이미노기(NRN), 또는 황 원자(S)이다.
Y2는 수소 원자, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함), 아미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함), 하이드록시기, 설판일기이다.
R31은 치환기(하기 치환기 T가 바람직함)이다. 그 중에서도 설판일기(SH), 하이드록시기(OH), 아미노기(NRN 2)가 바람직하다.
n3은 0~2의 정수이다.
R31이 복수 존재할 때, 이들은 동일해도 되고 상이해도 되며, 서로 결합 내지 축합하여 환을 형성하고 있어도 된다. 형성되는 환으로서는, 6원환인 것이 바람직하고, 벤젠 구조 혹은 6원의 헤테로아릴 구조를 들 수 있다.
식 (III)은 하기 식 (III-1)인 것이 바람직하다.
[화학식 13]
Figure pct00013
Y3 및 Y4는 각각 독립적으로 메타인기(=CRC-) 또는 질소 원자(N)이다.
Y1, Y2, R31, n3은 상기와 동의이다. Y3 및 Y4의 위치는 6원환 중에서 다른 위치에 있어도 된다.
식 (IV):
L1은 알킬렌기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알카인일렌기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알켄일렌기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴렌기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 또는 아랄킬렌기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함)이다.
X4가 카복실기 또는 하이드록시기이다.
식 (V):
R51은, 알킬기(탄소수 1~24가 바람직하고, 탄소수 1~12가 보다 바람직하며, 1~6이 더 바람직하고, 1~3이 특히 바람직함), 알켄일기(탄소수 2~24가 바람직하고, 탄소수 2~12가 보다 바람직하며, 2~6이 더 바람직함), 알카인일기(탄소수 2~24가 바람직하고, 탄소수 2~12가 보다 바람직하며, 2~6이 더 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 또는 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함)이다.
R51이 아릴기일 때, 거기에는 탄소수 1~20의 알킬기, 탄소수 2~20의 알켄일기, 또는 탄소수 2~20의 알카인일기가 치환되어 있는 것이 바람직하다.
R51이 알킬기일 때, 하기의 구조여도 된다.
*-R52-(R53-Y53)n5-R54
R52는 단결합 혹은 L1과 동의인 연결기이다. R53은 L1과 동의인 연결기이다. Y53은 산소 원자(O), 황 원자(S), 카보닐기(CO), 혹은 이미노기(NRN)이다. R54는 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 또는 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함)이다.
n5는 0~8의 정수이다.
R51은 추가로 치환기 T를 갖고 있어도 되고, 그 중에서도, 설판일기(SH), 하이드록시기(OH), 아미노기(NRN 2)가 바람직하다.
Z는 아미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함), 설폰산기, 인산기, 카복실기, 하이드록시기, 설판일기, 또는 아민옥사이드기(-NRN 2 O-)이다.
본 발명에 있어서, 아미노기, 설폰산기, 인산기, 카복실기는 특별히 설명하지 않는 한 그 염이나 산의 경우에는 그 산에스터(예를 들면 탄소수 1~6의 알킬에스터)를 형성하고 있어도 된다는 의미이다.
식 (VI):
R61과 R62는, 각각 독립적으로, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 알콕시기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 또는 알킬아미노기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함)이다. R61과 R62는 결합 혹은 축합하여 환을 형성하고 있어도 된다. R61 또는 R62가 알킬기일 때, 상기 *-R52-(R53-Y53)-R54로 나타나는 기여도 된다.
L2는 카보닐기, 설핀일기(SO), 또는 설폰일기(SO2)이다.
식 (V)가 카복실산일 때, R51은 알킬기인 것이 바람직하고, 이 경우, 탄소수 1~24가 바람직하며, 3~20이 보다 바람직하고, 6~18이 더 바람직하며, 8~16이 특히 바람직하다. 이 알킬기가 추가로 치환기 T를 갖고 있어도 되는 것은, 다른 것과 동일하다. 식 (V)가 지방산일 때, 상기와 같이, 비교적 탄소수가 큰 것이 바람직하다. 그 이유는, 본 첨가제에 적합한 소수성이 부여되어 있는 편이, 보다 효과적으로 저마늄 혹은 그 실리사이드층의 보호성을 발휘하기 때문이라고 생각된다.
식 (V)로 나타나는 화합물은, 하기 식 (V-1)~(V-3) 중 어느 하나인 것이 바람직하다. 식 중, Z1, Z2는 연결기 L을 통하는 경우가 있는 설폰산기이다. R56은 치환기 T이며, 그 중에서도 여기에서 예시되는 알킬기가 바람직하다. n51 및 n56은 0~5의 정수이다. n53은 0~4의 정수이다. n51, n53, 및 n56의 최댓값은 동일한 환에 있는 Z1 또는 Z2의 수에 따라 증감한다. n52는 1~6의 정수이며, 1 또는 2가 바람직하다. n54 및 n55는 각각 독립적으로 0~4의 정수이며, n54+n55는 1 이상이다. n54+n55는 1 또는 2가 바람직하다. n57 및 n58은 각각 독립적으로 0~5의 정수이며, n57+n58은 1 이상이다. n57+n58은 1 또는 2가 바람직하다. 복수 존재하는 R56은 서로 동일해도 되고 상이해도 된다. 연결기 L은 상기 L1, 하기 L2, 또는 그 조합인 것이 바람직하고, L1인 것이 보다 바람직하다.
[화학식 14]
Figure pct00014
식 (VI):
R61과 R62는, 각각 독립적으로, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 알콕시기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 또는 알킬아미노기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함)이다. R61과 R62는 결합 혹은 축합하여 환을 형성하고 있어도 된다. R61 또는 R62가 알킬기일 때, 상기 *-R52-(R53-Y53)-R54로 나타나는 기여도 된다.
L2는 카보닐기, 설핀일기(SO), 또는 설폰일기(SO2)이다.
식 (VI)으로 나타나는 화합물은, 하기 식 (VI-1)~(VI-3) 중 어느 하나로 나타나는 화합물인 것이 바람직하다. 식 중, R61과 R62는 상기와 동의이다. Q6은, 3~8원환이며, 5원환 또는 6원환이 바람직하고, 포화의 5원환 또는 6원환이 보다 바람직하며, 포화 탄화수소의 5원환 또는 6원환이 특히 바람직하다. 단, Q6은 임의의 치환기 T를 갖고 있어도 된다.
[화학식 15]
Figure pct00015
식 (VII):
R71은 아미노기(-NRN 2) 또는 암모늄기(-NRN 3 ·M-)이다.
L3은 L1과 동의인 기이다. L3은 그 중에서도, 메틸렌기, 에틸렌기, 프로필렌기, 또는 (-L31(SRS)p-)인 것이 바람직하다. L31은 탄소수 1~6의 알킬렌기이다. RS는 수소 원자 또는 이 부위에서 다이설파이드기를 형성하여 2량화되어 있어도 된다.
식 (IIX):
R81 및 R82는, 각각 독립적으로, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 또는 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함)이다.
식 (IX):
L4는 L1과 동의인 기이다.
R91 및 R93은 각각 독립적으로 수소 원자, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 아실기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 또는 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함)이다. 단, n9가 0일 때, R91 및 R93이 모두 수소 원자가 되는 경우는 없다.
n9는 0~100의 정수이며, 0~50이 바람직하고, 0~25가 보다 바람직하며, 0~15가 더 바람직하고, 0~10이 더 바람직하며, 0~5가 특히 바람직하다.
식 (IX)로 나타나는 화합물은, 하기 식 (IX-1)로 나타나는 화합물인 것이 보다 바람직하다.
R91-(OL41)-(OL4)n91-OR93 (IX-1)
L41은 탄소수 2 이상의 알킬렌기인 것이 바람직하고, 바람직하게는 탄소수 2~6이다. 이 알킬렌기의 탄소수의 설정에 의하여, 금속(예를 들면 Ti)과 특유의 흡착 상태를 형성하지 못하여, 그 제거가 저해되지 않는 것이라고 추정된다. 또, 금속과 불소 원자와의 결합 성분은 친수적 내지 소수적으로 거동한다고 보이고, 산소 원자를 연결하는 탄소수가 2 또는 3 이상인 화합물이 적합하게 작용한다고 추정된다. 이 관점에서는, 또한 L41은 탄소수 3 이상인 것이 바람직하고, 탄소수 3~6인 것이 바람직하며, 탄소수 3 또는 4인 것이 특히 바람직하다. 다만, 상기 L41의 탄소수는, 분기의 알킬렌기일 때에는, 분기에 포함되는 탄소 원자는 제외하고, 그 연결 탄소수가 2 이상인 것이 바람직하다. 예를 들면, 2,2-프로페인다이일기는 연결 탄소수가 1이 된다. 즉, O-O간을 연결하는 탄소 원자의 수를 연결 탄소수라고 부르고, 이것이 2개 이상인 것이 바람직하다. 상기의 금속과의 흡착 작용을 고려하면, 연결 탄소수가 3 이상인 것이 더 바람직하고, 3 이상 6 이하인 것이 보다 바람직하며, 3 이상 4 이하인 것이 특히 바람직하다.
n91은 n9와 동의인 수이다.
본 화합물이 R91 및 R93에 있어서 수소 원자의 하이드록시기를 2개 이상 갖는 화합물일 때, 그 구조는 하기 식 (IX-2)인 것이 바람직하다.
[화학식 16]
Figure pct00016
식 중의 R94~R97은, R91과 동의이다. R94~R97은 추가로 치환기 T를 갖고 있어도 되고, 예를 들면 하이드록시기를 갖고 있어도 된다. L9는 알킬렌기이며, 탄소수 1~6의 알킬렌기인 것이 바람직하고, 탄소수 1~4의 알킬렌기인 것이 보다 바람직하다. 식 (IX-2)의 화합물의 구체예로서는, 헥실렌글라이콜, 1,3-뷰테인다이올, 1,4-뷰테인다이올 등을 들 수 있다.
상기 친수성·소수성의 관점에서, 상기 식 (IX)로 나타나는 화합물은, 그 CLogP에 있어서 원하는 범위의 것을 이용하는 것이 바람직하다. 상기 식 (IX)로 나타나는 화합물의 CLogP값은 -0.4 이상인 것이 바람직하고, -0.2 이상인 것이 보다 바람직하다. 상한측의 규정으로서는, 2 이하인 것이 바람직하고, 1.5 이하인 것이 보다 바람직하다.
·ClogP
옥탄올-물 분배 계수(logP값)의 측정은, 일반적으로 JIS 일본공업규격 Z7260-107(2000)에 기재된 플라스크 침투법에 의하여 실시할 수 있다. 또, 옥탄올-물 분배 계수(logP값)는 실측 대신에, 계산 화학적 수법 혹은 경험적 방법에 의하여 추측하는 것도 가능하다. 계산 방법으로서는, Crippen’s fragmentation법(J. Chem. Inf. Comput. Sci., 27, 21(1987)), Viswanadhan’s fragmentation법(J. Chem. Inf. Comput. Sci., 29, 163(1989)), Broto’s fragmentation법(Eur. J. Med. Chem. -Chim. Theor., 19, 71(1984)) 등을 이용하는 것이 알려져 있다. 본 발명에서는, Crippen’s fragmentation법(J. Chem. Inf. Comput. Sci., 27, 21(1987))을 이용한다.
ClogP값이란, 1-옥탄올과 물에 대한 분배 계수 P의 상용 대수 logP를 계산에 의하여 구한 값이다. ClogP값의 계산에 이용하는 방법이나 소프트웨어에 대해서는 공지의 것을 이용할 수 있지만, 특별히 설명하지 않는 한, 본 발명에서는 Daylight Chemical Information Systems사의 시스템: PCModels에 내장된 ClogP 프로그램을 이용하는 것으로 한다.
식 (X):
RA3은 RN과 동의이다. RA1 및 RA2는, 각각 독립적으로, 수소 원자, 알킬기(탄소수 1~12가 바람직하고, 1~6이 보다 바람직하며, 1~3이 특히 바람직함), 알켄일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 알카인일기(탄소수 2~12가 바람직하고, 2~6이 보다 바람직함), 아릴기(탄소수 6~22가 바람직하고, 6~14가 보다 바람직함), 아랄킬기(탄소수 7~23이 바람직하고, 7~15가 보다 바람직함), 설판일기, 하이드록시기, 또는 아미노기이다. 단 RA1 및 RA2 중 적어도 한쪽은 설판일기, 하이드록시기, 또는 아미노기(탄소수 0~6이 바람직하고, 0~3이 보다 바람직함)인 것이 바람직하다.
식 (XI):
Y7 및 Y8은, 각각 독립적으로, 산소 원자, 황 원자, 또는 이미노기(NRN), 카보닐기이다. RB1은 치환기(하기 치환기 T가 바람직함)이다. nB는 0~8의 정수이다. 단, Y7 및 Y8 중 어느 한쪽은 메틸렌기(CRC 2)여도 된다.
식 (XII):
Y9 및 Y10은, 각각 독립적으로, 산소 원자, 황 원자, 메틸렌기(CRC 2), 또는 이미노기(NRN), 카보닐기이다. Y9 및 Y10은, 6원환의 다른 위치여도 된다.
X5 및 X6은, 황 원자 또는 산소 원자이다. 파선은 그 결합이 단결합이어도 되고 이중 결합이어도 되는 것을 의미한다. RC1은 치환기(하기 치환기 T가 바람직함)이다. nC는 0~2의 정수이다.
RC1이 복수 존재할 때, 서로 동일해도 되고 상이해도 되며, 결합 내지 축합하여 환을 형성하고 있어도 된다.
식 (XIII):
X3은, 산소 원자, 황 원자, 이미노기(NRM)이다. RM은 수소 원자 또는 탄소수 1~24의 알킬기이며, 2~20의 알킬기인 것이 바람직하고, 4~16의 알킬기인 것이 보다 바람직하며, 6~12의 알킬기인 것이 특히 바람직하다.
X5는, 산소 원자, 황 원자, 이미노기(NRM), 또는 메틸렌기(CRC 2)이다.
RD1은 치환기이며 하기 치환기 T가 바람직하다. RD1은 그 중에서도, 1~24의 알킬기인 것이 바람직하고, 1~12의 알킬기인 것이 보다 바람직하다.
nD는 0~6의 정수이며, 0~2의 정수가 바람직하고, 1이 특히 바람직하다.
그 중에서도, 식 중의 X3-CO-X5는 NRN-CO-CRC 2, O-CO-O, O-CO-CRC 2인 것이 바람직하다.
상기 특정 유기 첨가제는, 하기 실시예의 표에 기재된 화합물로 이루어지는 것이 특히 바람직하다. 특정 유기 첨가제 중, 제1 군에 속하는 것의 농도는, 에칭액 중, 50질량% 이상인 것이 바람직하고, 55질량% 이상인 것이 보다 바람직하며, 60질량% 이상이 더 바람직하고, 70질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는, 99질량% 이하가 바람직하고, 95질량% 이하가 보다 바람직하며, 90질량% 이하가 특히 바람직하다.
특정 유기 첨가제 중, 표 A의 제2 군에 속하는 것의 농도는, 에칭액 중, 0.005질량% 이상인 것이 바람직하고, 0.01질량% 이상인 것이 보다 바람직하며, 0.03질량% 이상이 더 바람직하고, 0.05질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는, 10질량% 이하가 바람직하고, 7 질량% 이하가 보다 바람직하며, 5질량% 이하가 특히 바람직하다.
이 첨가량을 규정함으로써, 금속층(제2 층)의 양호한 에칭성을 유지하면서, 저마늄 함유층(제1 층) 내지 그 저마늄 실리사이드층(제3 층)의 손상을 효과적으로 억제할 수 있기 때문에 바람직하다.
여기에서 특정 유기 첨가제의 이점에 대하여 언급하면, 알칼리는 상온에서는 금속을 용해하기 어렵고, 이로 인하여 이를 고온에서 사용하는 것이 유효하다. 한편, 처리를 고온으로 함으로써 그 하층의 Ge층도 데미지를 받는다. 따라서, 본 실시형태에 의하면, Ge의 용해를 특히 억제하는 기능이 있는 화합물을 선택하여 첨가함으로써, 알칼리를 고온에서 사용한 경우의 금속층의 에칭성에 있어서의 이점을 유지하여, Ge층의 데미지를 효과적으로 방지할 수 있다.
표 B의 제1 군, 제2 군의 첨가제에서 그 바람직한 농도 범위가 상이한 이유에 대해서는, 그 작용 기구의 차이로부터 이하와 같이 생각된다. 즉, 표 B의 제1 군은 주로 처리액 중에 있어서 주용제의 기능을 하여, 상기 저마늄을 포함하는 제1 층의 성분의 용출을 억제하는 작용을 나타내는 것이라고 생각된다. 액중에서 주용제로서 기능하여 그 효과를 나타내기 위하여, 그 농도로서 상기와 같이 높은 듯한 것이 바람직하다. 이에 대하여, 표 B의 제2 군에 속하는 첨가제는, 저마늄(Ge)을 포함하는 제1 층의 표면에 흡착하여, 그 표면에 보호층을 형성한다고 해석된다. 따라서, 그 첨가량은 제1 층을 보호할 목적에 대하여 충분한 양의 첨가량이면 되고, 상기와 같이 비교적 소량인 것이 바람직하다.
상기 각 식과 제1 군 및 제2 군과의 구별에 대해서는, 식 (V) 혹은 그 일부, (VI), (IIX), (IX), (XI)에 관한 화합물이 제1 군이며, 그 외의 식 내지 식 (V) 혹은 그 일부에 관한 화합물이 제2 군인 것이 바람직하다.
다만, 상기 특정 유기 첨가제와 상기 알칼리 화합물은, 그 규정상, 중복되는 것도 있지만, 기능적인 측면에서 구별되면 된다. 즉, 알칼리 화합물은 주로 에칭을 촉진하는 성분으로서 적용되고, 특정 유기 첨가제는 저마늄층을 보호하는 역할을 하는 성분으로서 적용된다. 구별할 때에는, 상기 알칼리 화합물의 규정을 우선하고, 상기 특정 유기 첨가제는 이를 제외한 것으로서 양자를 구분할 수 있다.
다만, 본 발명에 있어서, 특정 유기 첨가제는, 1종만을 이용해도 되고, 2종 이상을 병용하여 이용해도 된다. "2종 이상의 병용"이란, 예를 들면 상술한 식 (I)에 해당하는 화합물과 식 (II)에 해당하는 화합물의 2종을 병용하도록 하는 경우뿐만 아니라, 식 (I)에 해당하는 화합물 2종인 경우(예를 들면, 식 (I)의 범주이지만, 원자단 R11, R12, X1 중 적어도 하나가 상이한 화합물 2종인 경우)도 포함한다. 2종 이상을 병용하는 경우, 그 병용 비율은 특별히 한정되지 않지만, 합계 사용량은, 2종 이상의 특정 유기 첨가제의 총합으로서 상술한 농도 범위로 하는 것이 바람직하다.
본 명세서에 있어서 화합물의 표시(예를 들면, 화합물이라고 말미에 붙여 부를 때)에 대해서는, 상기 화합물 자체 외에, 그 염, 그 이온을 포함하는 의미로 이용한다. 또, 원하는 효과를 나타내는 범위에서, 에스터화하거나 치환기를 도입하는 등 일부를 변화시킨 유도체를 포함하는 의미이다.
본 명세서에 있어서 치환·무치환을 명기하고 있지 않는 치환기(연결기에 대해서도 동일)에 대해서는, 그 기에 임의의 치환기를 갖고 있어도 된다는 의미이다. 이는 치환·무치환을 명기하고 있지 않는 화합물에 대해서도 동의이다. 바람직한 치환기로서는, 하기 치환기 T를 들 수 있다.
치환기 T로서는, 하기의 것을 들 수 있다.
알킬기(바람직하게는 탄소 원자수 1~20의 알킬기, 예를 들면 메틸, 에틸, 아이소프로필, t-뷰틸, 펜틸, 헵틸, 1-에틸펜틸, 벤질, 2-에톡시에틸, 1-카복시메틸 등), 알켄일기(바람직하게는 탄소 원자수 2~20의 알켄일기, 예를 들면 바이닐, 알릴, 올레일 등), 알카인일기(바람직하게는 탄소 원자수 2~20의 알카인일기, 예를 들면 에타인일, 뷰타다인일, 페닐에타인일 등), 사이클로알킬기(바람직하게는 탄소 원자수 3~20의 사이클로알킬기, 예를 들면 사이클로프로필, 사이클로펜틸, 사이클로헥실, 4-메틸사이클로헥실 등), 아릴기(바람직하게는 탄소 원자수 6~26의 아릴기, 예를 들면 페닐, 1-나프틸, 4-메톡시페닐, 2-클로로페닐, 3-메틸페닐 등), 헤테로환기(바람직하게는 탄소 원자수 2~20의 헤테로환기, 혹은 바람직하게는 적어도 하나의 산소 원자, 황 원자, 질소 원자를 갖는 5 또는 6원환의 헤테로환기, 예를 들면 2-피리딜, 4-피리딜, 2-이미다졸일, 2-벤조이미다졸일, 2-싸이아졸일, 2-옥사졸일 등), 알콕시기(바람직하게는 탄소 원자수 1~20의 알콕시기, 예를 들면 메톡시, 에톡시, 아이소프로필옥시, 벤질옥시 등), 아릴옥시기(바람직하게는 탄소 원자수 6~26의 아릴옥시기, 예를 들면 페녹시, 1-나프틸옥시, 3-메틸페녹시, 4-메톡시페녹시 등), 알콕시카보닐기(바람직하게는 탄소 원자수 2~20의 알콕시카보닐기, 예를 들면 에톡시카보닐, 2-에틸헥실옥시카보닐 등), 아미노기(바람직하게는 탄소 원자수 0~20의 아미노기, 알킬아미노기, 아릴아미노기를 포함하고, 예를 들면 아미노, N,N-다이메틸아미노, N,N-다이에틸아미노, N-에틸아미노, 아닐리노 등), 설파모일기(바람직하게는 탄소 원자수 0~20의 설폰아마이드기, 예를 들면 N,N-다이메틸설파모일, N-페닐설파모일 등), 아실기(바람직하게는 탄소 원자수 1~20의 아실기, 예를 들면 아세틸, 프로피온일, 뷰틸일, 벤조일 등), 아실옥시기(바람직하게는 탄소 원자수 1~20의 아실옥시기, 예를 들면 아세틸옥시, 벤조일옥시 등), 카바모일기(바람직하게는 탄소 원자수 1~20의 카바모일기, 예를 들면 N,N-다이메틸카바모일, N-페닐카바모일 등), 아실아미노기(바람직하게는 탄소 원자수 1~20의 아실아미노기, 예를 들면 아세틸아미노, 벤조일아미노 등), 설폰아마이드기(바람직하게는 탄소 원자수 0~20의 설파모일기, 예를 들면 메테인설폰아마이드, 벤젠설폰아마이드, N-메틸메테인설폰아마이드, N-에틸벤젠설폰아마이드 등), 알킬싸이오기(바람직하게는 탄소 원자수 1~20의 알킬싸이오기, 예를 들면 메틸싸이오, 에틸싸이오, 아이소프로필싸이오, 벤질싸이오 등), 아릴싸이오기(바람직하게는 탄소 원자수 6~26의 아릴싸이오기, 예를 들면 페닐싸이오, 1-나프틸싸이오, 3-메틸페닐싸이오, 4-메톡시페닐싸이오 등), 알킬 혹은 아릴설폰일기(바람직하게는 탄소 원자수 1~20의 알킬 혹은 아릴설폰일기, 예를 들면 메틸설폰일, 에틸설폰일, 벤젠설폰일 등), 하이드록실기, 사이아노기, 할로젠 원자(예를 들면 불소 원자, 염소 원자, 브로민 원자, 아이오딘 원자 등)이며, 보다 바람직하게는 알킬기, 알켄일기, 아릴기, 헤테로환기, 알콕시기, 아릴옥시기, 알콕시카보닐기, 아미노기, 아실아미노기, 하이드록실기 또는 할로젠 원자이며, 특히 바람직하게는 알킬기, 알켄일기, 헤테로환기, 알콕시기, 알콕시카보닐기, 아미노기, 아실아미노기 또는 하이드록실기이다.
또, 이들의 치환기 T로 든 각 기는, 상기의 치환기 T가 추가로 치환되어 있어도 된다.
화합물 내지 치환기·연결기 등이 알킬기·알킬렌기, 알켄일기·알켄일렌기, 알카인일기·알카인일렌기 등을 포함할 때, 이들은 환상이어도 되고 쇄상이어도 되며, 또 직쇄여도 되고 분기하고 있어도 되며, 상기와 같이 치환되어 있어도 되고 무치환이어도 된다. 또 아릴기, 헤테로환기 등을 포함할 때, 이들은 단환이어도 되고 축환이어도 되며, 마찬가지로 치환되어 있어도 되고 무치환이어도 된다.
(수 매체)
본 발명의 에칭액에는, 그 일 실시형태에 있어서, 그 매체로서 물(수 매체)이 적용되어도 된다. 물(수 매체)로서는, 본 발명의 효과를 해치지 않는 범위에서 용해 성분을 포함하는 수성 매체여도 되고, 혹은 불가피적인 미량 혼합 성분을 포함하고 있어도 된다. 그 중에서도, 증류수나 이온 교환수, 혹은 초순수와 같은 정화 처리가 실시된 물이 바람직하고, 반도체 제조에 사용되는 초순수를 이용하는 것이 특히 바람직하다.
(키트)
본 발명에 있어서의 에칭액은, 그 원료를 복수로 분할한 키트로 해도 된다. 예를 들면, 제1 액으로서 상기 알칼리 화합물을 물에 함유하는 액조성물을 준비하고, 제2 액으로서 상기 특정 유기 첨가제를 수 매체에 함유하는 액조성물을 준비하는 양태를 들 수 있다. 이 때 그 외의 산화제 등의 성분은 각각 따로 혹은 함께 제1 액, 제2 액, 또는 그 외의 제3 액에 함유시켜 둘 수 있다. 그 중에서도 바람직하게는, 특정 유기 화합물 및 알칼리 화합물을 함유하는 제1 액과, 산화제를 함유하는 제2 액과의 키트로 하는 양태이다.
그 사용예로서는, 양 액을 혼합하여 에칭액을 조액하고, 그 후 적시에 상기 에칭 처리에 적용하는 양태가 바람직하다. 이와 같이 함으로써, 각 성분의 분해에 의한 액성능의 열화를 초래하는 일 없이, 원하는 에칭 작용을 효과적으로 발휘시킬 수 있다. 여기에서, 혼합 후 "적시"란, 혼합 후 원하는 작용을 잃을 때까지의 시기를 가리키며, 구체적으로는 60분 이내인 것이 바람직하고, 30분 이내인 것이 보다 바람직하며, 10분 이내인 것이 더 바람직하고, 1분 이내인 것이 특히 바람직하다. 하한은 특별히 없지만, 1초 이상인 것이 실제적이다.
제1 액과 제2 액의 혼합의 방법은 특별히 한정되지 않지만, 제1 액과 제2 액을 각각의 유로에 유통시키고, 양자를 그 합류점에서 합류시켜 혼합하는 것이 바람직하다. 그 후, 추가로 유로를 유통시켜, 합류하여 얻어진 에칭액을 토출구로부터 토출 내지 분사하고, 반도체 기판과 접촉시키는 것이 바람직하다. 이 실시형태로 말하자면, 상기 합류점에서의 합류 혼합으로부터 반도체 기판으로의 접촉까지의 과정이, 상기 "적시"에 행해지는 것이 바람직하다. 이것을, 도 3을 이용하여 설명하면, 조제된 에칭액이 토출구(13)로부터 분사되어, 처리 용기(처리조)(11) 내의 반도체 기판(S)의 상면에 적용된다. 동 도면에 나타낸 실시형태에서는, A 및 B의 2액이 공급되어, 합류점(14)에서 합류하고, 그 후 유로(fc)를 통하여 토출구(13)로 이행하도록 되어 있다. 유로(fd)는 약액을 재이용하기 위한 반환 경로를 나타내고 있다. 반도체 기판(S)은 회전 테이블(12) 상에 있으며, 회전 구동부(M)에 의하여 회전 테이블과 함께 회전되는 것이 바람직하다. 다만, 이와 같은 기판 회전식의 장치를 이용하는 실시형태는, 키트로 하지 않는 에칭액을 이용한 처리에 있어서도 동일하게 적용할 수 있다.
다만, 본 발명의 에칭액은, 그 사용 용도를 감안하여, 액중의 불순물, 예를 들면 금속 분(分) 등은 적은 것이 바람직하다. 특히, 액중의 Na, K, Ca이온 농도가 1ppt~1ppm의 범위에 있는 것이 바람직하다. 또, 에칭액에 있어서, 평균 입경 0.5μm 이상의 조대 입자수가 100개/cm3 이하의 범위에 있는 것이 바람직하다.
(용기)
본 발명의 에칭액은, (키트인지 아닌지에 관계없이) 대부식성 등이 문제가 되지 않는 한, 임의의 용기에 충전하여 보관, 운반, 그리고 사용할 수 있다. 또, 반도체 용도용으로, 용기의 청결도가 높고, 불순물의 용출이 적은 것이 바람직하다. 사용 가능한 용기로서는, 아이셀로 가가쿠(주)제의 "클린 보틀" 시리즈, 고다마 주시 고교(주)제의 "퓨어 보틀" 등을 들 수 있지만, 이들에 한정되는 것은 아니다.
[에칭 조건]
본 발명의 에칭 방법에 있어서는, 매엽식 장치를 이용하는 것이 바람직하다. 구체적으로 매엽식 장치는, 처리조를 갖고, 상기 처리조에서 상기 반도체 기판을 반송 혹은 회전시켜, 그 처리조 내에 상기 에칭액을 부여(토출, 분사, 유하, 적하 등)하고, 상기 반도체 기판에 상기 에칭액을 접촉시키는 것이 바람직하다.
매엽식 장치의 메리트로서는, (i) 항상 신선한 에칭액이 공급되므로, 재현성이 좋고, (ii) 면내 균일성이 높은 것과 같은 것을 들 수 있다. 또한, 에칭액을 복수로 나눈 키트를 이용하기 쉽고, 예를 들면 상기 제1 액과 제2 액을 인라인으로 혼합하여, 토출하는 방법이 적합하게 채용된다. 이 때, 상기의 제1 액과 제2 액을 모두 온도 조절하거나, 어느 한쪽만 온도 조절하여, 인라인으로 혼합하여 토출하는 방법이 바람직하다. 그 중에서도, 모두 온도 조절하는 실시형태가 보다 바람직하다. 라인의 온도 조절을 행할 때의 관리 온도는, 하기 처리 온도와 동일한 범위로 하는 것이 바람직하다.
매엽식 장치는 그 처리조에 노즐을 구비하는 것이 바람직하고, 이 노즐을 반도체 기판의 면방향으로 스윙시켜 에칭액을 반도체 기판에 토출하는 방법이 바람직하다. 이와 같이 함으로써, 액의 열화를 방지할 수 있어 바람직하다. 또, 키트로 하여 2액 이상으로 나눔으로써 유해한 가스 등을 발생시키기 어렵게 할 수 있어 바람직하다.
에칭을 행하는 처리 온도는, 하기 실시예에서 나타내는 온도 측정 방법에 있어서, 15℃ 이상인 것이 바람직하고, 30℃ 이상인 것이 보다 바람직하며, 35℃ 이상인 것이 더 바람직하다. 상한으로서는, 90℃ 이하인 것이 바람직하고, 80℃ 이하인 것이 보다 바람직하며, 70℃ 이하인 것이 특히 바람직하다. 상기 하한값 이상으로 함으로써, 제2 층에 대한 충분한 에칭 속도를 확보할 수 있어 바람직하다. 상기 상한값 이하로 함으로써, 에칭 처리 속도의 경시 안정성을 유지할 수 있어 바람직하다.
에칭액의 공급 속도는 특별히 한정되지 않지만, 0.05~5L/min으로 하는 것이 바람직하고, 0.1~3L/min으로 하는 것이 보다 바람직하다. 상기 하한값 이상으로 함으로써, 에칭의 면내의 균일성을 더 양호하게 확보할 수 있어 바람직하다. 상기 상한값 이하로 함으로써, 연속 처리 시에 안정된 성능을 확보할 수 있어 바람직하다. 반도체 기판을 회전시킬 때에는, 그 크기 등에 따라서도 다르지만, 상기와 동일한 관점에서, 50~1000rpm으로 회전시키는 것이 바람직하다.
본 발명의 바람직한 실시형태에 관한 매엽식의 에칭에 있어서는, 반도체 기판을 소정의 방향으로 반송 혹은 회전시켜, 그 공간에 에칭액을 분사하고 상기 반도체 기판에 상기 에칭액을 접촉시키는 것이 바람직하다. 에칭액의 공급 속도나 기판의 회전 속도에 대해서는 이미 설명한 바와 동일하다.
본 발명의 바람직한 실시형태에 관한 매엽식의 장치 구성에 있어서는, 도 4에 나타내는 바와 같이, 토출구(노즐)를 이동시키면서, 에칭액을 부여하는 것이 바람직하다. 구체적으로, 본 실시형태에 있어서는, 반도체 기판(S)에 대하여 에칭액을 적용할 때에, 기판이 r방향으로 회전되고 있다. 한편, 상기 반도체 기판의 중심부로부터 단부로 뻗는 이동 궤적선(t)을 따라, 토출구가 이동하도록 되어 있다. 이와 같이 본 실시형태에 있어서는, 기판의 회전 방향과 토출구의 이동 방향이 상이한 방향으로 설정되어 있으며, 이로써 양자가 서로 상대 운동하도록 되어 있다. 그 결과, 반도체 기판의 전체면에 골고루 에칭액을 부여할 수 있어, 에칭의 균일성이 적합하게 확보되는 구성으로 되어 있다.
토출구(노즐)의 이동 속도는 특별히 한정되지 않지만, 0.1cm/s 이상인 것이 바람직하고, 1cm/s 이상인 것이 보다 바람직하다. 한편, 그 상한으로서는, 30cm/s 이하인 것이 바람직하고, 15cm/s 이하인 것이 보다 바람직하다. 이동 궤적선은 직선이어도 되고 곡선(예를 들면 원호상)이어도 된다. 어느 경우에도 이동 속도는 실제의 궤적선의 거리와 그 이동에 소비된 시간으로부터 산출할 수 있다. 기판 1매의 에칭에 필요한 시간은 10~180초의 범위인 것이 바람직하다.
상기 금속층은 높은 에칭 레이트로 에칭되는 것이 바람직하다. 제2 층(금속층)의 에칭 레이트[R2]는, 금속의 종류에 따라서도 다르지만, 생산 효율을 고려하여, 20Å/min 이상인 것이 바람직하고, 100Å/min 이상이 보다 바람직하며, 200Å/min 이상인 것이 특히 바람직하다. 상한은 특별히 없지만, 1200Å/min 이하인 것이 실제적이다.
금속층의 노출폭은 특별히 한정되지 않지만, 본 발명의 이점이 보다 현저해지는 관점에서, 2nm 이상인 것이 바람직하고, 4nm 이상인 것이 보다 바람직하다. 마찬가지로 효과의 현저성의 관점에서, 상한값은 1000nm 이하인 것이 실제적이고, 100nm 이하인 것이 바람직하며, 20nm 이하인 것이 보다 바람직하다.
저마늄을 포함하는 층(제1 층) 내지 그 저마늄 실리사이드층(제3 층)의 에칭 레이트[R1]은, 특별히 한정되지 않지만, 과도하게 제거되지 않는 것이 바람직하고, 50Å/min 이하인 것이 바람직하며, 20Å/min 이하인 것이 보다 바람직하고, 10Å/min 이하인 것이 특히 바람직하다. 하한은 특별히 없지만, 측정 한계를 고려하면 0.1Å/min 이상인 것이 실제적이다.
제1 층의 선택적 에칭에 있어서, 그 에칭 레이트비([R2]/[R1])는 특별히 한정되지 않지만, 높은 선택성을 필요로 하는 소자를 전제로 말하자면, 2 이상인 것이 바람직하고, 10 이상인 것이 보다 바람직하며, 20 이상인 것이 더 바람직하다. 상한으로서는 특별히 규정되지 않고, 높을수록 바람직하지만, 5000 이하인 것이 실제적이다. 다만, 저마늄 실리사이드층(제3 층)의 에칭 거동은, 그 어닐링 전의 층(예를 들면 SiGe나 Ge의 제1 층)과 공통되고 있으며, 제1 층의 에칭 속도에 따라 대용할 수 있다.
[반도체 기판 제품의 제조]
본 실시형태에 있어서는, 실리콘 웨이퍼 상에, 상기 실리콘층과 금속층을 형성한 반도체 기판으로 하는 공정과, 상기 반도체 기판을 어닐링하는 공정, 상기 반도체 기판에 에칭액을 부여하여, 에칭액과 금속층을 접촉시키고, 상기 금속층을 선택적으로 제거하는 공정을 통하여, 원하는 구조를 갖는 반도체 기판 제품을 제조하는 것이 바람직하다. 이 때, 에칭에는 상기 특정의 에칭액을 이용한다. 상기의 공정의 순서는 제한되어 해석되는 것이 아니라, 각각의 공정 사이에 또 다른 공정을 포함하고 있어도 된다.
웨이퍼 사이즈는 특별히 한정되지 않지만, 직경 8인치, 직경 12인치, 또는 직경 14인치의 것을 적합하게 사용할 수 있다.
실시예
이하, 실시예를 들어 본 발명을 보다 상세하게 설명하지만, 본 발명은, 이하의 실시예에 한정되는 것은 아니다.
(샐리사이드 가공 기판의 제작)
시판 중인 실리콘 기판(직경: 12인치) 상에, SiGe를 에피텍셜 성장시켜, 두께 50nm의 막두께로 형성했다. 마찬가지로 하여 Ti의 막(두께 20nm)도 CVD 등으로 제작한 블랭킷 웨이퍼를 준비했다. 이 때, SiGe 에피텍셜층은, 저마늄을 50~60질량% 함유하고 있었다. 표 1의 시험에 있어서는, 이 블랭킷 웨이퍼를 이용하여 각 처리액의 에칭 처리를 행했다.
(에칭 시험)
·SWT
상기의 시험용 기판에 대하여, 매엽식 장치(SPS-Europe B. V.사제, POLOS(상품명))에서 하기의 조건으로 에칭을 행하여, 평가 시험을 실시했다.
·처리 온도 : 표 중에 기재
·토출량 : 1L/min.
·웨이퍼 회전수 : 500rpm
·노즐 이동 속도 : 7cm/s
다만, 에칭액의 공급은, 표 1에서는 1액, 표 2에서는 2액으로 나누어 라인 혼합에 의하여 행했다(도 3 참조). 공급 라인(fc)은 가열에 의하여 60℃로 온도 조절했다.
제1 액 (A): 알칼리 화합물, 특정 화합물, 및 물
제2 액 (B): 산화제 및 물
제1 액과 제2 액의 비율은 체적으로 대략 등량이 되도록 했다. 처방에 따라서는, 알칼리 화합물뿐이기 때문에, 그 경우에는 1액으로의 처리로 했다.
·배치
배치식의 처리 장치(세토 기켄 고교사제, 웨트 벤치(상품명))를 이용하여 에칭을 행했다. 구체적으로는, 50℃의 처리욕에 웨이퍼를 1분간 침지하여 처리했다.
(처리 온도의 측정 방법)
가부시키가이샤 호리바 세이사쿠쇼제의 방사 온도계 IT-550F(상품명)를 상기 매엽식 장치 내의 웨이퍼 상 30cm의 높이로 고정했다. 웨이퍼 중심으로부터 2cm 외측의 웨이퍼 표면 상에 온도계를 향하게 하여, 약액을 흘려보내면서 온도를 계측했다. 온도는, 방사 온도계로부터 디지털 출력하여, 컴퓨터로 연속적으로 기록했다. 이 중 온도가 안정된 10초 간의 온도를 평균한 값을 웨이퍼 상의 온도로 했다.
(에칭 속도)
Ge 에칭 속도(ER)에 대해서는, 엘립소메트리(분광 엘립소미터, J·A·Woollam·Japan 주식회사 Vase를 사용함)를 이용하여 에칭 처리 전후의 막두께를 측정함으로써 산출했다. 5점의 평균값을 채용했다(측정 조건 측정 범위: 250-1000nm, 측정각: 65, 70, 75도). 에칭성에 대한 평가는 하기와 같이 구분하여 표 1에 나타냈다.
[Ge]
A 5Å/min 미만
B 5Å/min 이상 30Å/min 미만
C 30Å/min 이상
[Ti]
C 50Å/min 미만
B 50Å/min 이상 100Å/min 미만
A 100Å/min 이상
(면내 균일성 평가)
원형의 기판(직경 12inch)의 중심의 에칭 깊이를, 시간을 변경하여 조건 설정을 행하고, 에칭 깊이가 5Å이 되는 시간을 확인했다. 다음으로 그 시간으로 기판 전체를 다시 에칭했을 때에 기판의 주변으로부터 중심 방향으로 30mm의 위치에서의 에칭 깊이를 측정하여, 그 깊이가 300Å에 가까울수록 면내 균일성이 높다고 평가했다. 구체적인 구분은 하기와 같다. 이 때의 측정 위치는 도 5에 나타낸 9개소로 하여, 그 평균값으로 평가했다.
A ±1 이상 5Å 미만
B ±5 이상 10Å 미만
C ±10 이상 20Å 미만
다만, 면내 균일성은, 시간을 들여 모두 제거할 수 있는 경우에는 문제가 되지 않지만, 반도체 제조에 있어서는, 제조 공정상, 소정 시간 내에 처리를 하는 요청이 많고, 원하는 금속층을 단시간에 균일하게 제거할 수 있는 것이 바람직하다. 또, 반대로 너무 시간을 들이면, 본래 용해하고 싶지 않은 부분(저마늄 실리사이드층)이 점차 용해되는 등, 손상을 주게 되는 경우가 있다. 따라서, 품질면에서도, 에칭 처리는 짧은 것이 바람직하고(예를 들면 1~2분), 그 때에 면내에서 용해되지 않고 남은 부분이 없는 균일한 에칭이 중요하게 된다.
(Ge 농도)
SiGe(실리콘 저마늄)층의 저마늄의 함유율은 이하와 같이 하여 측정했다. 저마늄(Ge)을 포함하는 제1 층의 기반을 에칭 ESCA(ULVAC-PHI제 Quantera)로 0~30nm까지의 깊이 방향을 분석하여, 3~15nm 분석 결과에 있어서의 Ge 농도의 평균값을 Ge 농도(질량%)로 했다.
(파티클의 제거성)
KLA 텐콜사제 레이저식 파티클 카운터를 사용하여, 파티클 제거율을 비교했다.
파티클 제거율(%)
={(처리 전-처리 후)/처리 전}×100
A 50 이상 100 이하
B 20 이상 50 미만
C 0 이상 20 미만
다만, 파티클이란, 입자상의 이물을 말한다. 구체적으로는, 유기물, 산화물계 성분을 나타낸다.
(경시 안정성)
처리 전후의 ER(에칭 속도)의 비로 정의한다. 다만, 본 시험에서는 에칭액의 보충을 행하지 않고 반환 유로(fd)를 통하여 에칭액을 순환시켰다.
경시 안정성(%)
={(ER(1)-ER(2))/ER(1)}×100
ER(1): 에칭 처리 개시 직후의 에칭 속도
ER(2): 에칭 처리 개시 10분 후의 에칭 속도
A 50 이상 100 미만
B 20 이상 50 미만
C 0 이상 20 미만
[표 1]
Figure pct00017
ER: 에칭 속도
Ge: 저마늄 실리사이드층
[표 2]
Figure pct00018
ER: 에칭 속도
TMAH aq : TMAH 25질량% 수용액
NH3 aq : 암모니아 28질량% 수용액
H2O2 aq : H2O2 30질량% 수용액
[표 A]
표 A
Figure pct00019
[표 B]
표 B
Figure pct00020
ANSA, ADPNA의 알킬기는, 각각 아이소프로필기, 도데실기이다.
폴리프로필렌글라이콜의 탄소수는 6~100이다.
본 발명에 의하면, 저마늄을 포함하는 제1 층에 대하여, 특정 금속을 포함하는 제2 층을 선택적으로 제거할 수 있으며, 파티클의 제거성도 양호하다는 것을 알 수 있다. 또, 특정 유기 첨가제를 함유시키는 에칭액을 이용함으로써, 그 선택성은 더 양호해지는 것을 알 수 있다. 또한, 본 발명에 있어서, 매엽식 장치를 이용하는 것이 특히 바람직하고, 이로써, 제2 층(금속층)의 제거에 관한 양호한 면내 균일성을 달성하여, 경시의 안정성도 우수한 것을 알 수 있다.
상기의 시험 기판에 대하여, Ti로 변경하여, NiPt, Co, W에 대해서도 동일한 시험을 행했다. 그 결과, Ti 금속층에 대하여 에칭 속도가 저하했지만, 처리 온도를 조절하는 등에 의하여, 각 금속층의 적합한 에칭이 가능했다. 또, 표 1, 표 2의 결과와 마찬가지로, 각 금속층에 대하여 특히 방식제를 첨가함으로써 Ge층과의 에칭 선택성도 양호하고, 또한 파티클의 제거성도 우수했다.
또한, 상기 기판으로 변경하여, SiGe층, Ti 금속층, 및 그 층 사이에 어닐링 처리에 의하여 형성된 저마늄 실리사이드층을 갖는 기판에서 평가를 행하여, Ti에 대한 선택적인 에칭 성능을 나타내는 것이 확인되었다.
상기 101~208의 시험에 대하여, 추가로 상기의 예시 화합물 A-1~A-18을 1.0질량% 첨가한 것 이외에는 동일한 실험을 행했다. 그 결과, Ti에 대해서는 양호한 에칭성을 나타내고(B 이상), 한편 SiGe층에 대해서도 높은 보호성(A)을 나타냈다. Ti의 에칭에 관한 면내 균일성과 파티클의 제거성에 대해서도 양호한 성능이 얻어지는 것을 확인했다.
1 금속층(제2 층)
2 실리콘층(제1 층)
3 저마늄 실리사이드층(제3 층)
11 처리 용기(처리조)
12 회전 테이블
13 토출구
14 합류점
S 기판
21 실리콘 기판
22 게이트 절연막
23 게이트 전극
25 사이드 월
26 소스 전극
27 드레인 전극
28 NiPt막
90A, 90B 치환 게이트 스택
92A, 92B 웰
94A, 94B 소스/드레인 확장 영역
96A, 96B 소스/드레인 영역
91A, 91B 금속 반도체 합금 부분
95A, 95B 게이트 스페이서
97A, 97B 게이트 절연막
81 제1 일함수 재료층
82A, 82B 제2 일함수 재료층
83A, 83B 금속 부분
93 트렌치 구조부
99 평탄화 유전체층
본 발명을 그 실시형태와 함께 설명했지만, 우리는 특별히 지정하지 않는 한 우리의 발명을 설명의 어느 세부에 있어서도 한정하려고 하는 것은 아니며, 첨부한 청구의 범위에 나타낸 발명의 정신과 범위에 반하지 않고 폭넓게 해석되는 것이 당연하다고 생각한다.
본원은, 2013년 5월 2일에 일본에서 특허출원된 특허출원 2013-097158에 근거하여 우선권을 주장하는 것이며, 이들은 여기에 참조하여 그 내용을 본 명세서의 기재된 일부로서 원용한다.

Claims (23)

  1. 저마늄(Ge)을 포함하는 제1 층과, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 적어도 1종의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 상기 제2 층을 선택적으로 제거하는 에칭 방법으로서, 알칼리 화합물을 포함하는 에칭액을 상기 제2 층에 접촉시켜 상기 제2 층을 제거하는 반도체 기판의 에칭 방법.
  2. 청구항 1에 있어서,
    상기 제1 층의 저마늄(Ge)의 농도가 40질량% 이상인 에칭 방법.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 알칼리 화합물이, 하기 식 (I-1)로 나타나는 무기염기, 하기 식 (O-1)~(O-5) 중 어느 하나로 나타나는 유기염기, 하기 식 (H-1)로 나타나는 하이드라진류, 하기 식 (a-1)~(a-8)로부터 선택되는 반복 단위를 갖는 화합물, 혹은 하기 식 (b)로 나타나는 화합물인 에칭 방법.
    M(OH)nI (I-1)
    M은, 알칼리 금속, 알칼리 토류 금속, NH4, NRN 2(RN은 수소 원자 또는 탄소수 1~6의 알킬기), 천이 원소, 또는 희토류 원소이다. nI는 정수이다.
    [화학식 1]
    Figure pct00021

    식 중, RO1~RO6은, 각각 독립적으로, 아실기, 알콕시기, 알콕시카보닐기, 알콕시카보닐아미노기, 하기 식 (x)로 나타나는 기, 알킬기, 알켄일기, 알카인일기, 아릴기, 또는 헤테로환기를 나타낸다.
    X1-(Rx1-X2)mx-Rx2-* (x)
    X1은 탄소수 0~4의 아미노기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Rx1 및 Rx2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. X2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. mx는 0~6의 정수를 나타낸다. *는 결합손이다.
    [화학식 2]
    Figure pct00022

    식 중, RO7~RO10은 각각 독립적으로 탄소수 1~20의 알킬기, 탄소수 2~20의 알켄일기, 탄소수 2~20의 알카인일기, 탄소수 6~14의 아릴기, 탄소수 7~15의 아랄킬기, 또는 하기 식 (y)로 나타나는 기이다.
    Y1-(Ry1-Y2)my-Ry2-* (y)
    Y1은 탄소수 1~12의 알킬기, 탄소수 2~12의 알켄일기, 탄소수 2~12의 알카인일기, 탄소수 7~15의 아랄킬기, 탄소수 6~14의 아릴기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Y2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. Ry1 및 Ry2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. *는 결합손이다.
    RO11은 RO7과 동의인 기이다. RO12는 치환기이다. mO는 0~5의 정수이다.
    M4-, M5-는 반대 이온이다.
    RH1 2N-NRH2 2 (H-1)
    RH1, RH2는, 각각 독립적으로, 수소 원자, 탄소수 1~6의 알킬기, 탄소수 2~6의 알켄일기, 탄소수 2~6의 알카인일기, 탄소수 6~10의 아릴기, 탄소수 7~15의 아랄킬기를 나타낸다.
    [화학식 3]
    Figure pct00023

    Ra는, 수소 원자, 알킬기, 알켄일기, 아릴기, 또는 헤테로환기를 나타낸다. Rb는, 알킬기 또는 알켄일기를 나타낸다. La는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기 또는 카보닐기가 바람직하다. Lb는, 단결합, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. Rc는, 수소 원자 또는 알킬기를 나타낸다. n은 0 이상의 정수를 나타낸다. Q1~Q3은 각각 독립적으로 함질소 복소환을 나타낸다.
    Rc 2N-[Ld-N(Rc)]m-Ld-NRc 2 (b)
    Rc는, 수소 원자 또는 알킬기를 나타낸다. m은 0 이상의 정수를 나타낸다. Ld는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다.
  4. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    액중의 상기 알칼리 화합물의 함유량이 0.01~20질량%인 에칭 방법.
  5. 청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
    상기 에칭액에 의한 에칭의 전후 중 어느 한 시점에 있어서, 상기 제1 층 및 제2 층 중 적어도 어느 하나에 가열 처리를 실시하는 에칭 방법.
  6. 청구항 1 내지 청구항 5 중 어느 한 항에 있어서,
    상기 제2 층을, 상기 제1 층 및 하기 제3 층에 대하여 선택적으로 제거하는 에칭 방법.
    [제3 층: 상기 제1 층과 제2 층과의 사이에 개재하는 저마늄(Ge) 및 상기 특정 금속 원소를 함유하는 층]
  7. 청구항 1 내지 청구항 6 중 어느 한 항에 있어서,
    상기 에칭액을 상기 반도체 기판에 부여할 때, 상기 반도체 기판을 회전시켜, 그 회전 중인 반도체 기판 상면으로부터 노즐을 통하여 상기 에칭액을 공급하는 에칭 방법.
  8. 청구항 7에 있어서,
    상기 노즐을 상기 반도체 기판의 회전에 대하여 상대 운동시키면서, 상기 에칭액을 부여하는 에칭 방법.
  9. 청구항 1 내지 청구항 8 중 어느 한 항에 있어서,
    상기 제2 층에 접촉할 때의 에칭액의 온도가 15~80℃의 범위인 에칭 방법.
  10. 청구항 1 내지 청구항 9 중 어느 한 항에 있어서,
    기판 1매의 에칭에 필요한 시간이 10~180초의 범위인 에칭 방법.
  11. 청구항 1 내지 청구항 10 중 어느 한 항에 있어서,
    상기 에칭의 전후 중 적어도 어느 한 시점에 상기 반도체 기판을 물로 세정하는 공정을 포함하는 에칭 방법.
  12. 청구항 1 내지 청구항 11 중 어느 한 항에 있어서,
    상기 에칭액이 산화제를 추가로 포함하고, 상기 산화제를 포함하지 않는 제1 액과, 상기 산화제를 포함하는 제2 액으로 구분하여 보존되는 에칭 방법.
  13. 청구항 12에 있어서,
    상기 제1 액 및 제2 액을, 상기 반도체 기판의 에칭 시에 적시에 혼합하는 에칭 방법.
  14. 청구항 1 내지 청구항 13 중 어느 한 항에 있어서,
    상기 에칭액이 추가로 하기 유기 첨가제를 함유하는 에칭 방법.
    [유기 첨가제: 질소 원자, 황 원자, 인 원자, 혹은 산소 원자를 함유하는 유기 화합물로 이루어지는 첨가제]
  15. 저마늄(Ge)을 포함하는 제1 층과, 저마늄(Ge) 이외의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 상기 제2 층을 선택적으로 제거하는 에칭액으로서, 알칼리 화합물을 포함하는 에칭액.
  16. 청구항 15에 있어서,
    상기 제1 층의 저마늄(Ge)의 농도가 40질량% 이상인 에칭액.
  17. 청구항 15 또는 청구항 16에 있어서,
    상기 제2 층을 구성하는 특정 금속 원소가, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 에칭액.
  18. 청구항 15 내지 청구항 17 중 어느 한 항에 있어서,
    상기 알칼리 화합물이, 하기 식 (I-1)로 나타나는 무기염기, 하기 식 (O-1)~(O-5) 중 어느 하나로 나타나는 유기염기, 하기 식 (H-1)로 나타나는 하이드라진류, 하기 식 (a-1)~(a-8)로부터 선택되는 반복 단위를 갖는 화합물, 혹은 하기 식 (b)로 나타나는 화합물인 에칭액.
    M(OH)nI (I-1)
    M은, 알칼리 금속, 알칼리 토류 금속, NH4, NRN 2(RN은 수소 원자 또는 탄소수 1~6의 알킬기), 천이 원소, 또는 희토류 원소이다. nI는 정수이다.
    [화학식 4]
    Figure pct00024

    식 중, RO1~RO6은, 각각 독립적으로, 아실기, 알콕시기, 알콕시카보닐기, 알콕시카보닐아미노기, 하기 식 (x)로 나타나는 기, 알킬기, 알켄일기, 알카인일기, 아릴기, 또는 헤테로환기를 나타낸다.
    X1-(Rx1-X2)mx-Rx2-* (x)
    X1은 탄소수 0~4의 아미노기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Rx1 및 Rx2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. X2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. mx는 0~6의 정수를 나타낸다. *는 결합손이다.
    [화학식 5]
    Figure pct00025

    식 중, RO7~RO10은 각각 독립적으로 탄소수 1~20의 알킬기, 탄소수 2~20의 알켄일기, 탄소수 2~20의 알카인일기, 탄소수 6~14의 아릴기, 탄소수 7~15의 아랄킬기, 또는 하기 식 (y)로 나타나는 기이다.
    Y1-(Ry1-Y2)my-Ry2-* (y)
    Y1은 탄소수 1~12의 알킬기, 탄소수 2~12의 알켄일기, 탄소수 2~12의 알카인일기, 탄소수 7~15의 아랄킬기, 탄소수 6~14의 아릴기, 하이드록시기, 또는 탄소수 1~4의 알콕시기를 나타낸다. Y2는, O, S, CO, NRN(RN은 수소 원자 또는 탄소수 1~6의 알킬기)을 나타낸다. Ry1 및 Ry2는 각각 독립적으로 탄소수 1~6의 알킬렌기, 탄소수 2~6의 알켄일렌기, 탄소수 2~6의 알카인일렌기, 탄소수 6~10의 아릴렌기, 또는 이들의 조합을 나타낸다. *는 결합손이다.
    RO11은 RO7과 동의인 기이다. RO12는 치환기이다. mO는 0~5의 정수이다.
    M4-, M5-는 반대 이온이다.
    RH1 2N-NRH2 2 (H-1)
    RH1, RH2는, 각각 독립적으로, 수소 원자, 탄소수 1~6의 알킬기, 탄소수 2~6의 알켄일기, 탄소수 2~6의 알카인일기, 탄소수 6~10의 아릴기, 탄소수 7~15의 아랄킬기를 나타낸다.
    [화학식 6]
    Figure pct00026

    Ra는, 수소 원자, 알킬기, 알켄일기, 아릴기, 또는 헤테로환기를 나타낸다. Rb는, 알킬기 또는 알켄일기를 나타낸다. La는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. 그 중에서도 알킬렌기 또는 카보닐기가 바람직하다. Lb는, 단결합, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다. Rc는, 수소 원자 또는 알킬기를 나타낸다. n은 0 이상의 정수를 나타낸다. Q1~Q3은 각각 독립적으로 함질소 복소환을 나타낸다.
    Rc 2N-[Ld-N(Rc)]m-Ld-NRc 2 (b)
    Rc는, 수소 원자 또는 알킬기를 나타낸다. m은 0 이상의 정수를 나타낸다. Ld는, 알킬렌기, 카보닐기, 이미노기, 아릴렌기, 헤테로환기, 또는 이들의 조합을 나타낸다.
  19. 청구항 15 내지 청구항 18 중 어느 한 항에 있어서,
    상기 알칼리 화합물의 함유량이 0.01~20질량%인 에칭액.
  20. 청구항 15 내지 청구항 19 중 어느 한 항에 있어서,
    상기 제2 층을, 상기 제1 층 및 제3 층에 대하여 선택적으로 제거하는 에칭액.
    [제3 층: 상기 제1 층과 제2 층과의 사이에 개재하는 저마늄(Ge) 및 상기 특정 금속 원소를 함유하는 층]
  21. 청구항 15 내지 청구항 20 중 어느 한 항에 있어서,
    추가로 하기 유기 첨가제를 함유하는 에칭액.
    [유기 첨가제: 질소 원자, 황 원자, 인 원자, 혹은 산소 원자를 함유하는 유기 화합물로 이루어지는 첨가제]
  22. 저마늄(Ge)을 포함하는 제1 층과, 저마늄(Ge) 이외의 특정 금속 원소를 포함하는 제2 층을 갖는 반도체 기판에 대하여, 상기 제2 층을 선택적으로 제거하는 에칭액의 키트로서,
    알칼리 화합물을 포함하는 제1 액과, 산화제를 포함하는 제2 액을 구비하는 에칭액의 키트.
  23. 저마늄(Ge)을 포함하는 제1 층을 갖는 반도체 기판 제품의 제조 방법으로서,
    적어도, 상기 제1 층과, 니켈플래티넘(NiPt), 타이타늄(Ti), 니켈(Ni), 및 코발트(Co)로부터 선택되는 적어도 1종의 제2 층을 반도체 기판에 형성하는 공정,
    상기 반도체 기판을 가열하여 상기 제1 층과 제2 층과의 사이에 양 층의 성분을 함유하는 제3 층을 형성하는 공정,
    알칼리 화합물을 포함하는 에칭액을 준비하는 공정, 및
    상기 에칭액을 상기 제2 층에 접촉시켜, 상기 제1 층 및/또는 제3 층에 대하여 상기 제2 층을 선택적으로 제거하는 공정을 포함하는 반도체 기판 제품의 제조 방법.
KR1020157031644A 2013-05-02 2014-05-01 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법 KR101755420B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2013-097158 2013-05-02
JP2013097158 2013-05-02
PCT/JP2014/062069 WO2014178424A1 (ja) 2013-05-02 2014-05-01 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法

Publications (2)

Publication Number Publication Date
KR20150140339A true KR20150140339A (ko) 2015-12-15
KR101755420B1 KR101755420B1 (ko) 2017-07-10

Family

ID=51843548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157031644A KR101755420B1 (ko) 2013-05-02 2014-05-01 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법

Country Status (5)

Country Link
US (1) US20160118264A1 (ko)
JP (1) JP6198672B2 (ko)
KR (1) KR101755420B1 (ko)
TW (1) TWI628311B (ko)
WO (1) WO2014178424A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190012043A (ko) * 2017-07-26 2019-02-08 오씨아이 주식회사 식각 조성물, 식각 방법 및 이를 이용한 반도체 소자의 제조 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016076032A1 (ja) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 タンタルを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた洗浄方法
US9797048B2 (en) * 2015-03-31 2017-10-24 The Boeing Company Stripping solution for zinc/nickel alloy plating from metal substrate
KR102396111B1 (ko) 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
US10920144B2 (en) * 2018-12-03 2021-02-16 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11268024B2 (en) 2019-05-01 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
KR20220020363A (ko) 2019-06-13 2022-02-18 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 에칭 조성물
CN112928037B (zh) * 2021-01-22 2023-11-24 上海华虹宏力半导体制造有限公司 检测方法
JP2024037160A (ja) * 2022-09-06 2024-03-18 花王株式会社 エッチング液組成物

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3282848A (en) * 1964-01-28 1966-11-01 Du Pont Process and composition for the removal of tarnish on metals
JP3540887B2 (ja) * 1996-02-26 2004-07-07 荏原ユージライト株式会社 選択的ニッケル剥離液およびこれを用いる剥離方法
US20020039839A1 (en) * 1999-12-14 2002-04-04 Thomas Terence M. Polishing compositions for noble metals
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US7744020B2 (en) * 2003-07-21 2010-06-29 Continental Automotive Systems Us, Inc. Fuel injector including an orifice disc, and a method of forming the orifice disc including punching and shaving
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
FR2886053B1 (fr) * 2005-05-19 2007-08-10 Soitec Silicon On Insulator Procede de gravure chimique uniforme
TWI513799B (zh) * 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
CN101341023B (zh) * 2005-12-08 2012-05-30 大成普拉斯株式会社 铝合金与树脂的复合体及其制造方法
US20080067077A1 (en) * 2006-09-04 2008-03-20 Akira Kodera Electrolytic liquid for electrolytic polishing and electrolytic polishing method
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
JP2012253374A (ja) * 2006-10-11 2012-12-20 Fujitsu Semiconductor Ltd 半導体装置の製造方法
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
WO2008095078A1 (en) * 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US8802608B2 (en) * 2007-07-26 2014-08-12 Mitsubishi Gas Chemical Comany, Inc. Composition for cleaning and rust prevention and process for producing semiconductor element or display element
JP5653577B2 (ja) * 2007-08-31 2015-01-14 アイメックImec ゲルマナイド成長の改良方法およびそれにより得られたデバイス
JP2012504871A (ja) * 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
KR20110079833A (ko) * 2008-10-10 2011-07-08 알타 디바이씨즈, 인크. 메사 식각 방법 및 에피택셜 리프트 오프를 위한 조성물
TWI454561B (zh) * 2008-12-30 2014-10-01 Uwiz Technology Co Ltd A polishing composition for planarizing the metal layer
JP2010225686A (ja) * 2009-03-19 2010-10-07 Toshiba Corp 半導体装置
SG10201405263XA (en) * 2009-09-02 2014-11-27 Wako Pure Chem Ind Ltd Resist remover composition and method for removing resist using the composition
SG10201505535VA (en) * 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) * 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
EP2491577B1 (de) * 2010-08-30 2014-10-15 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum ausbilden eines dotierstoffprofils
US8647523B2 (en) * 2011-03-11 2014-02-11 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
US8894774B2 (en) * 2011-04-27 2014-11-25 Intermolecular, Inc. Composition and method to remove excess material during manufacturing of semiconductor devices
US9765289B2 (en) * 2012-04-18 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning methods and compositions
US20130295712A1 (en) * 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
JP6203586B2 (ja) * 2012-09-28 2017-09-27 関東化學株式会社 ヨウ素系エッチング液およびエッチング方法
WO2014089196A1 (en) * 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190012043A (ko) * 2017-07-26 2019-02-08 오씨아이 주식회사 식각 조성물, 식각 방법 및 이를 이용한 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
JP6198672B2 (ja) 2017-09-20
TW201500586A (zh) 2015-01-01
JP2014232874A (ja) 2014-12-11
TWI628311B (zh) 2018-07-01
US20160118264A1 (en) 2016-04-28
WO2014178424A1 (ja) 2014-11-06
KR101755420B1 (ko) 2017-07-10

Similar Documents

Publication Publication Date Title
KR101755420B1 (ko) 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
KR101659829B1 (ko) 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
KR101790090B1 (ko) 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
KR101812085B1 (ko) 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
US10340150B2 (en) Ni:NiGe:Ge selective etch formulations and method of using same
TW201522575A (zh) 半導體基板的處理液、處理方法、使用它們的半導體基板製品的製造方法
TW201523170A (zh) 改質抗蝕劑的剝離液、使用其的改質抗蝕劑的剝離方法及半導體基板製品的製造方法
KR20150140329A (ko) 에칭 방법, 이에 이용하는 에칭액, 및 반도체 기판 제품의 제조 방법
KR20140079443A (ko) 신규 패시베이션 조성물 및 방법
TW201542773A (zh) 蝕刻液、使用其的蝕刻方法及半導體基板製品的製造方法以及金屬防蝕劑
KR101878238B1 (ko) 에칭액, 이를 이용하는 에칭 방법 및 반도체 기판 제품의 제조 방법
TWI682990B (zh) 蝕刻組成物、使用其的蝕刻方法以及半導體基板產品的製造方法
JP2015159264A (ja) エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant