KR20140014070A - 조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측 - Google Patents

조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측 Download PDF

Info

Publication number
KR20140014070A
KR20140014070A KR1020137009758A KR20137009758A KR20140014070A KR 20140014070 A KR20140014070 A KR 20140014070A KR 1020137009758 A KR1020137009758 A KR 1020137009758A KR 20137009758 A KR20137009758 A KR 20137009758A KR 20140014070 A KR20140014070 A KR 20140014070A
Authority
KR
South Korea
Prior art keywords
branch
instructions
mask
cache line
instruction
Prior art date
Application number
KR1020137009758A
Other languages
English (en)
Other versions
KR101685247B1 (ko
Inventor
모하매드 압달라
Original Assignee
소프트 머신즈, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소프트 머신즈, 인크. filed Critical 소프트 머신즈, 인크.
Publication of KR20140014070A publication Critical patent/KR20140014070A/ko
Application granted granted Critical
Publication of KR101685247B1 publication Critical patent/KR101685247B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0875Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with dedicated cache, e.g. instruction or stack
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30018Bit or string instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30029Logical and Boolean instructions, e.g. XOR, NOT
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • G06F9/30047Prefetch instructions; cache control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3869Implementation aspects, e.g. pipeline latches; pipeline synchronisation and clocking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1016Performance improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/45Caching of specific data in cache memory
    • G06F2212/452Instruction code

Abstract

다중의 분기 명령들을 포함하는 복수의 명령들에 액세스하는 단계를 포함하는 명령들을 식별하는 방법이 개시된다. 다중의 분기 명령들 중 각 분기 명령에 대해, 분기가 취해지는 경우에 실행되는 명령들을 나타내는 각각의 제1 마스크가 생성된다. 분기가 취해지지 않는 경우에 실행되는 명령들을 나타내는 각각의 제2 마스크가 생성된다. 각 분기 명령에 대한 각각의 분기 예측을 포함하는 예측 출력이 수신된다. 각 분기 명령에 대해, 예측 출력은 각각의 제1 및 제2 마스크들 중에서 각각의 결과적인 마스크를 선택하기 위해 사용된다. 각 분기 명령에 대해, 후속 분기의 결과적인 마스크는 이전의 분기가 후속 분기를 넘어 분기할 것으로 예측되면 무효화된다. 모든 결과적인 마스크에 대해 논리 연산을 수행하여 최종 마스크를 생성한다. 최종 마스크를 사용하여 실행을 위한 명령들의 서브세트를 선택한다.

Description

조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측{SINGLE CYCLE MULTI-BRANCH PREDICTION INCLUDING SHADOW CACHE FOR EARLY FAR BRANCH PREDICTION}
본 발명은 일반적으로 디지털 컴퓨터 시스템에 관한 것으로, 더욱 구체적으로는, 명령 시퀀스를 포함하는 명령들을 선택하는 시스템 및 방법에 관한 것이다.
컴퓨터 아키텍처 성능의 개선은 어려운 작업이다. 주파수 스케일링, 단일 명령 다중 데이터(SIMD), 매우 긴 명령 워드(VLIW), 멀티-스레딩 및 다중 프로세서 기법들을 통해 개선들이 추구되었다. 이들 접근방식들은 주로 프로그램 실행의 처리량의 개선들을 목표로 한다. 다수의 기법들은 병렬처리(parallelism)를 명시적으로 밝힐 것을 소프트웨어에 요구한다. 반대로, 주파수 스케일링은 병렬처리의 소프트웨어 명시적 주석을 요구하지 않고 처리량과 레이턴시 모두를 개선시킨다. 최근, 주파수 스케일링이 전력 장벽(power wall)에 손상을 주어서 주파수 스케일링을 통한 개선은 어렵다. 따라서, 대량의 명시적 소프트웨어 병렬처리가 표현되지 않는 한 처리량을 증가시키는 것은 어렵다.
단일 스레드(single threaded) 프로그램 실행에 관하여, 프로그램 실행은 프로그램 제어 흐름을 지시하는 분기 명령들(branching instructions)에 의해 제어된다. 프로그램 명령 시퀀스들은 분기 명령들이 조건부이거나 분기 타겟이 간접적일 때 동적이다. 이러한 경우들에서, 프로세서의 페치 로직이 조건부 분기들에 대하여 분기가 취해지는지 취해지지 않는지를 알아내는 것이 필수적이다. 이것은 페치 로직이 분기의 타겟을 따르는 명령들 또는 분기 명령 자체를 따르는 명령들의 시퀀스를 도입하는 것을 가능하게 한다. 그러나, 페치 단계에서는, 분기 자체가 실행되기 이전에는 분기의 조건의 결과를 모른다는 문제점이 존재한다.
이러한 문제점을 극복하기 위한 시도에서, 종래의 설계들은 분기의 결과를 예측하기 위해 분기 예측 로직을 구현하였다. 마이크로프로세서의 페치 단계에서, 예측된 결과는 페치 로직이 다음 명령 시퀀스를 어디로부터 도입할지 예상할 수 있게 한다. 그러나, 페치 단계의 로직은 하나보다 많은 조건부 분기가 동일 사이클에서 처리되어야 하는 경우에 빠르게 매우 복잡해지기 때문에 문제점들이 여전히 존재한다. 그 이유는 이러한 처리가 본질적으로 순차적일 필요가 있기 때문이다. 현재의 분기는 명령들의 다음 시퀀스를 어디에서 도입할지 알기 위해 먼저 처리될 필요가 있다. 이러한 양태는 시퀀스 내의 다음의 분기가 스킵되게 할 수 있다. 따라서, 페치 단계에서 분기들을 처리하는 순차적 본질은 마이크로프로세서의 단일 스레드 실행 속도에 성능 병목현상을 부과한다.
본 발명의 실시예들은 마이크로프로세서의 페치 로직의 병렬처리가 단일 사이클마다 다중의 분기들을 처리할 수 있게 하는 알고리즘(예를 들어, 방법 및 장치)을 구현한다. 이 알고리즘은 또한 단일 사이클 내에서의 분기 예측들에 기초하여 명령들의 최종 시퀀스를 또한 형성한다.
일 실시예에서, 본 발명은 예측된 실행 경로의 명령들을 식별하는 방법으로서 구현된다. 방법은 다중의 분기 명령들을 포함하는 복수의 명령들에 액세스하는 단계를 포함한다. 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 분기가 취해지는 경우에 실행되는 명령들을 나타내는 각각의 제1 마스크가 생성된다. 상기 분기가 취해지지 않는 경우에 실행되는 명령들을 나타내는 각각의 제2 마스크가 생성된다. 상기 다중의 분기 명령들 중 각 분기 명령에 대한 각각의 분기 예측을 포함하는 예측 출력이 수신된다. 상기 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 예측 출력은 상기 각각의 제1 및 제2 마스크들로부터 각각의 결과적인 마스크를 선택하기 위해 사용된다. 각 분기 명령에 대해, 후속 분기의 결과적인 마스크는 이전의 분기가 상기 후속 분기를 넘어 분기할 것으로 예측되면 무효화된다. 최종 마스크를 생성하기 위해 모든 결과적인 마스크에 대해 논리 연산이 수행된다. 상기 최종 마스크에 기초하여 상기 복수의 명령들로부터 명령들의 서브세트가 실행을 위해 선택된다.
상술한 바는 요약이고, 따라서, 필요에 의해 상세의 간략화, 일반화 및 생략을 포함하고, 당업자는 이 요약이 단지 예시적인 것이고 어떻게든 제한하는 것으로 의도되지 않는다는 것을 이해할 것이다. 청구항들에 의해서만 정의되는 바와 같은, 본 발명의 다른 양태들, 발명의 특징들, 및 이점들은 후술하는 비제한적인 상세한 설명에서 명백해질 것이다.
본 발명은 동일한 참조 부호들이 유사한 요소들을 지칭하는 첨부한 도면들에서 제한이 아닌 예로서 예시된다.
도 1은 본 발명의 일 실시예에 의해 연산되는 예시적인 명령들의 시퀀스를 도시한다.
도 2는 본 발명의 일 실시예에 따라 예시된 각 분기에 대한 각각의 코드 세그먼트들을 갖는 시퀀스 명령들을 도시한다.
도 3은 본 발명의 일 실시예에 따른 다중의 분기들을 갖는 명령 시퀀스의 실행 경로를 포함하는 명령들을 식별하고 추출하는 프로세스의 단계들의 개요적인 플로우차트를 도시한다.
도 4는 본 발명의 일 실시예에 따른 다중의 분기들을 갖는 명령 시퀀스의 실행 경로를 포함하는 명령들을 식별하고 추출하는 프로세스의 동작을 예시하는 흐름도를 도시한다.
도 5는 본 발명의 일 실시예에 따른 원거리 분기 캐시(far branch cache) 및 원거리 점프 타겟 명령 캐시(far jump target instruction cache)를 도시한다.
도 6은 본 발명의 일 실시예에 따른 예시적인 마이크로프로세서 파이프라인의 도면을 도시한다.
본 발명이 일 실시예와 관련하여 설명되지만, 본 발명은 본 명세서에 설명되는 특정 형태들에 제한되는 것으로 의도되지 않는다. 반대로, 본 발명은 첨부한 청구항들에 의해 정의된 바와 같은 본 발명의 범위 내에 합리적으로 포함될 수 있는 대안물, 변경물 및 등가물을 커버하도록 의도된다.
아래의 상세한 설명에서, 특정한 방법 순서들, 구조들, 요소들, 및 접속들과 같은 다수의 특정한 상세들이 설명된다. 그러나, 이들 및 다른 특정한 상세들이 본 발명의 실시예들을 실시하기 위해 활용될 필요는 없다는 것을 이해해야 한다. 다른 환경들에서, 널리 공지된 구조들, 요소들, 또는 접속들은 본 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 생략되었거나 특별히 상세하게 설명되지 않았다.
본 명세서 내에서 "일 실시예" 또는 "실시예"에 대한 참조는, 그 실시예와 관련하여 설명한 특정한 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 나타내도록 의도된다. 본 명세서의 다양한 위치들에서 나타나는 "일 실시예에서"라는 문구는 반드시 모두가 동일한 실시예를 지칭하는 것은 아니고, 개별 또는 대안의 실시예들이 다른 실시예들을 상호 배제하는 것도 아니다. 더욱이, 다른 실시예들에 의해서가 아니라 일부 실시예들에 의해 나타날 수 있는 다양한 특징들이 설명된다. 유사하게, 다른 실시예들가 아니라 일부 실시예들에 대한 요건들일 수 있는 다양한 요건들이 설명된다.
후속하는 상세한 설명의 일부 부분들은 컴퓨터 메모리 내의 데이터 비트들에 대한 연산들의 절차들, 단계들, 논리 블록들, 프로세싱 및 다른 기호 표현들에 관하여 제공된다. 이들 설명들 및 표현들은 데이터 처리 분야의 당업자가 이들의 연구의 실체를 다른 당업자에게 가장 효율적으로 전달하기 위해 사용하는 수단이다. 본 명세서에서, 절차, 컴퓨터 실행 단계, 논리 블록, 프로세스 등은 일반적으로 원하는 결과로 이어지는 단계들 또는 명령들의 자기 모순이 없는 시퀀스인 것으로 인식된다. 그 단계들은 물리량들의 물리적 조작들을 요구하는 것들이다. 반드시 그렇지는 않더라도, 일반적으로, 이들 양들은 컴퓨터 판독가능 저장 매체의 전기 또는 자기 신호들의 형태를 취할 수 있고, 컴퓨터 시스템에서 저장되고, 전송되고, 결합되고, 비교되고, 달리 조작될 수 있다. 때때로, 주로 공통적인 사용의 이유로, 이들 신호들을 비트들, 값들, 요소들, 심볼들, 문자들, 항들, 숫자들 등으로서 칭하는 것이 편리하다는 것이 입증되었다.
그러나, 모든 이들 및 유사한 용어들이 적절한 물리량들과 연관되고 이들 양들에 적용된 단지 편리한 라벨들이라는 것에 유의해야 한다. 아래의 논의들로부터 명백한 바와 같이 구체적으로 달리 언급하지 않으면, 본 발명 전반적으로, "처리하는(processing)" 또는 "액세스하는(accessing)" 또는 "기록하는(writing)" 또는 "복제하는(replicating)" 등과 같은 용어들을 활용하는 논의들은 컴퓨터 시스템의 레지스터들 및 메모리들 내에 물리적(전자적) 양들로서 표현된 데이터를 조작하여 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 이러한 정보 저장, 송신 또는 디스플레이 디바이스들 내에 물리량들로서 유사하게 표현된 다른 데이터로 변환하는 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 디바이스의 액션 및 프로세스들을 나타낸다.
본 발명의 실시예들은 마이크로프로세서의 페치 로직의 병렬처리가 단일 사이클마다 다중의 분기들을 처리할 수 있게 하는 알고리즘(예를 들어, 방법 및 장치)을 구현한다. 알고리즘은 또한 단일 사이클 내에서의 분기 예측들에 기초하여 명령들의 최종 시퀀스를 형성한다. 이러한 작업은 순차적 방식으로 분기들을 처리할 필요없이(예를 들어, 사이클마다 하나의 분기의 속도로 한 분기 한 분기 명령들의 시퀀스를 처리하지 않고) 수행된다. 대신에, 본 발명의 실시예들은 사이클마다 다중의 분기들의 처리 속도를 가능하게 하여, 큰 명령 페치 및 할당 대역폭을 가능하게 한다. 개별 분기 명령의 프로세싱이 단일 사이클 내에서 완료되지 않을 수도 있지만, 프로세서 하드웨어가 사이클마다 다중의 분기들을 처리할 수 있어서, 사이클마다 다중의 분기들의 처리량을 달성한다는 것에 유의해야 한다. 이러한 방식으로, 분기 처리 속도는 매 사이클(every cycle)이지만, 레이턴시는 단일 사이클 내에서 필요하지 않다. 도 1 및 도 2는 본 발명의 실시예들에 의해 연산되는 예시적인 명령들의 시퀀스를 도시한다. 이어서, 도 3은 본 발명의 일 실시예에 따른 다중의 분기들을 갖는 명령 시퀀스에서 실행 경로를 포함하는 명령들을 식별하고 추출하는 프로세스의 단계들의 개요적인 플로우차트를 도시한다.
도 1은 본 발명의 일 실시예에 의해 연산되는 예시적인 명령들의 시퀀스를 도시한다. 도 1에 도시되어 있는 바와 같이, 명령 시퀀스(100)는 도 1의 상부로부터 하부로 진행하는 16개의 명령들을 포함한다. 도 1에서 확인할 수 있는 바와 같이, 시퀀스(100)는 4개의 분기 명령들(101-104)을 포함한다.
본 발명의 일 목적은 단일 사이클마다 명령들의 전체 그룹을 처리하는 것이다. 상이한 실시예들에 따르면, 이들 명령들은 원시(native) 명령들(예를 들어, x86 명령들, MIPS 명령들과 같은 마이크로프로세서의 원시 명령들)을 포함할 수 있다. 다르게는, 이들 명령들은 마이크로코드를 포함할 수 있다.
일 실시예에서, 16개 명령들의 전체 그룹이 동일한 단일 사이클에서 처리된다. 전술한 바와 같이, 명령들의 시퀀스가 더 많은 분기들을 포함할수록, 더 많은 조합들 및 가능한 결과 시퀀스들이 발생하며 처리될 필요가 있다. 이러한 특징이 아래의 도 2에 예시되어 있다.
도 2는 본 발명의 일 실시예에 따라 예시된 각 분기에 대한 각각의 코드 세그먼트들을 갖는 시퀀스 명령들(100)을 도시한다. 상술한 바와 같이, 명령들의 시퀀스에 제공되는 분기들이 더 많을수록, 명확히 될 필요가 있는 명령들의 시퀀스들의 조합들 및 가능성들이 더 많다. 추가로, 더 많은 분기들은 더 많은 분기들이 스킵될 수 있는 가능성을 초래한다.
이것은 분기 c1이 취해지는 경우에 발생하는 제1 결과 시퀀스 "1"을 나타내는 도 2에 도시되어 있다. 본 명세서에 언급되는 바와 같이, 프로그램 실행 흐름이 분기의 타겟으로 이동하는 경우에 분기가 취해진다. 이것은 분기 명령들 각각의 단부에서의 괄호 내의 2개의 숫자들에 의해 표시된다. 예를 들어, 분기 c1은 11의 타겟을 갖고 있고 그 결과 다음 6개 명령들을 스킵한다. 유사하게, 분기 c2는 10의 타겟을 갖고 있고 그 결과 다음 2개 명령들을 스킵하고, 기타 등등이다.
따라서, 분기 c2가 취해지는 경우에는 제2 결과 시퀀스 "2"가 발생하는 것으로 도시되어 있다. 분기 c3이 취해지는 경우에는 제3 결과 시퀀스 "3"이 발생하는 것으로 도시되어 있다. 유사하게는, 분기 c4가 선택되는 경우에는 제4 결과 시퀀스 "4"가 발생하는 것으로 도시되어 있다.
도 2에 도시되어 있는 바와 같이, 분기들로부터의 결과 시퀀스들은 서로 오버랩한다. 이것은 명령들의 시퀀스에서의 선행 분기가 후속 분기를 넘어 점프함으로써 그 후속 분기를 무효화할 수 있는 방식을 예시한다. 따라서, 분기 c1이 취해지면, 2개의 후속 분기들 c2 및 c3가 스킵되어서 무효화되거나, 명령들의 시퀀스의 실행 경로와 무관하게 된다. 유사하게, 분기 c1이 취해지지 않고 분기 c2가 취해지면, 후속 분기 c3가 스킵되어서 무효화될 것이다.
본 발명의 실시예들은 마이크로프로세서의 페치 로직의 병렬처리가 단일 사이클마다 분기들 c1 내지 c4와 같은 다중의 분기들을 처리할 수 있게 하는 알고리즘(예를 들어, 방법 및 장치)을 구현한다. 알고리즘은 또한 단일 사이클 내에서 c1 내지 c4에 대한 분기 예측들에 기초하여 명령들의 최종 시퀀스를 형성한다. 이러한 알고리즘이 도 3에 후술된다.
도 3은 본 발명의 일 실시예에 따른 다중의 분기들을 갖는 명령 시퀀스의 실행 경로를 포함하는 명령들을 식별하고 추출하는 프로세스(300)의 단계들의 개요적인 플로우차트를 도시한다. 프로세스(300)는 예를 들어, 마이크로프로세서의 명령 페치 모듈의 예시적인 동작 단계들을 도시한다.
프로세스(300)는 페치 모듈이 다중의 분기 명령들을 포함하는 복수의 명령들에 액세스하는 단계 301에서 시작한다. 상술한 바와 같이, 명령 시퀀스가 액세스되고, 여기서, 그 명령 시퀀스는 다수의 분기 명령들(예를 들어, 도 1의 시퀀스(100)의 분기들 c1-c4)을 포함한다.
단계 302에서, 다중의 분기 명령들의 각 분기 명령에 대해, 각각의 제1 마스크가 생성된다. 이러한 제1 마스크는 특정한 분기가 취해지는 경우에 실행되는 명령들을 나타낸다.
단계 303에서, 분기 명령들 각각에 대해, 각각의 제2 마스크가 생성된다. 이러한 제2 마스크는 그 특정한 분기가 취해지지 않는 경우에 실행되는 명령들을 나타낸다. 따라서, 단계 303의 결론에서, 명령 시퀀스 내의 분기들 각각은 2개의 마스크들을 가질 것이고, 하나의 마스크는 분기가 취해지는 경우에 실행되는 명령들을 나타내고, 다른 하나의 마스크는 분기가 취해지지 않는 경우에 실행되는 명령들을 나타낸다.
단계 304에서, 분기 예측 출력이 페치 모듈에 의해 수신된다. 분기 예측 출력은 명령 시퀀스의 분기들 각각에 대한 예측된 취해진 또는 취해지지 않는 상태를 제공한다.
단계 305에서, 분기 예측 출력을 사용하여 명령 시퀀스의 분기 명령들 각각에 대해 제1 마스크와 제2 마스크 중에서 선택한다. 예를 들어, 소정의 분기에 대해, 분기 예측 출력이 분기가 취해질 것임을 나타내면, 분기에 대한 제1 마스크가 선택될 것이다. 분기 예측 출력이 분기가 취해지지 않을 것임을 나타내면, 분기에 대한 제2 마스크가 선택될 것이다. 분기 예측 출력에 의해 선택된 마스크들을 결과적인 마스크들이라 칭한다.
단계 306에서, 명령 시퀀스의 각 분기 명령에 대해, 이전의 분기가 후속 분기를 넘어 분기하거나 스킵할 것으로 예측되면 그 후속 분기의 결과적인 마스크를 무효화한다. 상술한 바와 같이, 명령들의 시퀀스에서의 선행 분기가 후속 분기를 넘어 스킵함으로써 그 후속 분기를 무효화할 수 있다.
단계 307에서, 모든 결과적인 마스크들에 대해 논리 연산을 수행하여 최종 마스크를 생성한다. 따라서, 이러한 최종 마스크는 시퀀스 내의 다중의 분기들의 예측된 결과들에 의해 결정되는 바와 같은 명령 시퀀스에서의 실행 경로를 포함하는 명령들을 식별한다.
단계 308에서, 최종 마스크를 사용하여, 명령 시퀀스를 포함하는 복수의 명령들 중에서, 실행을 위한 명령들의 서브세트를 선택한다. 이렇게 함으로써, 콤팩트한 실행 경로 명령 시퀀스가 페치 모듈에 의해 생성된다. 일 실시예에서, 이러한 콤팩트한 실행 명령 시퀀스는 단일 사이클마다 생성된다.
도 4는 본 발명의 일 실시예에 따른 다중의 분기들을 갖는 명령 시퀀스의 실행 경로를 포함하는 명령들을 식별하고 추출하는 프로세스의 동작을 예시하는 흐름도(400)를 도시한다.
상술한 바와 같이, 본 발명의 실시예들의 목적은 하나의 사이클에서 전체 명령 그룹들을 처리하는 것이다. 이것은 명령 시퀀스(100)의 모든 16개의 명령들이 하나의 사이클에서 페치 모듈에 의해 처리되는 도 4에 예시되어 있다. 식별자(401)는 페치 모듈이 그 내부의 분기들 각각을 식별하는 방식을 예시한다. 명령 시퀀스(100)의 상부로부터 시작하여, 시퀀스를 조건부 동작들(예를 들어, 분기들)만으로 감소시키기 위해 식별된 제1 분기가 라벨링되고, 식별된 제2 분기가 라벨링되고, 기타 등등이다. 통상의 명령들(예를 들어, 분기 명령들 이외의 명령들)은 단순히 0으로 태그된다.
도 4는 또한 분기들의 세그먼트들을 추적하는 분기 세그먼트 테이블(402)을 예시한다. 분기 세그먼트 테이블의 좌측에서, 각 대응하는 분기는 시퀀스에서의 해당 분기 번호에서 시작하고 후속 분기의 번호에서 종료하는 세그먼트를 갖는다. 따라서, 도 4에 도시되어 있는 바와 같이, 분기 c1은 분기가 취해지지 않는 경우에 실행될 명령들인 제1 세그먼트 5-7을 갖는다. 유사하게, 우측에서, 분기 c1은 분기가 취해지는 경우에 실행될 명령들인 제2 세그먼트 11-14를 갖는다. 통상의 명령들은 x로 태그된다.
상술한 바와 같이, 다중의 분기 명령들의 각 분기 명령에 대해, 각각의 제1 마스크가 생성된다. 이러한 제1 마스크는 특정한 분기가 취해지는 경우에 실행되는 명령들을 나타낸다. 유사하게, 분기 명령들 각각에 대해, 각각의 제2 마스크가 생성된다. 이러한 제2 마스크는 그 특정한 분기가 취해지지 않는 경우에 실행되는 명령들을 나타낸다. 따라서, 단계 303의 결론에서, 명령 시퀀스 내의 분기들 각각은 2개의 마스크들을 가질 것이고, 하나의 마스크는 분기가 취해지는 경우에 실행되는 명령들을 나타내고, 다른 하나의 마스크는 분기가 취해지지 않는 경우에 실행되는 명령들을 나타낸다. 일 실시예에서, 이들 마스크들은 비트들의 세트들을 포함한다.
분기 예측 컴포넌트(403)가 명령 세그먼트 내의 분기들을 검사하고, 분기들 각각이 취해질 것("T")인지 취해지지 않을 것("NT")인지를 예측한다. 본 실시예에서, 분기 예측 컴포넌트(403)의 출력은 페치 모듈의 비교 및 스킵 로직 컴포넌트(404)에 의해 처리된다. 비교 및 스킵 모듈(404)의 동작을 통해, 분기 예측 출력은 명령 시퀀스의 분기 명령들 각각에 대해 제1 마스크와 제2 마스크 중에서 선택하기 위해 사용된다.
도 4는 결과적인 마스크들(410)을 도시한다. 상술한 바와 같이, 소정의 분기에 대해, 분기 예측 출력이 해당 분기가 취해질 것임을 나타내면, 분기에 대한 제1 마스크가 선택된다. 분기 예측 출력이 해당 분기가 취해지지 않을 것임을 나타내면, 분기에 대한 제2 마스크가 선택된다. 분기 예측 출력에 의해 선택된 마스크들이 결과적인 마스크들(410)로서 도시되어 있다.
결과적인 마스크들은 선행 분기들에 의해 무효화될 수 있다. 이것은 도 4에 도시되어 있고, 여기서, 결과적인 마스크들(410)의 상부는 그들의 각각의 상태를 유효한 그리고 취해지는 것("VT")으로 또는 무효한 것("NV")으로 나타낸다. 상술한 바와 같이, 명령 시퀀스의 각 분기 명령에 대해, 후속 분기의 결과적인 마스크는 이전의 분기가 그 후속 분기를 넘어 분기하거나 스킵할 것으로 예측되면 무효화된다. 유사하게, 명령들의 시퀀스에서의 선행 분기가 후속 분기를 넘어 스킵함으로써 그 후속 분기를 무효화할 수 있다. 따라서, 결과적인 마스크가 분기 예측 출력에 의해 취해지는 것("T")으로 예측될 수 있더라도, 그 결과적인 마스크는 그 후 선행 분기에 의해 무효화될 수 있다. 이것은 도 4에 도시되어 있는데, 여기서는 분기 c3이 취해지는 것으로 예측되더라도, 비교 및 스킵 로직 컴포넌트(404)가 c3의 결과적인 마스크를 무효화시킨다. 도 4의 실시예에서, 마스크 무효화는 마스크의 모든 시퀀스 위치들(예를 들어, 1 내지 16)이 1로 채워지는 것을 초래한다.
도 4는 또한 최종 마스크의 출력 명령 시퀀스(420)를 도시한다. 상술한 바와 같이, 페치 모듈은 모든 결과적인 마스크들에 대해 논리 연산(예를 들어, 논리 AND 연산)을 수행하여 최종 마스크를 생성한다. 따라서, 이러한 최종 마스크는 시퀀스 내의 다중의 분기들의 예측된 결과들에 의해 결정되는 바와 같은 명령 시퀀스에서의 실행 경로를 포함하는 명령들을 식별한다. 일 실시예에서, 논리 연산은 유효 결과적인 마스크들에 대해서만 수행된다. 다른 실시예에서, 논리 연산은 모든 마스크들에 대해 수행되고, 여기서, 무효 마스크들은 모두 1로 채워진다. 최종 마스크(420)는 명령 시퀀스를 포함하는 복수의 명령들 중에서, 실행을 위한 명령들의 서브세트를 선택하기 위해 사용된다. 이렇게 함으로써, 콤팩트한 실행 경로 명령이 페치 모듈에 의해 생성된다. 일 실시예에서, 이러한 콤팩트한 실행 명령 시퀀스가 단일 사이클마다 생성된다.
본 발명의 실시예들의 알고리즘은 또한 단일 사이클 내에서 분기 예측들에 기초하여 명령들의 최종 시퀀스를 형성한다는 것에 유의해야 한다. 이러한 작업은 순차적 방식으로 분기들을 처리할 필요없이(예를 들어, 사이클마다 하나의 분기의 속도로 한 분기 한 분기 명령들의 시퀀스를 처리하지 않고) 수행된다.
일 실시예에서, 알고리즘은 명령들의 시퀀스에서 분기 위치를 식별하는 비트들을 각 분기와 연관시킴으로써 촉진된다. 이들 비트들을 사용하여, 분기들 각각은 2개의 세그먼트들(예를 들어, 분기 세그먼트 테이블(402))과 연관된다. 상술한 바와 같이, 제1 세그먼트는 다음 분기까지 분기의 뒤에 오는 명령들의 시퀀스이다. 제2 세그먼트는 다음 분기까지 분기의 타겟으로부터 시작하는 명령들의 시퀀스이다. (예를 들어, 현재 분기 위치로부터의 오프셋에 의해 표시된 바와 같은) 분기의 타겟 옆에 분기 식별 비트들이 이들 세그먼트들을 생성하기 위해 사용된다. 이와 동시에, 모든 분기들이 그들의 예측들을 알아내기 위해 분기 예측 테이블에서 병렬로 조회되고, 이들 분기 예측들은 통상의 단일 분기 예측과 유사하다.
일 실시예에서, 각 분기 위치는 이러한 분기가 이전의 분기들의 범위 내부에 있는지 외부에 있는지를 식별하기 위해 병렬로 이전의 분기 타겟들과 비교된다는 것에 또한 유의해야 한다. 그 후, 그 분기가 그 분기 위치를 넘어 점프하는 이전의 유효 분기의 타겟에 의해 스킵되는지가 결정된다. 이러한 정보는 어느 분기들이 스킵되고 따라서 그들의 시퀀스 형성이 명령들의 최종 시퀀스에 포함되지 않는지를 알아내기 위해 분기들의 예측의 병렬 조회에 의해 자격이 주어진다. 최종 명령 시퀀스는 도 4에 도시된 바와 같이 유효한(예를 들어, 그것을 스킵하는 이전의 유효 분기로 인해 스킵되지 않은) 분기들의 결과적인 마스크들을 생성하기 위해 분기 예측을 사용하여 유효한 각 분기의 예측된 세그먼트를 선택함으로써 명령들의 관련 세그먼트들을 어셈블링함으로써 형성된다.
도 5는 본 발명의 일 실시예에 따른 원거리 분기 캐시(far branch cache)(501) 및 원거리 점프 타겟 명령 캐시(far jump target instruction cache)(502)를 도시한다. 도 5는 또한 원거리 분기 예측기(far branch predictor)(503)를 도시한다.
도 5의 실시예는 2개 또는 그 이상의 캐시 라인들이 페치 모듈(예를 들어, 명령 시퀀스 명확화 로직)에 제공되는 전체 마이크로프로세서 파이프라인의 최적화를 도시한다. 도 5의 실시예에서, 명령들은 먼저 다중의 캐시 라인들로부터 수집된다. 페치는 캐시 라인 X로서 도 5에 도시된 명령 시퀀스의 시작 캐시 라인을 포인팅하는 것으로 시작한다. 그 시작 캐시 라인과 그 다음의 후속 캐시 라인(캐시 라인 X+1(또는 그 이상))이 캐시 구조(501)로부터 페치된다. 원거리 점프 타겟 명령 캐시 구조(502)는 명령 시퀀스가 다음의 캐시 라인 외부의 원거리 점프를 갖게 되는 경우에 다음의 캐시 라인(예를 들어, 캐시 라인 X+1)을 원거리 타겟 캐시 라인(예를 들어, 캐시 라인 Y)으로 대체하기 위해 사용된다. 원거리 타겟 캐시 라인 명령 시퀀스는 원거리 분기 예측기(503)로부터의 예측이 원거리 타겟 캐시 라인이 취해질 것임을 나타내면 선택된다. 그렇지 않으면, 원거리 타겟 캐시 라인 Y는 페치 모듈에 의해 무시된다.
다른 실시예에서, 캐싱 구조들에 전체 캐시 라인들을 저장하는 대신에, 캐시 라인들의 일부들은 함께 연접(concatenate)되어 캐싱 구조들에 저장될 수 있다. 일 실시예에서, 캐시 라인들의 일부들은 유효 명령들의 시퀀스의 밀도를 개선하기 위해 사용될 수 있는 전체 새로운 캐시 라인들을 형성하기 위해 분기 경계들에서 함께 연접된다. 이러한 기능을 가능하게 하기 위해, 실제 분기 결과들이 알려질 때 예측들이 검증될 수 있도록 캐시 라인들의 일부들이 어떻게 연접되는지를 기술하기 위해 분기 예측 정보가 캐시 라인들과 함께 저장된다. 또한, 새롭게 연접된 캐시 라인들 일부들을 고려하여 새로운 타겟들로 점프하기 위해 원거리 분기들이 변경되거나 추가될 수 있어서, 인입 명령들의 프런트 엔드 처리량을 개선한다.
일 실시예에서, 이것은 2개의 단계들에서 행해질 수 있다. 제1 단계는 캐시 구조들로부터 다중의 캐시 라인들을 페치한다. 그 후, 선택된 캐시 라인들은 명령 시퀀스 어셈블러에 제공되고 이 명령 시퀀스 어셈블러는 동적 분기 예측에 기초하여 분기들을 명확하게 하고 최종 명령 시퀀스를 어셈블링한다. 명령 시퀀스 버퍼 구조가 명령 시퀀스 명확화 로직의 출력에 배치된다. 명령 시퀀스 버퍼는 파이프 라인의 다음의 단계에 대한 버퍼로서 기능하고, 또한 추후 사용을 위해 특정한 명령 시퀀스들을 선택적으로 저장한다. 명령 시퀀스 버퍼는 (시퀀스로 이어지는 분기가 매우 예측가능할 때) 빈번하게 예측된 시퀀스들 또는 (시퀀스로 이어지는 분기가 매우 잘못 예측가능(miss-predictable)할 때) 빈번하게 잘못 예측된 시퀀스들의 최종 어셈블링된 세그먼트들을 저장할 수 있다.
이러한 명령 시퀀스 버퍼는 버퍼에 저장된 시퀀스들은 분기 예측 테이블들 및 마스크들을 사용하여 이전에 설명한 명령 시퀀싱 프로세스를 받을 필요가 없기 때문에 대역폭을 개선하고 프런트 엔드의 명령 페치 모듈에 대한 레이턴시를 감소시킬 것이다.
도 6은 본 발명의 일 실시예에 따른 예시적인 마이크로프로세서 파이프라인(600)의 도면을 도시한다. 마이크로프로세서(600)는 상술한 바와 같이 실행을 포함하는 명령들을 식별하고 추출하는 프로세스의 기능을 구현하는 페치 모듈(601)을 포함한다. 도 6의 실시예에서, 페치 모듈의 뒤에 디코딩 모듈(602), 할당 모듈(603), 디스패치 모듈(604), 실행 모듈(605), 및 퇴거(retirement) 모듈(606)이 후속한다. 마이크로프로세서 파이프라인(600)은 상술한 본 발명의 실시예들의 기능을 구현하는 파이프라인의 일례일 뿐이라는 것에 유의해야 한다. 당업자는 상술한 디코딩 모듈의 기능을 포함하는 다른 마이크로프로세서 파이프라인들이 구현될 수 있다는 것을 인식할 것이다.
설명을 위해, 상술한 설명은 특정한 실시예들을 참조하여 설명되었다. 그러나, 상기 예시된 논의들은 빠짐없이 기재한 것이 아니며 본 발명을 개시된 바로 그 형태들로 제한하려는 것도 아니다. 다수의 변경들 및 변형들이 상기 교시들의 관점에서 가능하다. 본 발명의 원리들 및 그것의 실질적인 응용들을 최상으로 설명하기 위해, 따라서 당업자가 예상된 특정한 사용에 적합할 수 있는 다양한 변경들과 함께 본 발명 및 다양한 실시예들을 최상으로 활용할 수 있게 하기 위해 실시예들이 선택되고 설명되었다.

Claims (26)

  1. 명령들을 식별하는 방법으로서,
    다중의 분기 명령들(multiple branch instructions)을 포함하는 복수의 명령들에 액세스하는 단계;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 분기가 취해지는 경우에 실행되는 명령들을 나타내는 각각의 제1 마스크 및 상기 분기가 취해지지 않는 경우에 실행되는 명령들을 나타내는 각각의 제2 마스크를 생성하는 단계;
    상기 다중의 분기 명령들 중 각 분기 명령에 대한 각각의 분기 예측을 포함하는 예측 출력을 수신하는 단계;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 예측 출력을 사용하여 상기 각각의 제1 및 제2 마스크들 중에서 각각의 결과적인 마스크를 선택하는 단계;
    각 분기 명령에 대해, 이전의 분기가 후속 분기를 넘어 분기할 것으로 예측되면 상기 후속 분기의 결과적인 마스크를 무효화시키는 단계;
    모든 결과적인 마스크들에 대해 논리 연산을 수행하여 최종 마스크를 생성하는 단계; 및
    상기 최종 마스크에 기초하여, 상기 복수의 명령들로부터 실행을 위한 명령들의 서브세트를 선택하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 명령들의 서브세트를 선택하는 단계는 상기 복수의 명령들에 액세스하는 단계의 하나의 클록 사이클 내에서 수행되는 방법.
  3. 제1항에 있어서,
    상기 명령들의 서브세트를 그의 실행을 위해 실행 유닛들에 공급하는 단계를 더 포함하는 방법.
  4. 제1항에 있어서,
    상기 예측 출력을 수신하는 단계는 분기 예측 유닛으로부터 상기 예측 출력을 수신하는 단계를 포함하는 방법.
  5. 제1항에 있어서,
    상기 명령들의 서브세트의 분기 명령들은 조건부 연산들로 축소되는 방법.
  6. 제1항에 있어서,
    상기 논리 연산은 논리곱(AND) 연산인 방법.
  7. 제6항에 있어서,
    모든 각각의 제2 마스크들이 모두 세트된 비트들(all set bits)을 포함하는 방법.
  8. 명령들을 식별하는 시스템으로서,
    다중의 분기 명령들을 포함하는 복수의 명령들에 액세스하는 페치(fetch) 모듈
    을 포함하고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 페치 모듈은 분기가 취해지는 경우에 실행되는 명령들을 나타내는 각각의 제1 마스크 및 상기 분기가 취해지지 않는 경우에 실행되는 명령들을 나타내는 각각의 제2 마스크를 생성하고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대한 각각의 분기 예측을 포함하는 예측 출력이 상기 페치 모듈에 의해 수신되고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 페치 모듈은 상기 예측 출력을 사용하여 상기 각각의 제1 및 제2 마스크들 중에서 각각의 결과적인 마스크를 선택하고;
    각 분기 명령에 대해, 상기 페치 모듈은 이전의 분기가 후속 분기를 넘어 분기할 것으로 예측되는 경우에 상기 후속 분기의 결과적인 마스크를 무효화시키고;
    상기 페치 모듈에 의해 모든 결과적인 마스크들에 대해 논리 연산을 수행하여 최종 마스크를 생성하고;
    상기 최종 마스크에 기초하여, 상기 복수의 명령들로부터 실행을 위한 명령들의 서브세트가 상기 페치 모듈에 의해 선택되는 시스템.
  9. 제8항에 있어서,
    상기 명령들의 서브세트를 선택하는 것은 상기 복수의 명령들에 액세스하는 것의 하나의 클록 사이클 내에서 수행되는 시스템.
  10. 제81항에 있어서,
    상기 명령들의 서브세트를 그의 실행을 위해 실행 유닛들에 공급하는 것을 더 포함하는 시스템.
  11. 제8항에 있어서,
    상기 예측 출력을 수신하는 것은 분기 예측 유닛으로부터 상기 예측 출력을 수신하는 것을 포함하는 시스템.
  12. 제8항에 있어서,
    상기 명령들의 서브세트의 분기 명령들은 조건부 연산들로 축소되는 시스템.
  13. 제8항에 있어서,
    상기 논리 연산은 논리곱 연산인 시스템.
  14. 제13항에 있어서,
    모든 각각의 제2 마스크들이 모두 세트된 비트들을 포함하는 시스템.
  15. 명령들을 식별하는 방법을 구현하는 마이크로프로세서로서,
    마이크로프로세서 파이프라인; 및
    상기 마이크로프로세서 파이프라인에 포함된 페치 모듈
    을 포함하고;
    상기 페치 모듈은,
    다중의 분기 명령들을 포함하는 복수의 명령들에 액세스하고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 분기가 취해지는 경우에 실행되는 명령들을 나타내는 각각의 제1 마스크 및 상기 분기가 취해지지 않는 경우에 실행되는 명령들을 나타내는 각각의 제2 마스크를 생성하고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대한 각각의 분기 예측을 포함하는 예측 출력을 수신하고;
    상기 다중의 분기 명령들 중 각 분기 명령에 대해, 상기 예측 출력을 사용하여 상기 각각의 제1 및 제2 마스크들 중에서 각각의 결과적인 마스크를 선택하고;
    각 분기 명령에 대해, 이전의 분기가 후속 분기를 넘어 분기할 것으로 예측되면 상기 후속 분기의 결과적인 마스크를 무효화시키고;
    모든 결과적인 마스크들에 대해 논리 연산을 수행하여 최종 마스크를 생성하고;
    상기 최종 마스크에 기초하여, 상기 복수의 명령들로부터 실행을 위한 명령들의 서브세트를 선택하는, 마이크로프로세서.
  16. 제15항에 있어서,
    상기 명령들의 서브세트를 선택하는 것은 상기 복수의 명령들에 액세스하는 것의 하나의 클록 사이클 내에서 수행되는, 마이크로프로세서.
  17. 제15항에 있어서,
    상기 명령들의 서브세트를 그의 실행을 위해 실행 유닛들에 공급하는 것을 더 포함하는, 마이크로프로세서.
  18. 제15항에 있어서,
    상기 예측 출력을 수신하는 것은 분기 예측 유닛으로부터 상기 예측 출력을 수신하는 것을 포함하는, 마이크로프로세서.
  19. 제15항에 있어서,
    상기 명령들의 서브세트의 분기 명령들은 조건부 연산들로 축소되는, 마이크로프로세서.
  20. 제15항에 있어서,
    상기 논리 연산은 논리곱 연산인, 마이크로프로세서.
  21. 제20항에 있어서,
    모든 각각의 제2 마스크들이 모두 세트된 비트들을 포함하는, 마이크로프로세서.
  22. 복수의 캐시 라인들을 페치 모듈에 제공하는 방법으로서,
    복수의 캐시 라인들로부터 명령들을 수집하는 단계 - 상기 복수의 캐시 라인들 중 하나는 명령 시퀀스의 시작 캐시 라인을 포함하고, 상기 복수의 캐시 라인들 중 하나는 상기 시작 캐시 라인에 후속하는 후속 캐시 라인을 포함하고, 상기 복수의 캐시 라인들 중 하나는 원거리 타겟(far target) 캐시 라인을 포함함 -;
    실행 시퀀스의 실행 흐름이 상기 시작 캐시 라인으로부터 상기 후속 캐시 라인으로 진행할 것이라는 예측을 수신하면, 실행 흐름이 상기 후속 캐시 라인을 포함하도록 상기 원거리 타겟 캐시 라인을 무시하는 단계; 및
    상기 실행 시퀀스의 실행 흐름이 상기 시작 캐시 라인으로부터 상기 원거리 타겟 캐시 라인으로 진행할 것이라는 예측을 수신하면, 실행 흐름이 상기 원거리 타겟 캐시 라인을 포함하도록 상기 후속 캐시 라인을 무시하는 단계
    를 포함하는 방법.
  23. 제22항에 있어서,
    상기 시작 캐시 라인 및 상기 후속 캐시 라인은 제1 명령 캐시로부터 액세스되고, 상기 원거리 타겟 캐시 라인은 제2 명령 캐시로부터 액세스되는 방법.
  24. 제22항에 있어서,
    상기 시작 캐시 라인, 상기 후속 캐시 라인 및 상기 원거리 타겟 캐시 라인은 동적 분기 예측을 사용하여 상기 명령 시퀀스의 분기들을 명확하게 하는 명령 시퀀스 어셈블러에 제공되는 방법.
  25. 제24항에 있어서,
    실행 흐름이 상기 후속 캐시 라인으로 진행할 것인지 상기 원거리 타겟 캐시 라인으로 진행할 것인지를 제어하기 위한 분기 예측을 생성하기 위해 원거리 분기 예측기(far branch predictor)가 사용되는 방법.
  26. 제22항에 있어서,
    캐시 라인들의 일부들이 함께 연접(concatenate)되어 캐싱 구조들에 저장되고, 상기 캐시 라인들의 일부들은 분기 경계들에서 함께 연접되어 전체 새로운 캐시 라인들을 형성하는 방법.
KR1020137009758A 2010-09-17 2011-09-16 조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측 KR101685247B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38419810P 2010-09-17 2010-09-17
US61/384,198 2010-09-17
PCT/US2011/051992 WO2012037491A2 (en) 2010-09-17 2011-09-16 Single cycle multi-branch prediction including shadow cache for early far branch prediction

Publications (2)

Publication Number Publication Date
KR20140014070A true KR20140014070A (ko) 2014-02-05
KR101685247B1 KR101685247B1 (ko) 2016-12-09

Family

ID=45832270

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137009758A KR101685247B1 (ko) 2010-09-17 2011-09-16 조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측

Country Status (6)

Country Link
US (1) US10228949B2 (ko)
EP (2) EP3156896B1 (ko)
KR (1) KR101685247B1 (ko)
CN (1) CN103250131B (ko)
TW (1) TWI529618B (ko)
WO (1) WO2012037491A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180092802A1 (en) * 2016-09-30 2018-04-05 Zoll Medical Corporation Wearable Sensor Devices and Systems for Patient Care

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2011018B1 (en) 2006-04-12 2016-07-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
EP2122461A4 (en) 2006-11-14 2010-03-24 Soft Machines Inc DEVICE AND METHOD FOR PROCESSING COMMUNICATIONS IN A MULTITHREAD ARCHITECTURE WITH CONTEXT CHANGES
CN103250131B (zh) 2010-09-17 2015-12-16 索夫特机械公司 包括用于早期远分支预测的影子缓存的单周期多分支预测
US9766893B2 (en) 2011-03-25 2017-09-19 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
US9274793B2 (en) 2011-03-25 2016-03-01 Soft Machines, Inc. Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines
CN103562866B (zh) 2011-03-25 2018-03-30 英特尔公司 用于通过使用由可分割引擎实例化的虚拟核来支持代码块执行的寄存器文件段
KR101639853B1 (ko) 2011-05-20 2016-07-14 소프트 머신즈, 인크. 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 자원들 및 상호접속 구조들의 비집중 할당
TWI548994B (zh) 2011-05-20 2016-09-11 軟體機器公司 以複數個引擎支援指令序列的執行之互連結構
US10191746B2 (en) 2011-11-22 2019-01-29 Intel Corporation Accelerated code optimizer for a multiengine microprocessor
EP2783281B1 (en) 2011-11-22 2020-05-13 Intel Corporation A microprocessor accelerated code optimizer
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
WO2014151043A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
EP2972845B1 (en) 2013-03-15 2021-07-07 Intel Corporation A method for executing multithreaded instructions grouped onto blocks
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
CN105204819A (zh) * 2015-10-12 2015-12-30 北京北大众志微系统科技有限责任公司 一种基于分组全局历史的分支预测方法及系统
US10929136B2 (en) * 2018-04-11 2021-02-23 Futurewei Technologies, Inc. Accurate early branch prediction using multiple predictors having different accuracy and latency in high-performance microprocessors
US10949210B2 (en) * 2018-05-02 2021-03-16 Micron Technology, Inc. Shadow cache for securing conditional speculative instruction execution
US20190369999A1 (en) * 2018-06-04 2019-12-05 Advanced Micro Devices, Inc. Storing incidental branch predictions to reduce latency of misprediction recovery
US11182165B2 (en) 2018-11-19 2021-11-23 International Business Machines Corporation Skip-over offset branch prediction
US11497924B2 (en) 2019-08-08 2022-11-15 Realize MedTech LLC Systems and methods for enabling point of care magnetic stimulation therapy
US11366667B2 (en) 2020-04-14 2022-06-21 Shanghai Zhaoxin Semiconductor Co., Ltd. Microprocessor with instruction fetching failure solution
US11698789B2 (en) * 2020-10-12 2023-07-11 Microsoft Technology Licensing, Llc Restoring speculative history used for making speculative predictions for instructions processed in a processor employing control independence techniques
CN112905242B (zh) * 2021-03-23 2022-12-06 浙江大华技术股份有限公司 分支路径跳转方法、装置、存储介质及电子装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6253316B1 (en) * 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US6260138B1 (en) * 1998-07-17 2001-07-10 Sun Microsystems, Inc. Method and apparatus for branch instruction processing in a processor
US7673124B2 (en) * 2006-08-25 2010-03-02 Samsung Electronics Co., Ltd. Filtered branch-prediction predicate generation

Family Cites Families (475)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US727487A (en) 1902-10-21 1903-05-05 Swan F Swanson Dumping-car.
US4075704A (en) 1976-07-02 1978-02-21 Floating Point Systems, Inc. Floating point data processor for high speech operation
US4228496A (en) 1976-09-07 1980-10-14 Tandem Computers Incorporated Multiprocessor system
US4245344A (en) 1979-04-02 1981-01-13 Rockwell International Corporation Processing system with dual buses
US4527237A (en) 1979-10-11 1985-07-02 Nanodata Computer Corporation Data processing system
US4414624A (en) 1980-11-19 1983-11-08 The United States Of America As Represented By The Secretary Of The Navy Multiple-microcomputer processing
US4524415A (en) 1982-12-07 1985-06-18 Motorola, Inc. Virtual machine data processor
US4597061B1 (en) 1983-01-03 1998-06-09 Texas Instruments Inc Memory system using pipleline circuitry for improved system
US4577273A (en) 1983-06-06 1986-03-18 Sperry Corporation Multiple microcomputer system for digital computers
US4682281A (en) 1983-08-30 1987-07-21 Amdahl Corporation Data storage unit employing translation lookaside buffer pointer
US4633434A (en) 1984-04-02 1986-12-30 Sperry Corporation High performance storage unit
US4600986A (en) 1984-04-02 1986-07-15 Sperry Corporation Pipelined split stack with high performance interleaved decode
JPS6140643A (ja) 1984-07-31 1986-02-26 Hitachi Ltd システムの資源割当て制御方式
US4835680A (en) 1985-03-15 1989-05-30 Xerox Corporation Adaptive processor array capable of learning variable associations useful in recognizing classes of inputs
JPS6289149A (ja) 1985-10-15 1987-04-23 Agency Of Ind Science & Technol 多ポ−トメモリシステム
JPH0658650B2 (ja) 1986-03-14 1994-08-03 株式会社日立製作所 仮想計算機システム
US4920477A (en) 1987-04-20 1990-04-24 Multiflow Computer, Inc. Virtual address table look aside buffer miss recovery method and apparatus
US4943909A (en) 1987-07-08 1990-07-24 At&T Bell Laboratories Computational origami
US5339398A (en) 1989-07-31 1994-08-16 North American Philips Corporation Memory architecture and method of data organization optimized for hashing
US5471593A (en) 1989-12-11 1995-11-28 Branigin; Michael H. Computer processor with an efficient means of executing many instructions simultaneously
US5197130A (en) 1989-12-29 1993-03-23 Supercomputer Systems Limited Partnership Cluster architecture for a highly parallel scalar/vector multiprocessor system
US5317754A (en) 1990-10-23 1994-05-31 International Business Machines Corporation Method and apparatus for enabling an interpretive execution subset
US5317705A (en) 1990-10-24 1994-05-31 International Business Machines Corporation Apparatus and method for TLB purge reduction in a multi-level machine system
US6282583B1 (en) 1991-06-04 2001-08-28 Silicon Graphics, Inc. Method and apparatus for memory access in a matrix processor computer
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
JPH0820949B2 (ja) 1991-11-26 1996-03-04 松下電器産業株式会社 情報処理装置
WO1993013481A1 (en) 1991-12-23 1993-07-08 Intel Corporation Interleaved cache for multiple accesses per clock in a microprocessor
KR100309566B1 (ko) 1992-04-29 2001-12-15 리패치 파이프라인프로세서에서다중명령어를무리짓고,그룹화된명령어를동시에발행하고,그룹화된명령어를실행시키는방법및장치
WO1993022722A1 (en) 1992-05-01 1993-11-11 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
EP0576262B1 (en) 1992-06-25 2000-08-23 Canon Kabushiki Kaisha Apparatus for multiplying integers of many figures
JPH0637202A (ja) 1992-07-20 1994-02-10 Mitsubishi Electric Corp マイクロ波ic用パッケージ
JPH06110781A (ja) 1992-09-30 1994-04-22 Nec Corp キャッシュメモリ装置
US5493660A (en) 1992-10-06 1996-02-20 Hewlett-Packard Company Software assisted hardware TLB miss handler
US5513335A (en) 1992-11-02 1996-04-30 Sgs-Thomson Microelectronics, Inc. Cache tag memory having first and second single-port arrays and a dual-port array
US5819088A (en) 1993-03-25 1998-10-06 Intel Corporation Method and apparatus for scheduling instructions for execution on a multi-issue architecture computer
JPH0784883A (ja) 1993-09-17 1995-03-31 Hitachi Ltd 仮想計算機システムのアドレス変換バッファパージ方法
US6948172B1 (en) 1993-09-21 2005-09-20 Microsoft Corporation Preemptive multi-tasking with cooperative groups of tasks
US5469376A (en) 1993-10-14 1995-11-21 Abdallah; Mohammad A. F. F. Digital circuit for the evaluation of mathematical expressions
US5517651A (en) 1993-12-29 1996-05-14 Intel Corporation Method and apparatus for loading a segment register in a microprocessor capable of operating in multiple modes
US5761476A (en) 1993-12-30 1998-06-02 Intel Corporation Non-clocked early read for back-to-back scheduling of instructions
US5956753A (en) 1993-12-30 1999-09-21 Intel Corporation Method and apparatus for handling speculative memory access operations
JP3048498B2 (ja) 1994-04-13 2000-06-05 株式会社東芝 半導体記憶装置
JPH07287668A (ja) 1994-04-19 1995-10-31 Hitachi Ltd データ処理装置
CN1084005C (zh) 1994-06-27 2002-05-01 国际商业机器公司 用于动态控制地址空间分配的方法和设备
US5548742A (en) 1994-08-11 1996-08-20 Intel Corporation Method and apparatus for combining a direct-mapped cache and a multiple-way cache in a cache memory
US5813031A (en) 1994-09-21 1998-09-22 Industrial Technology Research Institute Caching tag for a large scale cache computer memory system
US5640534A (en) 1994-10-05 1997-06-17 International Business Machines Corporation Method and system for concurrent access in a data cache array utilizing multiple match line selection paths
US5835951A (en) 1994-10-18 1998-11-10 National Semiconductor Branch processing unit with target cache read prioritization protocol for handling multiple hits
JP3569014B2 (ja) 1994-11-25 2004-09-22 富士通株式会社 マルチコンテキストをサポートするプロセッサおよび処理方法
US5724565A (en) 1995-02-03 1998-03-03 International Business Machines Corporation Method and system for processing first and second sets of instructions by first and second types of processing systems
US5655115A (en) 1995-02-14 1997-08-05 Hal Computer Systems, Inc. Processor structure and method for watchpoint of plural simultaneous unresolved branch evaluation
US5675759A (en) 1995-03-03 1997-10-07 Shebanow; Michael C. Method and apparatus for register management using issue sequence prior physical register and register association validity information
US5751982A (en) 1995-03-31 1998-05-12 Apple Computer, Inc. Software emulation system with dynamic translation of emulated instructions for increased processing speed
US5634068A (en) 1995-03-31 1997-05-27 Sun Microsystems, Inc. Packet switched cache coherent multiprocessor system
US6209085B1 (en) 1995-05-05 2001-03-27 Intel Corporation Method and apparatus for performing process switching in multiprocessor computer systems
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US5710902A (en) 1995-09-06 1998-01-20 Intel Corporation Instruction dependency chain indentifier
US6341324B1 (en) 1995-10-06 2002-01-22 Lsi Logic Corporation Exception processing in superscalar microprocessor
US5864657A (en) 1995-11-29 1999-01-26 Texas Micro, Inc. Main memory system and checkpointing protocol for fault-tolerant computer system
US5983327A (en) 1995-12-01 1999-11-09 Nortel Networks Corporation Data path architecture and arbitration scheme for providing access to a shared system resource
US5793941A (en) 1995-12-04 1998-08-11 Advanced Micro Devices, Inc. On-chip primary cache testing circuit and test method
US5911057A (en) 1995-12-19 1999-06-08 Texas Instruments Incorporated Superscalar microprocessor having combined register and memory renaming circuits, systems, and methods
US5699537A (en) 1995-12-22 1997-12-16 Intel Corporation Processor microarchitecture for efficient dynamic scheduling and execution of chains of dependent instructions
US6882177B1 (en) 1996-01-10 2005-04-19 Altera Corporation Tristate structures for programmable logic devices
US5754818A (en) 1996-03-22 1998-05-19 Sun Microsystems, Inc. Architecture and method for sharing TLB entries through process IDS
US5904892A (en) 1996-04-01 1999-05-18 Saint-Gobain/Norton Industrial Ceramics Corp. Tape cast silicon carbide dummy wafer
US5752260A (en) 1996-04-29 1998-05-12 International Business Machines Corporation High-speed, multiple-port, interleaved cache with arbitration of multiple access addresses
US5806085A (en) 1996-05-01 1998-09-08 Sun Microsystems, Inc. Method for non-volatile caching of network and CD-ROM file accesses using a cache directory, pointers, file name conversion, a local hard disk, and separate small database
US5829028A (en) 1996-05-06 1998-10-27 Advanced Micro Devices, Inc. Data cache configured to store data in a use-once manner
US6108769A (en) 1996-05-17 2000-08-22 Advanced Micro Devices, Inc. Dependency table for reducing dependency checking hardware
US5881277A (en) 1996-06-13 1999-03-09 Texas Instruments Incorporated Pipelined microprocessor with branch misprediction cache circuits, systems and methods
US5860146A (en) 1996-06-25 1999-01-12 Sun Microsystems, Inc. Auxiliary translation lookaside buffer for assisting in accessing data in remote address spaces
US5903760A (en) 1996-06-27 1999-05-11 Intel Corporation Method and apparatus for translating a conditional instruction compatible with a first instruction set architecture (ISA) into a conditional instruction compatible with a second ISA
US5974506A (en) 1996-06-28 1999-10-26 Digital Equipment Corporation Enabling mirror, nonmirror and partial mirror cache modes in a dual cache system
US6167490A (en) 1996-09-20 2000-12-26 University Of Washington Using global memory information to manage memory in a computer network
KR19980032776A (ko) 1996-10-16 1998-07-25 가나이 츠토무 데이타 프로세서 및 데이타 처리시스템
KR19990076967A (ko) 1996-11-04 1999-10-25 요트.게.아. 롤페즈 처리 장치 및 메모리내의 명령 판독
US6385715B1 (en) 1996-11-13 2002-05-07 Intel Corporation Multi-threading for a processor utilizing a replay queue
US5978906A (en) * 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US6212542B1 (en) 1996-12-16 2001-04-03 International Business Machines Corporation Method and system for executing a program within a multiscalar processor by processing linked thread descriptors
US6134634A (en) 1996-12-20 2000-10-17 Texas Instruments Incorporated Method and apparatus for preemptive cache write-back
US5918251A (en) 1996-12-23 1999-06-29 Intel Corporation Method and apparatus for preloading different default address translation attributes
US6016540A (en) 1997-01-08 2000-01-18 Intel Corporation Method and apparatus for scheduling instructions in waves
US6065105A (en) 1997-01-08 2000-05-16 Intel Corporation Dependency matrix
US5802602A (en) 1997-01-17 1998-09-01 Intel Corporation Method and apparatus for performing reads of related data from a set-associative cache memory
US6088780A (en) 1997-03-31 2000-07-11 Institute For The Development Of Emerging Architecture, L.L.C. Page table walker that uses at least one of a default page size and a page size selected for a virtual address space to position a sliding field in a virtual address
US6075938A (en) 1997-06-10 2000-06-13 The Board Of Trustees Of The Leland Stanford Junior University Virtual machine monitors for scalable multiprocessors
US6073230A (en) 1997-06-11 2000-06-06 Advanced Micro Devices, Inc. Instruction fetch unit configured to provide sequential way prediction for sequential instruction fetches
JPH1124929A (ja) 1997-06-30 1999-01-29 Sony Corp 演算処理装置およびその方法
US6128728A (en) 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6085315A (en) * 1997-09-12 2000-07-04 Siemens Aktiengesellschaft Data processing device with loop pipeline
US6101577A (en) 1997-09-15 2000-08-08 Advanced Micro Devices, Inc. Pipelined instruction cache and branch prediction mechanism therefor
US5901294A (en) 1997-09-18 1999-05-04 International Business Machines Corporation Method and system for bus arbitration in a multiprocessor system utilizing simultaneous variable-width bus access
US6185660B1 (en) 1997-09-23 2001-02-06 Hewlett-Packard Company Pending access queue for providing data to a target register during an intermediate pipeline phase after a computer cache miss
US5905509A (en) 1997-09-30 1999-05-18 Compaq Computer Corp. Accelerated Graphics Port two level Gart cache having distributed first level caches
US6226732B1 (en) 1997-10-02 2001-05-01 Hitachi Micro Systems, Inc. Memory system architecture
US5922065A (en) 1997-10-13 1999-07-13 Institute For The Development Of Emerging Architectures, L.L.C. Processor utilizing a template field for encoding instruction sequences in a wide-word format
US6178482B1 (en) 1997-11-03 2001-01-23 Brecis Communications Virtual register sets
US6021484A (en) 1997-11-14 2000-02-01 Samsung Electronics Co., Ltd. Dual instruction set architecture
US6256728B1 (en) 1997-11-17 2001-07-03 Advanced Micro Devices, Inc. Processor configured to selectively cancel instructions from its pipeline responsive to a predicted-taken short forward branch instruction
US6260131B1 (en) 1997-11-18 2001-07-10 Intrinsity, Inc. Method and apparatus for TLB memory ordering
US6016533A (en) 1997-12-16 2000-01-18 Advanced Micro Devices, Inc. Way prediction logic for cache array
US6219776B1 (en) 1998-03-10 2001-04-17 Billions Of Operations Per Second Merged array controller and processing element
US6609189B1 (en) 1998-03-12 2003-08-19 Yale University Cycle segmented prefix circuits
JP3657424B2 (ja) 1998-03-20 2005-06-08 松下電器産業株式会社 番組情報を放送するセンター装置と端末装置
US6216215B1 (en) 1998-04-02 2001-04-10 Intel Corporation Method and apparatus for senior loads
US6157998A (en) * 1998-04-03 2000-12-05 Motorola Inc. Method for performing branch prediction and resolution of two or more branch instructions within two or more branch prediction buffers
US6205545B1 (en) 1998-04-30 2001-03-20 Hewlett-Packard Company Method and apparatus for using static branch predictions hints with dynamically translated code traces to improve performance
US6115809A (en) 1998-04-30 2000-09-05 Hewlett-Packard Company Compiling strong and weak branching behavior instruction blocks to separate caches for dynamic and static prediction
US6256727B1 (en) * 1998-05-12 2001-07-03 International Business Machines Corporation Method and system for fetching noncontiguous instructions in a single clock cycle
JPH11338710A (ja) 1998-05-28 1999-12-10 Toshiba Corp 複数種の命令セットを持つプロセッサのためのコンパイル方法ならびに装置および同方法がプログラムされ記録される記録媒体
US6272616B1 (en) 1998-06-17 2001-08-07 Agere Systems Guardian Corp. Method and apparatus for executing multiple instruction streams in a digital processor with multiple data paths
US6988183B1 (en) 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US6122656A (en) 1998-07-31 2000-09-19 Advanced Micro Devices, Inc. Processor configured to map logical register numbers to physical register numbers using virtual register numbers
US6272662B1 (en) 1998-08-04 2001-08-07 International Business Machines Corporation Distributed storage system using front-end and back-end locking
JP2000057054A (ja) 1998-08-12 2000-02-25 Fujitsu Ltd 高速アドレス変換システム
US8631066B2 (en) 1998-09-10 2014-01-14 Vmware, Inc. Mechanism for providing virtual machines for use by multiple users
US6339822B1 (en) 1998-10-02 2002-01-15 Advanced Micro Devices, Inc. Using padded instructions in a block-oriented cache
US6332189B1 (en) 1998-10-16 2001-12-18 Intel Corporation Branch prediction architecture
GB9825102D0 (en) 1998-11-16 1999-01-13 Insignia Solutions Plc Computer system
JP3110404B2 (ja) 1998-11-18 2000-11-20 甲府日本電気株式会社 マイクロプロセッサ装置及びそのソフトウェア命令高速化方法並びにその制御プログラムを記録した記録媒体
US6490673B1 (en) 1998-11-27 2002-12-03 Matsushita Electric Industrial Co., Ltd Processor, compiling apparatus, and compile program recorded on a recording medium
US6519682B2 (en) 1998-12-04 2003-02-11 Stmicroelectronics, Inc. Pipelined non-blocking level two cache system with inherent transaction collision-avoidance
US6477562B2 (en) 1998-12-16 2002-11-05 Clearwater Networks, Inc. Prioritized instruction scheduling for multi-streaming processors
US7020879B1 (en) 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6247097B1 (en) 1999-01-22 2001-06-12 International Business Machines Corporation Aligned instruction cache handling of instruction fetches across multiple predicted branch instructions
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
JP3842474B2 (ja) 1999-02-02 2006-11-08 株式会社ルネサステクノロジ データ処理装置
US6327650B1 (en) 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6668316B1 (en) 1999-02-17 2003-12-23 Elbrus International Limited Method and apparatus for conflict-free execution of integer and floating-point operations with a common register file
US6732220B2 (en) 1999-02-17 2004-05-04 Elbrus International Method for emulating hardware features of a foreign architecture in a host operating system environment
US6418530B2 (en) 1999-02-18 2002-07-09 Hewlett-Packard Company Hardware/software system for instruction profiling and trace selection using branch history information for branch predictions
US6437789B1 (en) 1999-02-19 2002-08-20 Evans & Sutherland Computer Corporation Multi-level cache controller
US6850531B1 (en) 1999-02-23 2005-02-01 Alcatel Multi-service network switch
US6212613B1 (en) 1999-03-22 2001-04-03 Cisco Technology, Inc. Methods and apparatus for reusing addresses in a computer
US6529928B1 (en) 1999-03-23 2003-03-04 Silicon Graphics, Inc. Floating-point adder performing floating-point and integer operations
EP1050808B1 (en) 1999-05-03 2008-04-30 STMicroelectronics S.A. Computer instruction scheduling
US6449671B1 (en) 1999-06-09 2002-09-10 Ati International Srl Method and apparatus for busing data elements
US6473833B1 (en) 1999-07-30 2002-10-29 International Business Machines Corporation Integrated cache and directory structure for multi-level caches
US6643770B1 (en) 1999-09-16 2003-11-04 Intel Corporation Branch misprediction recovery using a side memory
US6704822B1 (en) 1999-10-01 2004-03-09 Sun Microsystems, Inc. Arbitration protocol for a shared data cache
US6772325B1 (en) 1999-10-01 2004-08-03 Hitachi, Ltd. Processor architecture and operation for exploiting improved branch control instruction
US6457120B1 (en) 1999-11-01 2002-09-24 International Business Machines Corporation Processor and method including a cache having confirmation bits for improving address predictable branch instruction target predictions
US7441110B1 (en) * 1999-12-10 2008-10-21 International Business Machines Corporation Prefetching using future branch path information derived from branch prediction
US7107434B2 (en) 1999-12-20 2006-09-12 Board Of Regents, The University Of Texas System, method and apparatus for allocating hardware resources using pseudorandom sequences
AU2597401A (en) 1999-12-22 2001-07-03 Ubicom, Inc. System and method for instruction level multithreading in an embedded processor using zero-time context switching
US6557095B1 (en) 1999-12-27 2003-04-29 Intel Corporation Scheduling operations using a dependency matrix
WO2001050253A1 (en) 2000-01-03 2001-07-12 Advanced Micro Devices, Inc. Scheduler capable of issuing and reissuing dependency chains
US6542984B1 (en) 2000-01-03 2003-04-01 Advanced Micro Devices, Inc. Scheduler capable of issuing and reissuing dependency chains
US6594755B1 (en) 2000-01-04 2003-07-15 National Semiconductor Corporation System and method for interleaved execution of multiple independent threads
US6728872B1 (en) 2000-02-04 2004-04-27 International Business Machines Corporation Method and apparatus for verifying that instructions are pipelined in correct architectural sequence
GB0002848D0 (en) 2000-02-08 2000-03-29 Siroyan Limited Communicating instruction results in processors and compiling methods for processors
GB2365661A (en) 2000-03-10 2002-02-20 British Telecomm Allocating switch requests within a packet switch
US6615340B1 (en) 2000-03-22 2003-09-02 Wilmot, Ii Richard Byron Extended operand management indicator structure and method
US6604187B1 (en) 2000-06-19 2003-08-05 Advanced Micro Devices, Inc. Providing global translations with address space numbers
US6557083B1 (en) 2000-06-30 2003-04-29 Intel Corporation Memory system for multiple data types
US6704860B1 (en) 2000-07-26 2004-03-09 International Business Machines Corporation Data processing system and method for fetching instruction blocks in response to a detected block sequence
US7206925B1 (en) 2000-08-18 2007-04-17 Sun Microsystems, Inc. Backing Register File for processors
US6728866B1 (en) 2000-08-31 2004-04-27 International Business Machines Corporation Partitioned issue queue and allocation strategy
US6721874B1 (en) 2000-10-12 2004-04-13 International Business Machines Corporation Method and system for dynamically shared completion table supporting multiple threads in a processing system
US7757065B1 (en) 2000-11-09 2010-07-13 Intel Corporation Instruction segment recording scheme
JP2002185513A (ja) 2000-12-18 2002-06-28 Hitachi Ltd パケット通信ネットワークおよびパケット転送制御方法
US6907600B2 (en) 2000-12-27 2005-06-14 Intel Corporation Virtual translation lookaside buffer
US6877089B2 (en) * 2000-12-27 2005-04-05 International Business Machines Corporation Branch prediction apparatus and process for restoring replaced branch history for use in future branch predictions for an executing program
US6647466B2 (en) 2001-01-25 2003-11-11 Hewlett-Packard Development Company, L.P. Method and apparatus for adaptively bypassing one or more levels of a cache hierarchy
FR2820921A1 (fr) 2001-02-14 2002-08-16 Canon Kk Dispositif et procede de transmission dans un commutateur
US6985951B2 (en) 2001-03-08 2006-01-10 International Business Machines Corporation Inter-partition message passing method, system and program product for managing workload in a partitioned processing environment
US6950927B1 (en) 2001-04-13 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy System and method for instruction-level parallelism in a programmable multiple network processor environment
US7707397B2 (en) * 2001-05-04 2010-04-27 Via Technologies, Inc. Variable group associativity branch target address cache delivering multiple target addresses per cache line
US7200740B2 (en) 2001-05-04 2007-04-03 Ip-First, Llc Apparatus and method for speculatively performing a return instruction in a microprocessor
US6658549B2 (en) 2001-05-22 2003-12-02 Hewlett-Packard Development Company, Lp. Method and system allowing a single entity to manage memory comprising compressed and uncompressed data
US6985591B2 (en) 2001-06-29 2006-01-10 Intel Corporation Method and apparatus for distributing keys for decrypting and re-encrypting publicly distributed media
US7203824B2 (en) * 2001-07-03 2007-04-10 Ip-First, Llc Apparatus and method for handling BTAC branches that wrap across instruction cache lines
US7024545B1 (en) 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
US6954846B2 (en) 2001-08-07 2005-10-11 Sun Microsystems, Inc. Microprocessor and method for giving each thread exclusive access to one register file in a multi-threading mode and for giving an active thread access to multiple register files in a single thread mode
KR20030018999A (ko) 2001-08-31 2003-03-06 엘지전자 주식회사 동기식 디지털 계위의 가상상자 포인터 생성을 위한스터프 타임 결정장치 및 그 방법
US6718440B2 (en) 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
US7150021B1 (en) 2001-10-12 2006-12-12 Palau Acquisition Corporation (Delaware) Method and system to allocate resources within an interconnect device according to a resource allocation table
US7117347B2 (en) 2001-10-23 2006-10-03 Ip-First, Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US7272832B2 (en) 2001-10-25 2007-09-18 Hewlett-Packard Development Company, L.P. Method of protecting user process data in a secure platform inaccessible to the operating system and other tasks on top of the secure platform
US6964043B2 (en) 2001-10-30 2005-11-08 Intel Corporation Method, apparatus, and system to optimize frequently executed code and to use compiler transformation and hardware support to handle infrequently executed code
GB2381886B (en) 2001-11-07 2004-06-23 Sun Microsystems Inc Computer system with virtual memory and paging mechanism
US7092869B2 (en) 2001-11-14 2006-08-15 Ronald Hilton Memory address prediction under emulation
US7363467B2 (en) 2002-01-03 2008-04-22 Intel Corporation Dependence-chain processing using trace descriptors having dependency descriptors
US6640333B2 (en) 2002-01-10 2003-10-28 Lsi Logic Corporation Architecture for a sea of platforms
US7055021B2 (en) 2002-02-05 2006-05-30 Sun Microsystems, Inc. Out-of-order processor that reduces mis-speculation using a replay scoreboard
US7331040B2 (en) 2002-02-06 2008-02-12 Transitive Limted Condition code flag emulation for program code conversion
US6839816B2 (en) 2002-02-26 2005-01-04 International Business Machines Corporation Shared cache line update mechanism
US6731292B2 (en) 2002-03-06 2004-05-04 Sun Microsystems, Inc. System and method for controlling a number of outstanding data transactions within an integrated circuit
JP3719509B2 (ja) 2002-04-01 2005-11-24 株式会社ソニー・コンピュータエンタテインメント シリアル演算パイプライン、演算装置、算術論理演算回路およびシリアル演算パイプラインによる演算方法
US7565509B2 (en) 2002-04-17 2009-07-21 Microsoft Corporation Using limits on address translation to control access to an addressable entity
US6920530B2 (en) 2002-04-23 2005-07-19 Sun Microsystems, Inc. Scheme for reordering instructions via an instruction caching mechanism
US7113488B2 (en) 2002-04-24 2006-09-26 International Business Machines Corporation Reconfigurable circular bus
US7281055B2 (en) 2002-05-28 2007-10-09 Newisys, Inc. Routing mechanisms in systems having multiple multi-processor clusters
US7117346B2 (en) 2002-05-31 2006-10-03 Freescale Semiconductor, Inc. Data processing system having multiple register contexts and method therefor
US6938151B2 (en) 2002-06-04 2005-08-30 International Business Machines Corporation Hybrid branch prediction using a global selection counter and a prediction method comparison table
US8024735B2 (en) 2002-06-14 2011-09-20 Intel Corporation Method and apparatus for ensuring fairness and forward progress when executing multiple threads of execution
JP3845043B2 (ja) 2002-06-28 2006-11-15 富士通株式会社 命令フェッチ制御装置
JP3982353B2 (ja) 2002-07-12 2007-09-26 日本電気株式会社 フォルトトレラントコンピュータ装置、その再同期化方法及び再同期化プログラム
US6944744B2 (en) 2002-08-27 2005-09-13 Advanced Micro Devices, Inc. Apparatus and method for independently schedulable functional units with issue lock mechanism in a processor
US7546422B2 (en) 2002-08-28 2009-06-09 Intel Corporation Method and apparatus for the synchronization of distributed caches
US6950925B1 (en) 2002-08-28 2005-09-27 Advanced Micro Devices, Inc. Scheduler for use in a microprocessor that supports data-speculative execution
TW200408242A (en) 2002-09-06 2004-05-16 Matsushita Electric Ind Co Ltd Home terminal apparatus and communication system
US6895491B2 (en) 2002-09-26 2005-05-17 Hewlett-Packard Development Company, L.P. Memory addressing for a virtual machine implementation on a computer processor supporting virtual hash-page-table searching
US7334086B2 (en) 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US6829698B2 (en) 2002-10-10 2004-12-07 International Business Machines Corporation Method, apparatus and system for acquiring a global promotion facility utilizing a data-less transaction
US7213248B2 (en) 2002-10-10 2007-05-01 International Business Machines Corporation High speed promotion mechanism suitable for lock acquisition in a multiprocessor data processing system
US7222218B2 (en) 2002-10-22 2007-05-22 Sun Microsystems, Inc. System and method for goal-based scheduling of blocks of code for concurrent execution
US20040103251A1 (en) 2002-11-26 2004-05-27 Mitchell Alsup Microprocessor including a first level cache and a second level cache having different cache line sizes
WO2004051449A2 (en) 2002-12-04 2004-06-17 Koninklijke Philips Electronics N.V. Register file gating to reduce microprocessor power dissipation
US6981083B2 (en) 2002-12-05 2005-12-27 International Business Machines Corporation Processor virtualization mechanism via an enhanced restoration of hard architected states
US7073042B2 (en) 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US20040117594A1 (en) 2002-12-13 2004-06-17 Vanderspek Julius Memory management method
US20040122887A1 (en) 2002-12-20 2004-06-24 Macy William W. Efficient multiplication of small matrices using SIMD registers
US7191349B2 (en) 2002-12-26 2007-03-13 Intel Corporation Mechanism for processor power state aware distribution of lowest priority interrupt
US6925421B2 (en) 2003-01-09 2005-08-02 International Business Machines Corporation Method, system, and computer program product for estimating the number of consumers that place a load on an individual resource in a pool of physically distributed resources
US20040139441A1 (en) 2003-01-09 2004-07-15 Kabushiki Kaisha Toshiba Processor, arithmetic operation processing method, and priority determination method
US7178010B2 (en) 2003-01-16 2007-02-13 Ip-First, Llc Method and apparatus for correcting an internal call/return stack in a microprocessor that detects from multiple pipeline stages incorrect speculative update of the call/return stack
US7089374B2 (en) 2003-02-13 2006-08-08 Sun Microsystems, Inc. Selectively unmarking load-marked cache lines during transactional program execution
US7278030B1 (en) 2003-03-03 2007-10-02 Vmware, Inc. Virtualization system for computers having multiple protection mechanisms
US6912644B1 (en) 2003-03-06 2005-06-28 Intel Corporation Method and apparatus to steer memory access operations in a virtual memory system
US7111145B1 (en) 2003-03-25 2006-09-19 Vmware, Inc. TLB miss fault handler and method for accessing multiple page tables
US7143273B2 (en) 2003-03-31 2006-11-28 Intel Corporation Method and apparatus for dynamic branch prediction utilizing multiple stew algorithms for indexing a global history
CN1214666C (zh) 2003-04-07 2005-08-10 华为技术有限公司 位置业务中限制位置信息请求流量的方法
US7058764B2 (en) 2003-04-14 2006-06-06 Hewlett-Packard Development Company, L.P. Method of adaptive cache partitioning to increase host I/O performance
EP1471421A1 (en) 2003-04-24 2004-10-27 STMicroelectronics Limited Speculative load instruction control
US7469407B2 (en) 2003-04-24 2008-12-23 International Business Machines Corporation Method for resource balancing using dispatch flush in a simultaneous multithread processor
US7290261B2 (en) 2003-04-24 2007-10-30 International Business Machines Corporation Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor
US7139855B2 (en) 2003-04-24 2006-11-21 International Business Machines Corporation High performance synchronization of resource allocation in a logically-partitioned system
US7055003B2 (en) 2003-04-25 2006-05-30 International Business Machines Corporation Data cache scrub mechanism for large L2/L3 data cache structures
US7007108B2 (en) 2003-04-30 2006-02-28 Lsi Logic Corporation System method for use of hardware semaphores for resource release notification wherein messages comprises read-modify-write operation and address
EP1658559B1 (en) 2003-06-25 2012-04-18 Koninklijke Philips Electronics N.V. Instruction controlled data processing device and method
JP2005032018A (ja) 2003-07-04 2005-02-03 Semiconductor Energy Lab Co Ltd 遺伝的アルゴリズムを用いたマイクロプロセッサ
US7149872B2 (en) 2003-07-10 2006-12-12 Transmeta Corporation System and method for identifying TLB entries associated with a physical address of a specified range
US7089398B2 (en) 2003-07-31 2006-08-08 Silicon Graphics, Inc. Address translation using a page size tag
US8296771B2 (en) 2003-08-18 2012-10-23 Cray Inc. System and method for mapping between resource consumers and resource providers in a computing system
US7133950B2 (en) 2003-08-19 2006-11-07 Sun Microsystems, Inc. Request arbitration in multi-core processor
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7424599B2 (en) 2003-08-28 2008-09-09 Mips Technologies, Inc. Apparatus, method, and instruction for software management of multiple computational contexts in a multithreaded microprocessor
US7111126B2 (en) 2003-09-24 2006-09-19 Arm Limited Apparatus and method for loading data values
JP4057989B2 (ja) 2003-09-26 2008-03-05 株式会社東芝 スケジューリング方法および情報処理システム
FR2860313B1 (fr) 2003-09-30 2005-11-04 Commissariat Energie Atomique Composant a architecture reconfigurable dynamiquement
US7047322B1 (en) 2003-09-30 2006-05-16 Unisys Corporation System and method for performing conflict resolution and flow control in a multiprocessor system
US7373637B2 (en) 2003-09-30 2008-05-13 International Business Machines Corporation Method and apparatus for counting instruction and memory location ranges
TWI281121B (en) 2003-10-06 2007-05-11 Ip First Llc Apparatus and method for selectively overriding return stack prediction in response to detection of non-standard return sequence
US7395372B2 (en) 2003-11-14 2008-07-01 International Business Machines Corporation Method and system for providing cache set selection which is power optimized
US7243170B2 (en) 2003-11-24 2007-07-10 International Business Machines Corporation Method and circuit for reading and writing an instruction buffer
US20050120191A1 (en) 2003-12-02 2005-06-02 Intel Corporation (A Delaware Corporation) Checkpoint-based register reclamation
US20050132145A1 (en) 2003-12-15 2005-06-16 Finisar Corporation Contingent processor time division multiple access of memory in a multi-processor system to allow supplemental memory consumer access
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US7293164B2 (en) 2004-01-14 2007-11-06 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to generate branch statistics meant to improve branch predictions
US20050204118A1 (en) 2004-02-27 2005-09-15 National Chiao Tung University Method for inter-cluster communication that employs register permutation
US20050216920A1 (en) 2004-03-24 2005-09-29 Vijay Tewari Use of a virtual machine to emulate a hardware device
KR100877138B1 (ko) * 2004-03-29 2009-01-09 고쿠리츠 다이가쿠 호진 교토 다이가쿠 데이터 처리장치, 데이터 처리 프로그램, 및 데이터 처리프로그램을 기록한 기록매체
US7383427B2 (en) 2004-04-22 2008-06-03 Sony Computer Entertainment Inc. Multi-scalar extension for SIMD instruction set processors
US20050251649A1 (en) 2004-04-23 2005-11-10 Sony Computer Entertainment Inc. Methods and apparatus for address map optimization on a multi-scalar extension
US7418582B1 (en) 2004-05-13 2008-08-26 Sun Microsystems, Inc. Versatile register file design for a multi-threaded processor utilizing different modes and register windows
US7478198B2 (en) 2004-05-24 2009-01-13 Intel Corporation Multithreaded clustered microarchitecture with dynamic back-end assignment
US7594234B1 (en) 2004-06-04 2009-09-22 Sun Microsystems, Inc. Adaptive spin-then-block mutual exclusion in multi-threaded processing
US7284092B2 (en) 2004-06-24 2007-10-16 International Business Machines Corporation Digital data processing apparatus having multi-level register file
US20050289530A1 (en) 2004-06-29 2005-12-29 Robison Arch D Scheduling of instructions in program compilation
EP1628235A1 (en) 2004-07-01 2006-02-22 Texas Instruments Incorporated Method and system of ensuring integrity of a secure mode entry sequence
US8044951B1 (en) 2004-07-02 2011-10-25 Nvidia Corporation Integer-based functionality in a graphics shading language
US7339592B2 (en) 2004-07-13 2008-03-04 Nvidia Corporation Simulating multiported memories using lower port count memories
US7398347B1 (en) 2004-07-14 2008-07-08 Altera Corporation Methods and apparatus for dynamic instruction controlled reconfigurable register file
EP1619593A1 (en) 2004-07-22 2006-01-25 Sap Ag Computer-Implemented method and system for performing a product availability check
JP4064380B2 (ja) 2004-07-29 2008-03-19 富士通株式会社 演算処理装置およびその制御方法
US8443171B2 (en) 2004-07-30 2013-05-14 Hewlett-Packard Development Company, L.P. Run-time updating of prediction hint instructions
US7213106B1 (en) 2004-08-09 2007-05-01 Sun Microsystems, Inc. Conservative shadow cache support in a point-to-point connected multiprocessing node
US7318143B2 (en) 2004-10-20 2008-01-08 Arm Limited Reuseable configuration data
US20090150890A1 (en) 2007-12-10 2009-06-11 Yourst Matt T Strand-based computing hardware and dynamically optimizing strandware for a high performance microprocessor system
US7707578B1 (en) 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
US7257695B2 (en) 2004-12-28 2007-08-14 Intel Corporation Register file regions for a processing system
US7996644B2 (en) 2004-12-29 2011-08-09 Intel Corporation Fair sharing of a cache in a multi-core/multi-threaded processor by dynamically partitioning of the cache
US8719819B2 (en) 2005-06-30 2014-05-06 Intel Corporation Mechanism for instruction set based thread execution on a plurality of instruction sequencers
US7050922B1 (en) 2005-01-14 2006-05-23 Agilent Technologies, Inc. Method for optimizing test order, and machine-readable media storing sequences of instructions to perform same
US7681014B2 (en) 2005-02-04 2010-03-16 Mips Technologies, Inc. Multithreading instruction scheduler employing thread group priorities
US7657891B2 (en) 2005-02-04 2010-02-02 Mips Technologies, Inc. Multithreading microprocessor with optimized thread scheduler for increasing pipeline utilization efficiency
US20090031104A1 (en) 2005-02-07 2009-01-29 Martin Vorbach Low Latency Massive Parallel Data Processing Device
US7400548B2 (en) 2005-02-09 2008-07-15 International Business Machines Corporation Method for providing multiple reads/writes using a 2read/2write register file array
US7343476B2 (en) 2005-02-10 2008-03-11 International Business Machines Corporation Intelligent SMT thread hang detect taking into account shared resource contention/blocking
US7152155B2 (en) 2005-02-18 2006-12-19 Qualcomm Incorporated System and method of correcting a branch misprediction
US20060200655A1 (en) 2005-03-04 2006-09-07 Smith Rodney W Forward looking branch target address caching
US20060212853A1 (en) 2005-03-18 2006-09-21 Marvell World Trade Ltd. Real-time control apparatus having a multi-thread processor
US8195922B2 (en) 2005-03-18 2012-06-05 Marvell World Trade, Ltd. System for dynamically allocating processing time to multiple threads
GB2424727B (en) 2005-03-30 2007-08-01 Transitive Ltd Preparing instruction groups for a processor having a multiple issue ports
US8522253B1 (en) 2005-03-31 2013-08-27 Guillermo Rozas Hardware support for virtual machine and operating system context switching in translation lookaside buffers and virtually tagged caches
US7313775B2 (en) 2005-04-06 2007-12-25 Lsi Corporation Integrated circuit with relocatable processor hardmac
US20060230243A1 (en) 2005-04-06 2006-10-12 Robert Cochran Cascaded snapshots
US8230423B2 (en) 2005-04-07 2012-07-24 International Business Machines Corporation Multithreaded processor architecture with operational latency hiding
US20060230409A1 (en) 2005-04-07 2006-10-12 Matteo Frigo Multithreaded processor architecture with implicit granularity adaptation
US20060230253A1 (en) 2005-04-11 2006-10-12 Lucian Codrescu Unified non-partitioned register files for a digital signal processor operating in an interleaved multi-threaded environment
US20060236074A1 (en) 2005-04-14 2006-10-19 Arm Limited Indicating storage locations within caches
US7437543B2 (en) * 2005-04-19 2008-10-14 International Business Machines Corporation Reducing the fetch time of target instructions of a predicted taken branch instruction
US7461237B2 (en) 2005-04-20 2008-12-02 Sun Microsystems, Inc. Method and apparatus for suppressing duplicative prefetches for branch target cache lines
US8713286B2 (en) 2005-04-26 2014-04-29 Qualcomm Incorporated Register files for a digital signal processor operating in an interleaved multi-threaded environment
GB2426084A (en) 2005-05-13 2006-11-15 Agilent Technologies Inc Updating data in a dual port memory
US7861055B2 (en) 2005-06-07 2010-12-28 Broadcom Corporation Method and system for on-chip configurable data ram for fast memory and pseudo associative caches
US8010969B2 (en) 2005-06-13 2011-08-30 Intel Corporation Mechanism for monitoring instruction set based thread execution on a plurality of instruction sequencers
WO2007027671A2 (en) 2005-08-29 2007-03-08 Searete Llc Scheduling mechanism of a hierarchical processor including multiple parallel clusters
EP1927054A1 (en) 2005-09-14 2008-06-04 Koninklijke Philips Electronics N.V. Method and system for bus arbitration
US7350056B2 (en) 2005-09-27 2008-03-25 International Business Machines Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US7606975B1 (en) 2005-09-28 2009-10-20 Sun Microsystems, Inc. Trace cache for efficient self-modifying code processing
US7231106B2 (en) 2005-09-30 2007-06-12 Lucent Technologies Inc. Apparatus for directing an optical signal from an input fiber to an output fiber within a high index host
US7613131B2 (en) 2005-11-10 2009-11-03 Citrix Systems, Inc. Overlay network infrastructure
US7681019B1 (en) 2005-11-18 2010-03-16 Sun Microsystems, Inc. Executing functions determined via a collection of operations from translated instructions
US7861060B1 (en) 2005-12-15 2010-12-28 Nvidia Corporation Parallel data processing systems and methods using cooperative thread arrays and thread identifier values to determine processing behavior
US7634637B1 (en) 2005-12-16 2009-12-15 Nvidia Corporation Execution of parallel groups of threads with per-instruction serialization
US7770161B2 (en) 2005-12-28 2010-08-03 International Business Machines Corporation Post-register allocation profile directed instruction scheduling
US8423682B2 (en) 2005-12-30 2013-04-16 Intel Corporation Address space emulation
GB2435362B (en) 2006-02-20 2008-11-26 Cramer Systems Ltd Method of configuring devices in a telecommunications network
WO2007097019A1 (ja) 2006-02-27 2007-08-30 Fujitsu Limited キャッシュ制御装置およびキャッシュ制御方法
US7543282B2 (en) * 2006-03-24 2009-06-02 Sun Microsystems, Inc. Method and apparatus for selectively executing different executable code versions which are optimized in different ways
EP2011018B1 (en) 2006-04-12 2016-07-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
US7610571B2 (en) 2006-04-14 2009-10-27 Cadence Design Systems, Inc. Method and system for simulating state retention of an RTL design
US7577820B1 (en) 2006-04-14 2009-08-18 Tilera Corporation Managing data in a parallel processing environment
CN100485636C (zh) 2006-04-24 2009-05-06 华为技术有限公司 一种基于模型驱动进行电信级业务开发的调试方法及装置
US7804076B2 (en) 2006-05-10 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd Insulator for high current ion implanters
US8145882B1 (en) 2006-05-25 2012-03-27 Mips Technologies, Inc. Apparatus and method for processing template based user defined instructions
US20080126771A1 (en) 2006-07-25 2008-05-29 Lei Chen Branch Target Extension for an Instruction Cache
CN100495324C (zh) 2006-07-27 2009-06-03 中国科学院计算技术研究所 复杂指令集体系结构中的深度优先异常处理方法
US7904704B2 (en) 2006-08-14 2011-03-08 Marvell World Trade Ltd. Instruction dispatching method and apparatus
US8046775B2 (en) 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7539842B2 (en) 2006-08-15 2009-05-26 International Business Machines Corporation Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables
US7594060B2 (en) 2006-08-23 2009-09-22 Sun Microsystems, Inc. Data buffer allocation in a non-blocking data services platform using input/output switching fabric
US7752474B2 (en) 2006-09-22 2010-07-06 Apple Inc. L1 cache flush when processor is entering low power mode
US7716460B2 (en) 2006-09-29 2010-05-11 Qualcomm Incorporated Effective use of a BHT in processor having variable length instruction set execution modes
US7774549B2 (en) 2006-10-11 2010-08-10 Mips Technologies, Inc. Horizontally-shared cache victims in multiple core processors
TWI337495B (en) 2006-10-26 2011-02-11 Au Optronics Corp System and method for operation scheduling
US7680988B1 (en) 2006-10-30 2010-03-16 Nvidia Corporation Single interconnect providing read and write access to a memory shared by concurrent threads
US7617384B1 (en) * 2006-11-06 2009-11-10 Nvidia Corporation Structured programming control flow using a disable mask in a SIMD architecture
EP2122461A4 (en) 2006-11-14 2010-03-24 Soft Machines Inc DEVICE AND METHOD FOR PROCESSING COMMUNICATIONS IN A MULTITHREAD ARCHITECTURE WITH CONTEXT CHANGES
US7493475B2 (en) 2006-11-15 2009-02-17 Stmicroelectronics, Inc. Instruction vector-mode processing in multi-lane processor by multiplex switch replicating instruction in one lane to select others along with updated operand address
US7934179B2 (en) 2006-11-20 2011-04-26 Et International, Inc. Systems and methods for logic verification
US20080235500A1 (en) 2006-11-21 2008-09-25 Davis Gordon T Structure for instruction cache trace formation
JP2008130056A (ja) 2006-11-27 2008-06-05 Renesas Technology Corp 半導体回路
WO2008077088A2 (en) 2006-12-19 2008-06-26 The Board Of Governors For Higher Education, State Of Rhode Island And Providence Plantations System and method for branch misprediction prediction using complementary branch predictors
US7783869B2 (en) 2006-12-19 2010-08-24 Arm Limited Accessing branch predictions ahead of instruction fetching
EP1940028B1 (en) 2006-12-29 2012-02-29 STMicroelectronics Srl Asynchronous interconnection system for 3D inter-chip communication
US8321849B2 (en) 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
TW200833002A (en) 2007-01-31 2008-08-01 Univ Nat Yunlin Sci & Tech Distributed switching circuit having fairness
US20080189501A1 (en) 2007-02-05 2008-08-07 Irish John D Methods and Apparatus for Issuing Commands on a Bus
US7685410B2 (en) 2007-02-13 2010-03-23 Global Foundries Inc. Redirect recovery cache that receives branch misprediction redirects and caches instructions to be dispatched in response to the redirects
US7647483B2 (en) 2007-02-20 2010-01-12 Sony Computer Entertainment Inc. Multi-threaded parallel processor methods and apparatus
JP4980751B2 (ja) 2007-03-02 2012-07-18 富士通セミコンダクター株式会社 データ処理装置、およびメモリのリードアクティブ制御方法。
US8452907B2 (en) 2007-03-27 2013-05-28 Arm Limited Data processing apparatus and method for arbitrating access to a shared resource
US20080250227A1 (en) 2007-04-04 2008-10-09 Linderman Michael D General Purpose Multiprocessor Programming Apparatus And Method
US7716183B2 (en) 2007-04-11 2010-05-11 Dot Hill Systems Corporation Snapshot preserved data cloning
US7941791B2 (en) 2007-04-13 2011-05-10 Perry Wang Programming environment for heterogeneous processor resource integration
US7769955B2 (en) 2007-04-27 2010-08-03 Arm Limited Multiple thread instruction fetch from different cache levels
US7711935B2 (en) * 2007-04-30 2010-05-04 Netlogic Microsystems, Inc. Universal branch identifier for invalidation of speculative instructions
US8555039B2 (en) 2007-05-03 2013-10-08 Qualcomm Incorporated System and method for using a local condition code register for accelerating conditional instruction execution in a pipeline processor
US8219996B1 (en) 2007-05-09 2012-07-10 Hewlett-Packard Development Company, L.P. Computer processor with fairness monitor
US9495290B2 (en) 2007-06-25 2016-11-15 Sonics, Inc. Various methods and apparatus to support outstanding requests to multiple targets while maintaining transaction ordering
CN101344840B (zh) 2007-07-10 2011-08-31 苏州简约纳电子有限公司 一种微处理器及在微处理器中执行指令的方法
US7937568B2 (en) 2007-07-11 2011-05-03 International Business Machines Corporation Adaptive execution cycle control method for enhanced instruction throughput
US20090025004A1 (en) 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
US8108545B2 (en) 2007-08-27 2012-01-31 International Business Machines Corporation Packet coalescing in virtual channels of a data processing system in a multi-tiered full-graph interconnect architecture
US7711929B2 (en) 2007-08-30 2010-05-04 International Business Machines Corporation Method and system for tracking instruction dependency in an out-of-order processor
US8725991B2 (en) 2007-09-12 2014-05-13 Qualcomm Incorporated Register file system and method for pipelined processing
US8082420B2 (en) 2007-10-24 2011-12-20 International Business Machines Corporation Method and apparatus for executing instructions
US7856530B1 (en) 2007-10-31 2010-12-21 Network Appliance, Inc. System and method for implementing a dynamic cache for a data storage system
US7877559B2 (en) 2007-11-26 2011-01-25 Globalfoundries Inc. Mechanism to accelerate removal of store operations from a queue
US8245232B2 (en) 2007-11-27 2012-08-14 Microsoft Corporation Software-configurable and stall-time fair memory access scheduling mechanism for shared memory systems
US7809925B2 (en) 2007-12-07 2010-10-05 International Business Machines Corporation Processing unit incorporating vectorizable execution unit
US8145844B2 (en) 2007-12-13 2012-03-27 Arm Limited Memory controller with write data cache and read data cache
US7870371B2 (en) 2007-12-17 2011-01-11 Microsoft Corporation Target-frequency based indirect jump prediction for high-performance processors
US7831813B2 (en) 2007-12-17 2010-11-09 Globalfoundries Inc. Uses of known good code for implementing processor architectural modifications
US20090165007A1 (en) 2007-12-19 2009-06-25 Microsoft Corporation Task-level thread scheduling and resource allocation
US8782384B2 (en) 2007-12-20 2014-07-15 Advanced Micro Devices, Inc. Branch history with polymorphic indirect branch information
US7917699B2 (en) 2007-12-21 2011-03-29 Mips Technologies, Inc. Apparatus and method for controlling the exclusivity mode of a level-two cache
US9244855B2 (en) 2007-12-31 2016-01-26 Intel Corporation Method, system, and apparatus for page sizing extension
US8645965B2 (en) 2007-12-31 2014-02-04 Intel Corporation Supporting metered clients with manycore through time-limited partitioning
US7877582B2 (en) 2008-01-31 2011-01-25 International Business Machines Corporation Multi-addressable register file
WO2009101563A1 (en) 2008-02-11 2009-08-20 Nxp B.V. Multiprocessing implementing a plurality of virtual processors
US7987343B2 (en) 2008-03-19 2011-07-26 International Business Machines Corporation Processor and method for synchronous load multiple fetching sequence and pipeline stage result tracking to facilitate early address generation interlock bypass
US7949972B2 (en) * 2008-03-19 2011-05-24 International Business Machines Corporation Method, system and computer program product for exploiting orthogonal control vectors in timing driven synthesis
US9513905B2 (en) 2008-03-28 2016-12-06 Intel Corporation Vector instructions to enable efficient synchronization and parallel reduction operations
US8120608B2 (en) 2008-04-04 2012-02-21 Via Technologies, Inc. Constant buffering for a computational core of a programmable graphics processing unit
TWI364703B (en) 2008-05-26 2012-05-21 Faraday Tech Corp Processor and early execution method of data load thereof
US8131982B2 (en) * 2008-06-13 2012-03-06 International Business Machines Corporation Branch prediction instructions having mask values involving unloading and loading branch history data
US8145880B1 (en) 2008-07-07 2012-03-27 Ovics Matrix processor data switch routing systems and methods
EP2297647A4 (en) 2008-07-10 2012-12-12 Rocketick Technologies Ltd EFFICIENT PARALLEL CALCULATION OF DEPENDENCE PROBLEMS
JP2010039536A (ja) 2008-07-31 2010-02-18 Panasonic Corp プログラム変換装置、プログラム変換方法およびプログラム変換プログラム
US8316435B1 (en) 2008-08-14 2012-11-20 Juniper Networks, Inc. Routing device having integrated MPLS-aware firewall with virtual security system support
US8135942B2 (en) 2008-08-28 2012-03-13 International Business Machines Corpration System and method for double-issue instructions using a dependency matrix and a side issue queue
US7769984B2 (en) 2008-09-11 2010-08-03 International Business Machines Corporation Dual-issuance of microprocessor instructions using dual dependency matrices
US8225048B2 (en) 2008-10-01 2012-07-17 Hewlett-Packard Development Company, L.P. Systems and methods for resource access
US9244732B2 (en) 2009-08-28 2016-01-26 Vmware, Inc. Compensating threads for microarchitectural resource contentions by prioritizing scheduling and execution
US7941616B2 (en) 2008-10-21 2011-05-10 Microsoft Corporation System to reduce interference in concurrent programs
GB2464703A (en) 2008-10-22 2010-04-28 Advanced Risc Mach Ltd An array of interconnected processors executing a cycle-based program
US8423749B2 (en) 2008-10-22 2013-04-16 International Business Machines Corporation Sequential processing in network on chip nodes by threads generating message containing payload and pointer for nanokernel to access algorithm to be executed on payload in another node
EP2351325B1 (en) 2008-10-30 2018-09-26 Nokia Technologies Oy Method and apparatus for interleaving a data block
US8032678B2 (en) 2008-11-05 2011-10-04 Mediatek Inc. Shared resource arbitration
US7848129B1 (en) 2008-11-20 2010-12-07 Netlogic Microsystems, Inc. Dynamically partitioned CAM array
US8868838B1 (en) 2008-11-21 2014-10-21 Nvidia Corporation Multi-class data cache policies
US8171223B2 (en) 2008-12-03 2012-05-01 Intel Corporation Method and system to increase concurrency and control replication in a multi-core cache hierarchy
US8200949B1 (en) 2008-12-09 2012-06-12 Nvidia Corporation Policy based allocation of register file cache to threads in multi-threaded processor
US8312268B2 (en) 2008-12-12 2012-11-13 International Business Machines Corporation Virtual machine
US8099586B2 (en) 2008-12-30 2012-01-17 Oracle America, Inc. Branch misprediction recovery mechanism for microprocessors
US20100169578A1 (en) 2008-12-31 2010-07-01 Texas Instruments Incorporated Cache tag memory
US20100205603A1 (en) 2009-02-09 2010-08-12 Unisys Corporation Scheduling and dispatching tasks in an emulated operating system
JP5417879B2 (ja) 2009-02-17 2014-02-19 富士通セミコンダクター株式会社 キャッシュ装置
US8505013B2 (en) 2010-03-12 2013-08-06 Lsi Corporation Reducing data read latency in a network communications processor architecture
US8805788B2 (en) 2009-05-04 2014-08-12 Moka5, Inc. Transactional virtual disk with differential snapshots
US8332854B2 (en) 2009-05-19 2012-12-11 Microsoft Corporation Virtualized thread scheduling for hardware thread optimization based on hardware resource parameter summaries of instruction blocks in execution groups
US8533437B2 (en) 2009-06-01 2013-09-10 Via Technologies, Inc. Guaranteed prefetch instruction
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US9122487B2 (en) 2009-06-23 2015-09-01 Oracle America, Inc. System and method for balancing instruction loads between multiple execution units using assignment history
US8386754B2 (en) 2009-06-24 2013-02-26 Arm Limited Renaming wide register source operand with plural short register source operands for select instructions to detect dependency fast with existing mechanism
CN101582025B (zh) 2009-06-25 2011-05-25 浙江大学 片上多处理器体系架构下全局寄存器重命名表的实现方法
US8397049B2 (en) 2009-07-13 2013-03-12 Apple Inc. TLB prefetching
US8539486B2 (en) 2009-07-17 2013-09-17 International Business Machines Corporation Transactional block conflict resolution based on the determination of executing threads in parallel or in serial mode
JP5423217B2 (ja) 2009-08-04 2014-02-19 富士通株式会社 演算処理装置、情報処理装置、および演算処理装置の制御方法
US8127078B2 (en) 2009-10-02 2012-02-28 International Business Machines Corporation High performance unaligned cache access
US20110082983A1 (en) 2009-10-06 2011-04-07 Alcatel-Lucent Canada, Inc. Cpu instruction and data cache corruption prevention system
US8695002B2 (en) 2009-10-20 2014-04-08 Lantiq Deutschland Gmbh Multi-threaded processors and multi-processor systems comprising shared resources
US8364933B2 (en) 2009-12-18 2013-01-29 International Business Machines Corporation Software assisted translation lookaside buffer search mechanism
JP2011150397A (ja) 2010-01-19 2011-08-04 Panasonic Corp バス調停装置
KR101699910B1 (ko) 2010-03-04 2017-01-26 삼성전자주식회사 재구성 가능 프로세서 및 그 제어 방법
US20120005462A1 (en) 2010-07-01 2012-01-05 International Business Machines Corporation Hardware Assist for Optimizing Code During Processing
US8312258B2 (en) 2010-07-22 2012-11-13 Intel Corporation Providing platform independent memory logic
US8751745B2 (en) 2010-08-11 2014-06-10 Advanced Micro Devices, Inc. Method for concurrent flush of L1 and L2 caches
CN101916180B (zh) 2010-08-11 2013-05-29 中国科学院计算技术研究所 Risc处理器中执行寄存器类型指令的方法和其系统
US9201801B2 (en) 2010-09-15 2015-12-01 International Business Machines Corporation Computing device with asynchronous auxiliary execution unit
US8756329B2 (en) 2010-09-15 2014-06-17 Oracle International Corporation System and method for parallel multiplexing between servers in a cluster
CN103250131B (zh) * 2010-09-17 2015-12-16 索夫特机械公司 包括用于早期远分支预测的影子缓存的单周期多分支预测
US20120079212A1 (en) 2010-09-23 2012-03-29 International Business Machines Corporation Architecture for sharing caches among multiple processes
CN103282874B (zh) 2010-10-12 2017-03-29 索夫特机械公司 用于增强分支预测效率的指令序列缓冲器
CN103262027B (zh) 2010-10-12 2016-07-20 索夫特机械公司 用于存储具有可可靠预测的指令序列的分支的指令序列缓冲器
US8370553B2 (en) 2010-10-18 2013-02-05 International Business Machines Corporation Formal verification of random priority-based arbiters using property strengthening and underapproximations
US9047178B2 (en) 2010-12-13 2015-06-02 SanDisk Technologies, Inc. Auto-commit memory synchronization
US8677355B2 (en) 2010-12-17 2014-03-18 Microsoft Corporation Virtual machine branching and parallel execution
WO2012103245A2 (en) 2011-01-27 2012-08-02 Soft Machines Inc. Guest instruction block with near branching and far branching sequence construction to native instruction block
US9766893B2 (en) 2011-03-25 2017-09-19 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
US9274793B2 (en) 2011-03-25 2016-03-01 Soft Machines, Inc. Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines
CN103562866B (zh) 2011-03-25 2018-03-30 英特尔公司 用于通过使用由可分割引擎实例化的虚拟核来支持代码块执行的寄存器文件段
US20120254592A1 (en) 2011-04-01 2012-10-04 Jesus Corbal San Adrian Systems, apparatuses, and methods for expanding a memory source into a destination register and compressing a source register into a destination memory location
US9740494B2 (en) 2011-04-29 2017-08-22 Arizona Board Of Regents For And On Behalf Of Arizona State University Low complexity out-of-order issue logic using static circuits
US8843690B2 (en) 2011-07-11 2014-09-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Memory conflicts learning capability
US8930432B2 (en) 2011-08-04 2015-01-06 International Business Machines Corporation Floating point execution unit with fixed point functionality
US20130046934A1 (en) 2011-08-15 2013-02-21 Robert Nychka System caching using heterogenous memories
US8839025B2 (en) 2011-09-30 2014-09-16 Oracle International Corporation Systems and methods for retiring and unretiring cache lines
WO2013077872A1 (en) 2011-11-22 2013-05-30 Soft Machines, Inc. A microprocessor accelerated code optimizer and dependency reordering method
US10191746B2 (en) 2011-11-22 2019-01-29 Intel Corporation Accelerated code optimizer for a multiengine microprocessor
EP2783281B1 (en) 2011-11-22 2020-05-13 Intel Corporation A microprocessor accelerated code optimizer
US8930674B2 (en) 2012-03-07 2015-01-06 Soft Machines, Inc. Systems and methods for accessing a unified translation lookaside buffer
KR20130119285A (ko) 2012-04-23 2013-10-31 한국전자통신연구원 클러스터 컴퓨팅 환경에서의 자원 할당 장치 및 그 방법
US9684601B2 (en) 2012-05-10 2017-06-20 Arm Limited Data processing apparatus having cache and translation lookaside buffer
US9996348B2 (en) 2012-06-14 2018-06-12 Apple Inc. Zero cycle load
US9940247B2 (en) 2012-06-26 2018-04-10 Advanced Micro Devices, Inc. Concurrent access to cache dirty bits
US9710399B2 (en) 2012-07-30 2017-07-18 Intel Corporation Systems and methods for flushing a cache with modified data
US9229873B2 (en) 2012-07-30 2016-01-05 Soft Machines, Inc. Systems and methods for supporting a plurality of load and store accesses of a cache
US9916253B2 (en) 2012-07-30 2018-03-13 Intel Corporation Method and apparatus for supporting a plurality of load accesses of a cache in a single cycle to maintain throughput
US9740612B2 (en) 2012-07-30 2017-08-22 Intel Corporation Systems and methods for maintaining the coherency of a store coalescing cache and a load cache
US9430410B2 (en) 2012-07-30 2016-08-30 Soft Machines, Inc. Systems and methods for supporting a plurality of load accesses of a cache in a single cycle
US9678882B2 (en) 2012-10-11 2017-06-13 Intel Corporation Systems and methods for non-blocking implementation of cache flush instructions
US10037228B2 (en) 2012-10-25 2018-07-31 Nvidia Corporation Efficient memory virtualization in multi-threaded processing units
US9195506B2 (en) 2012-12-21 2015-11-24 International Business Machines Corporation Processor provisioning by a middleware processing system for a plurality of logical processor partitions
WO2014151043A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
CN105190541A (zh) 2013-03-15 2015-12-23 索夫特机械公司 利用具有寄存器视图、源视图、指令视图以及多个注册模板的微处理器体系架构执行指令块的方法
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
EP2972845B1 (en) 2013-03-15 2021-07-07 Intel Corporation A method for executing multithreaded instructions grouped onto blocks
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6253316B1 (en) * 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6260138B1 (en) * 1998-07-17 2001-07-10 Sun Microsystems, Inc. Method and apparatus for branch instruction processing in a processor
US7673124B2 (en) * 2006-08-25 2010-03-02 Samsung Electronics Co., Ltd. Filtered branch-prediction predicate generation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180092802A1 (en) * 2016-09-30 2018-04-05 Zoll Medical Corporation Wearable Sensor Devices and Systems for Patient Care

Also Published As

Publication number Publication date
WO2012037491A3 (en) 2012-05-24
WO2012037491A2 (en) 2012-03-22
CN103250131B (zh) 2015-12-16
TWI529618B (zh) 2016-04-11
US20170262287A1 (en) 2017-09-14
EP2616928B1 (en) 2016-11-02
CN103250131A (zh) 2013-08-14
EP2616928A4 (en) 2014-02-26
EP3156896B1 (en) 2020-04-08
EP2616928A2 (en) 2013-07-24
US10228949B2 (en) 2019-03-12
EP3156896A1 (en) 2017-04-19
KR101685247B1 (ko) 2016-12-09
TW201227504A (en) 2012-07-01

Similar Documents

Publication Publication Date Title
KR101685247B1 (ko) 조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측
US10083041B2 (en) Instruction sequence buffer to enhance branch prediction efficiency
US10248570B2 (en) Methods, systems and apparatus for predicting the way of a set associative cache
US20170322811A1 (en) Instruction sequence buffer to store branches having reliably predictable instruction sequences
JP6591978B2 (ja) 命令セット変換システム及び方法
US6647489B1 (en) Compare branch instruction pairing within a single integer pipeline
CN107111550B (zh) 用于隐藏程序提取的页遗漏转换时延的方法和装置
EP2864868B1 (en) Methods and apparatus to extend software branch target hints
US20190065205A1 (en) Variable length instruction processor system and method
US20170115988A1 (en) Branch look-ahead system apparatus and method for branch look-ahead microprocessors
US10922082B2 (en) Branch predictor
US20050027921A1 (en) Information processing apparatus capable of prefetching instructions

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191127

Year of fee payment: 4