KR20130028990A - 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들 - Google Patents

레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들 Download PDF

Info

Publication number
KR20130028990A
KR20130028990A KR1020137005609A KR20137005609A KR20130028990A KR 20130028990 A KR20130028990 A KR 20130028990A KR 1020137005609 A KR1020137005609 A KR 1020137005609A KR 20137005609 A KR20137005609 A KR 20137005609A KR 20130028990 A KR20130028990 A KR 20130028990A
Authority
KR
South Korea
Prior art keywords
defects
dies
images
reticle
defect
Prior art date
Application number
KR1020137005609A
Other languages
English (en)
Other versions
KR101345030B1 (ko
Inventor
케농 우
데이비드 랜달
커로시 나피시
라몬 인준자
잉그리드 비 피터슨
아리엘 트리블
마이클 코왈스키
리셍 가오
아쇼크 쿨카니
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20130028990A publication Critical patent/KR20130028990A/ko
Application granted granted Critical
Publication of KR101345030B1 publication Critical patent/KR101345030B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Abstract

다양한 컴퓨터 구현 방법들이 제공된다. 레티클의 설계 패턴에서의 결함들을 분류하기 위한 일 방법은, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들과 함께, 개개 결함들과 연관된 우선 순위 정보를 사용해 검사 데이터에서 관심 결함들을 검색하는 단계를 포함한다. 우선 순위 정보는 개개 결함들과 연관된 변조 레벨들에 대응한다. 검사 데이터는 리소그래피 변수의 상이한 값들에 대해 발생된 레티클의 이미지들을 비교하는 것에 의해 발생된다. 이미지들은 하나 이상의 기준 이미지 및 하나 이상의 변조 이미지를 포함한다. 합성 기준 이미지가 2 이상의 기준 이미지들로부터 발생될 수 있다. 이 방법은 관심 결함들에 하나 이상의 식별자들을 할당하는 단계도 포함한다. 식별자(들)는, 예를 들어, 결함 분류 및/또는 관심 결함들이 추가적인 프로세싱에 사용되어야 하는지를 식별하는 지시자를 포함할 수도 있다.

Description

레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들 {COMPUTER-IMPLEMENTED METHODS FOR DETECTING AND/OR SORTING DEFECTS IN A DESIGN PATTERN OF A RETICLE}
본 발명은 일반적으로, 레티클(reticle)의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들에 관한 것이다. 소정 실시예들은, 2 이상의 기준 이미지들로부터 합성 기준 이미지를 발생시키는 단계 및 합성 기준 이미지를 결함 검출을 위한 다른 샘플 이미지들과의 비교에 사용하는 단계를 포함하는 컴퓨터 구현 방법에 관한 것이다. 다른 실시예들은, 우선 순위들, 결함 속성들, 결함 모양(defect appearance), 및 배경 정보를 사용해 결함들을 분류하는 단계를 포함한다. 추가 실시예들은, 배경 모양 그리고 웨이퍼 설계 데이터 및 프로세스 변조 지식과 결합된 다른 특징들에 기초해, 사용자가 중요한 고유 결함들을 찾아내는 것을 지원하는 것에 관한 것이다.
다음 설명 및 일례들이, 이 섹션에 그것들이 포함되었다는 것에 의해 종래 기술인 것으로 인정되는 것은 아니다.
반도체 디바이스들의 리소그래피 제조에서 k1(라인 폭 = k1(λ/NA))의 빠른 감소로 인해, RET(Resolution Enhancement Techniques)의 사용은 불가피하였다. 이러한 RET는, OPC(Optical Proximity Corrections), PSM(Phase Shift Masks), 및 보조 바 교정들(assist bar corrections)을 포함하지만, 그것들로 제한되는 것은 아니다. 그것들은 반도체 디바이스 설계들에서 로우-k1(low k1) 리소그래피를 용이하게 하기 위해 구현되지만, 이러한 RET는 레티클들을 좀더 어렵게 하고, 그에 따라, 제조 비용이 증가한다.
반도체 디바이스 설계 및 레티클 제조 품질은, 레티클이 반도체 가공 설비로 진입하여 집적 회로들의 생산이 시작되기 이전에 상이한 절차들에 의해 검증된다. 반도체 디바이스 설계는, 모든 사양(feature)들이 제조시의 리소그래피 이후에 정확하게 인쇄된다는 것을 검증하기 위해 소프트웨어 시뮬레이션에 의해 점검된다. 그러한 점검을 흔히 "DRC(Design Rule Checking)"라고 한다. 이러한 DRC의 출력은, 때때로 레티클 레이아웃상의 "핫 스팟들(hot spots)"이라고도 하는 임계점들(critical points)의 거대할 수 있는 세트를 발생시킬 수 있다. 이 세트는, Review SEM에서와 같이, 점대점 검사(point-to-point inspector)를 지시하는데 사용될 수 있지만, 이것은 임계점들의 수로 인해 상당히 비효율적일 수 있다. 레티클은 레티클 결함들을 위해 마스크 숍에서 검사되고, 사양들이 설계 스펙(specification)내에 위치한다는 것을 보장하기 위해 측정된다. 시뮬레이션 점검들에 의해 주목되지 않은 한계 RET 설계들(marginal RET designs)이 웨이퍼 가공시에 전기적 장애들로 변경되고, 수율에 영향을 미치며, 어쩌면, 웨이퍼 가공이 완결될 때까지 주목되지 않을 수도 있다.
복잡한 마스크 패턴들의 검사에 이용되는 전통적인 방법들은 레티클 검사 도구들에 상당한 비중을 둔다. 이미지 검증을 수행하기 위한 일 기술은 초점 노출 행렬 기술들(focus exposure matrix techniques)을 사용하는 것을 수반한다. 전통적인 초점 노출 행렬의 검사를 수행하는 것은, 노출 필드 모두가 상이하다는 점에서, 복잡하게 만드는 요소(complication)를 도입한다. 인접한 로컬 노출 필드들 사이에서, 다이-대-다이(die-to-die) 비교가 수행된다. 따라서, 공칭 노출 필드(nominal exposure field)로부터 일 노출 필드보다 물리적으로 좀더 멀리 배치된 흐린 초점 위치(defocus position)에서 발생할 수 있는 모든 패턴 변경이 상이한 것으로 검출되지는 않을 것인데, 공칭 노출 필드가 비교에서 더 이상 고려되지 않기 때문이다. 더 나아가, 현재의 레티클 검사 기술들은 설계 데이터베이스에 존재하는 오류를 검출할 수 없다. 종래 기술의 싱글 다이 레티클 검사는, 실제 레티클로부터 유도된 신호가 시뮬레이션된 설계 기준으로부터 공제되는 설계 시뮬레이션 기술의 구현을 수반한다.
따라서, 필요한 것은, 싱글 다이 또는 멀티 다이 레티클에서 패턴 변형들을 찾아내는데 그리고 설계 데이터베이스의 오류들로부터 초래되는 레티클 설계 오류들을 검출하는데 효과적인 검사 기술이다.
상술된 필요들을 다루기 위한 방법들이 개발되어 왔다. 이 방법들을 대개는 "PWQ(Process Window Qualification)" 방법들이라고 하고, 여기에서 전체가 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Peterson 등에 의한 미국특허출원공개 제US2004/0091142호에서 설명된다. Peterson 등에 의해 설명된 방법들과 같은 방법들을 수행하도록 구성된 소프트웨어 패키지들은 미국 캘리포니아주 산호세에 소재한 KLA-Tencor사로부터 구입 가능하다. 일반적으로, 이 방법들은, 레티클을 위한 정규 프로세스 창(normal process window)내에 위치하는 리소그래피 변수들(예를 들어, 초점, 도즈(dose) 등)과 함께 사용되는 경우, 리소그래피 프로세싱에서 장애를 일으킬 레티클의 설계 구성 요소들을 찾아내는데 사용될 수 있다.
PWQ 방법들은 흔히, KLA-Tencor사로부터 구입 가능한 웨이퍼 검사 도구들 중 어느 것과 같은 웨이퍼 검사 도구들을 사용해 수행된다. 일례에서, 웨이퍼에는, 각각이 레티클상의 설계 패턴을 포함하는, 다이들의 컬럼들이 N-M-N 패턴으로 인쇄된다. "N" 다이들은, (흔히, "공칭 리소그래피 파라미터", "공칭 리소그래피 프로세스 파라미터", 또는 "공칭 프로세스 조건"이라고도 할 수 있는) "공칭" 리소그래피 변수로써 인쇄되는 다이들이다. "M" 다이들은 공칭 리소그래피 변수와는 상이한 리소그래피 변수 값으로써 인쇄된다. 다시 말해, M 다이들은 변조된 리소그래피 변수(modulated lithographic variable)로써 인쇄된다. 공칭 리소그래피 파라미터는 레티클에 의한 웨이퍼의 노출을 위한 "최상 조건(best condition)"을 표현하는 것으로 공지된 리소그래피 파라미터의 값일 수 있다. 다른 방법으로, 공칭 리소그래피 파라미터에는 리소그래피 파라미터의 상이한 초기 값(baseline value)이 할당될 수 있다. 리소그래피 변수는 웨이퍼에 인쇄된 다이들의 로우들에서의 공칭 리소그래피 변수와 관련하여 양으로 그리고 음으로 변조될 수 있다.
레티클에 의한 웨이퍼의 노출 이후에, 웨이퍼는, 변조 다이를 변조 다이의 어느 한쪽의 2개 공칭 다이들과 비교하는 것에 의해 검사된다. 인접한 다이들은, 인접한 다이들 양자가 이미지화된 후에 비교된다. 따라서, 비교는, 다이들이 이미지화되는 순서로 순차적으로 수행된다. 인접한 다이들 사이의 차이들(differences)은 잠재적 결함들로 저장될 수 있다.
양으로 변조된 다이들과 음으로 변조된 다이들은 분석을 위해 별도로 핸들링될 수 있다. 또한, 변조 다이들에서 검출되는 결함들은 결함들의 우선 순위 또는 중요도(relevance)를 판정하기 위해 분석될 수 있다. 더 나아가, 사용자는 결함들을 재검토하여, 검출되지 않았던 결정적이거나 중요한 결함들을 찾아낼 수 있다.
상술된 PWQ 방법들이 앞서 개요된 수요들을 충족시키는데 성공적이라고 입증되었지만, 이 방법들도 개선의 여지가 있다. 예를 들어, 검사 프로세스에서, 변조 다이들은 정확하게 2개의 공칭 또는 기준 다이들과 비교된다. 기준 다이들 중 어느 하나 또는 양자의 기준 다이들에서 무작위로 발생하는 결함들(randomly occurring defects)이 변조 다이들의 결함들에 대한 우선 순위를 감소시키는 결과를 초래한다면, 무작위로 발생하는 결함들이 결과들에 부정적인 영향을 미칠 수 있다. 또한, 3 다이 비교(즉, 변조 다이 각각을 위해 2개의 기준 다이들)를 사용하는 것은 기준 다이들의 인쇄를 위해 웨이퍼 면적의 대부분을 사용하는 결과를 초래한다.
오늘날 사용되는 PWQ 소프트웨어에서는, 설계 패턴의 잠재적인 장애점들(potential failure points)이, 반복되는 결함들을 찾아내는 것에 의해 식별된다. 불행스럽게도, 바로 그 특징에 의해, 실험은, 특히 변조가 큰 다이들에서, 지나치게 많은 중요하지 않은 반복 결함들을 발생시킬 수 있다. ADC(Automatic Defect Classification)가 후보 결함들의 수를 감소시키는 일 방법이다. 그러나, PWQ에 이용 가능한 iADC(inline ADC) 방법은 결함 자체에 관한 추가 정보를 사용하지만, 이 정보의 대부분이 가장 확률이 높은 장애점들을 찾아내는 것과는 관계가 없다. 여기에서 전체가 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Huet 등에 의한 미국특허출원 제10/954,968호에서 설명되는 바와 같이, iADC 방법의 좀더 새로운 버전은 배경 사양들(background features)에 초점을 맞출 수 있는 능력을 제공한다. 그러나, 이 방법들에서는, 사용자가, 결함들을 분류하는데 사용되는 이용 가능한 사양들의 완전한 세트로부터 배경 사양들을 선택하고, 그것에 의해, 검사의 설정에서 추가 단계를 발생시킨다. 추가적으로, 결함들을 재검토하기 위한 현재의 방법들에서는, 잠재적으로 흥미로운 결함들의 다수 일례들을 획득하기 어렵다.
또한, PWQ 방법들은 변조된 이미지들과의 비교를 위한, 저장된, "골든 다이(golden die)" 이미지를 사용해 변경될 수 있다. "골든 다이" 이미지는 일반적으로, 어느 정도 결함들이 없는 것으로 공지된 레티클상의 설계 패턴 정보의 이미지로서 정의될 수 있다. 따라서, 골든 다이 이미지를 사용하는 것에 의해, 웨이퍼에 인쇄되는 공칭 기준 다이들의 수가 감소될 수 있거나, 심지어 공칭 기준 다이들이 제거될 수 있고, 그것에 의해, 좀더 많은 변조 다이들이 웨이퍼에 인쇄될 수 있다. 그러나, 그러한 골든 다이 이미지를 사용하는 것에 대한 단점들도 존재한다. 예를 들어, 상세한 골든 다이 이미지는 수백 GB(기가바이트)의 저장 공간을 요구할 수 있다. 한편, 골든 다이 이미지의 세부 사항이 감소될 수도 있지만, 골든 다이 이미지의 세부 사항에 대해 타협하는 것은 검사 방법의 효과성과 타협하는 것이다. 더 나아가, 골든 다이 이미지가, 특히, 골든 다이 이미지가 시뮬레이션에 의해 발생되거나 골든 다이 이미지가 변조 다이들이 인쇄되는 웨이퍼와 상이한 웨이퍼로부터 획득된다면, 테스트 다이와 동일한 프로세싱 조건들에 따라 형성될 가능성은 거의 희박하다. 골든 다이와 변조 다이들의 형성시의 차이점들이, 변조 다이들의 검사 동안, 잘못된 결함 검출을 초래할 수도 있다. 더 나아가, 저장 매체들로부터 골든 이미지를 판독하는 것이, 이미지 컴퓨터 또는 다른 컴퓨터 시스템으로부터 골든 이미지를 재획득하는 것보다 속도가 떨어질 수도 있다.
따라서, 비교적 적은 수의 공칭 기준 다이들을 사용하면서 정확한 결함 검출을 허용하거나, 공칭 기준 다이들에서의 결함들이 결함 검출의 정확도에 미치는 부정적인 영향들을 감소시키는 것에 의해 결함 검출의 정확도를 증가시키거나, 중요하지 않은 반복 결함들이 관심 결함들을 불명료하게 하지 않도록 중요하지 않은 반복 결함들의 빠른 식별 및 제거를 허용하거나, 관심 결함들의 다수 일례들이 비교적 용이하게 발견될 수 있게 하거나, 사실상 자동화된 방식으로 결함들의 분류를 허용하거나, 레티클상의 설계 패턴에 대한 저장된 골든 다이 이미지를 사용하지 않으면서 상기 향상들 중 하나 이상을 실현하는, 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들을 개발하는 것이 바람직스러울 수 있다.
일 실시예는 레티클의 설계 패턴에서의 결함들을 분류하기 위한 컴퓨터 구현 방법에 관한 것이다. 이 방법은, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들 및 결함들의 하나 이상의 특징들과 함께, 개개 결함들과 연관된 우선 순위 정보 및 결함 속성들을 사용해 검사 데이터에서 관심 결함들을 검색하는 단계를 포함한다. 검사 데이터는 리소그래피 변수의 상이한 값들을 위해 발생된 레티클의 이미지들을 비교하는 것에 의해 발생된다. 이미지들은 하나 이상의 기준 이미지 및 하나 이상의 변조 이미지를 포함한다. 이 방법은 관심 결함들(defects of interest)에 하나 이상의 식별자들을 할당하는 단계도 포함한다.
일 실시예에서, 우선 순위 정보는 검사되는 결함들과 그들의 대응하는 변조 레벨들 사이의 관계로부터 유도된다. 다른 실시예에서, 결함 속성들은 위치, 사이즈, 밀도 크기, 및 극성(polarity)과 같은 간단한 결함 정보 뿐만 아니라 검사 파라미터들을 포함한다. 결함들은 결함 우선 순위들 및 속성들에 의해 필터링된다. 필터링 기준들은 사용자에 의해 선택될 수 있다. 일부 실시예들에서, 결함들에 인접한 영역들에 대한 그리고 그 결함들에 대한 하나 이상의 특징들은, 각각, 기준 및 결함 이미지들로부터 계산된다.
다른 실시예에서, 이 방법은, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들 및 그 결함들의 하나 이상의 특징들 또는 이들의 조합에 기초해, 관심 결함들을 분류하는 단계를 포함할 수 있다. 분류하는 단계에서 사용되는 특징들은 사용자에 의해 선택된다. 상이한 실시예에서, 이 방법은, 결함 모양, 속성들, 및 결함들에 인접한 영역에 대한 하나 이상의 특징들에 기초해, 소정 결함들과 유사한 결함들을 검색하는 단계를 포함할 수 있다. 검색 기준들은 사용자에 의해 선택될 수 있다.
일 실시예에서는, 하나 이상의 식별자들이 결함 분류(defect classification)를 포함할 수 있다. 다른 실시예에서, 하나 이상의 식별자들은, 관심 결함들이 추가적인 프로세싱에 사용되어야 하는지를 식별하는 지시자를 포함할 수 있다. 그러한 일 실시예에서, 하나 이상의 식별자들을 할당하는 단계는, 우선 순위 정보 및 결함 분류에 기초해, 자동적으로 수행된다.
추가적인 실시예에서, 이 방법은, 관심 결함들이 DRC 임계점들과 상관되는지를 판정하기 위해, 레티클의 설계 패턴 데이터에 대해 수행되는 DRC에 의해 발생된 결과들과 잠재적인 관심 결함들을 비교하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 이 방법은 검사 데이터로부터, 임계점들과 상관되지 않는 결함들을 제거하는 단계도 포함할 수 있다. 유사한 방식으로, 이 방법은 레티클의 설계 패턴 데이터에 대해 수행되는 ORC(optical rule checking)에 의해 발생된 결과들과 잠재적인 관심 결함들을 비교하는 단계를 포함할 수 있다. 일반적으로, DRC 결과들의 사용을 필요로 하는, 여기에서 설명되는, 단계들은, 다른 방법으로, ORC 결과들을 사용해 수행될 수도 있다. 상술된 방법의 실시예들 각각은 여기에서 설명되는 다른 임의 단계(들)를 포함할 수 있다.
발명의 다른 실시예는 레티클의 설계 패턴에서 결함들을 검출하기 위한 컴퓨터 구현 방법에 관한 것이다. 이 방법은 리소그래피 변수의 상이한 값들에 대해 레티클의 이미지들을 획득하는 단계를 포함한다. 이미지들은 공칭 값들에서 획득되는 2 이상의 기준 이미지들 및 하나 이상의 변조 이미지들을 포함한다. 이 방법은 2 이상의 기준 이미지들로부터 합성 기준 이미지(composite reference image)를 발생시키는 단계도 포함한다. 또한, 이 방법은 이미지들 중 2 이상을 비교하는 단계도 포함한다. 이미지들 중 2 이상은 합성 기준 이미지를 포함한다. 일 실시예에서는, 사용자가, 웨이퍼 레이아웃 또는 웨이퍼에 인쇄된 다이들에 대해 알고 있는 상태에서, 어떤 이미지들이 기준(예를 들어, 합성 또는 비합성)을 위해 그리고 비교를 위해 사용될 것인지를 시스템에 통지한다. 이런 식으로, 사용자는 비교를 위해 사용되는 이미지들을 선택할 수 있다. 이 방법은, 비교의 결과들을 사용해, 레티클의 설계 패턴에 결함이 존재하는지를 판정하는 단계를 더 포함한다.
일부 실시예들에서는, 영역에 대한 하나 이상의 특징들이 사용자에 의해 선택될 수 있다. 다른 실시예에서는, GDS 또는 시뮬레이션된 에어리얼(aerial) 이미지들로부터의 시뮬레이션된 이미지들이, 레티클에서의 결함 위치에 기초해, 배경의 특징(들)을 판정하는데 사용된다. 영역에 대한 특징(들)은 그러한 이미지들로부터, 업계에 공지되어 있는 임의 기술을 사용해, 추출될 수 있다. 또한, 실험적으로 발생된 에어리얼 이미지들이 유사한 방식으로 사용될 수 있다. 상이한 실시예에서는, 레티클의 고해상도 이미지들이, 레티클에서의 결함 위치에 기초해, 결함에 인접한 배경 영역에 대한 특징(들)을 판정하는데 사용될 수 있다. 레티클의 고해상도 이미지는 업계에 공지되어 있는 임의의 적합한 고해상도 이미지화 시스템을 사용해 획득될 수 있다. 예를 들어, 상품화되어 있는 몇가지 레티클 검사 시스템들은 레티클의 고해상도 이미지들을 형성하도록 구성되어 있다.
그 이외에 또는 다른 방법으로, 임계점들은 모집단을 감소시키기 위해 여기에서 설명되는 "Defects Like Me" 함수(function)를 사용해 재그룹(regroup)되거나 필터링될 수 있다. 이런 식으로, 유사한 임계점들을 검사하는 단계, 측정하는 단계, 및/또는 재검토하는 단계가 식별될 수 있거나 제거될 수 있다.
또한, DRC에 의해 식별된 임계점들은, 여기에서 설명되는 바에 따라 발생된 검사 데이터와 오버레이(overlay)될 수 있다. 검사 데이터는, 하나 이상의 변조 다이들 및 하나 이상의 기준 다이들이 인쇄되는 웨이퍼를 이미지화하는 것에 의해 발생되는 데이터일 수 있다. 다른 방법으로, 검사 데이터는 시뮬레이션 또는 실험에 의해 발생된 레티클 설계 패턴의 에어리얼 이미지들을 포함할 수 있다. 이런 식으로, 여기에서 설명되는 바에 따라 발견된 관심 결함들은, 관심 결함들이 DRC 결함들과 상관되는지를 판정하기 위해, DRC에 의해 발생된 검사 데이터와 비교될 수 있다. 그 다음, 관심 결함들과 상관되지 않는 DRC 결함들은 DRC 검사 데이터로부터 제거될 수 있다. 유사한 방식으로, 관심 결함들은, 관심 결함들이 ORC 결함들과 상관되는지를 판정하기 위해, ORC에 의해 발생된 데이터와 비교될 수 있다.
추가적 실시예에서, 이미지들은 레티클을 사용해 웨이퍼에 인쇄된 다이들의 전체 스와스(entire swath)에 대한 이미지들을 포함할 수 있다. 이 실시예에서, 비교에 사용되는 2 이상의 이미지들은 전체 스와스의 다이들 모두에 대한 이미지들을 포함할 수 있다. 그러한 다른 실시예에서, 전체 스와스의 변조 다이들은, 기준 다이들이 전체 스와스에 인쇄되는 리소그래피 변수의 값과는 상이한, 리소그래피 변수의 동일한 값을 사용해 인쇄된다. 그러한 또 다른 실시예에서, 전체 스와스의 변조 다이들은 리소그래피 변수의 상이한 값들을 사용해 인쇄된다. 이 실시예에서, 전체 스와스의 기준 다이들은 리소그래피 변수의 추가적인 상이한 값(additional different value)을 사용해 인쇄된다.
일부 실시예들에서, 이미지들을 획득하는 단계는 레티클을 사용해 웨이퍼에 인쇄된 설계 패턴의 이미지들을 획득하는 단계를 포함한다. 다른 실시예들에서, 이미지들은 에어리얼 이미지들을 포함할 수 있다. 상술된 방법의 실시예들 각각은 여기에서 설명되는 다른 임의 단계(들)를 포함할 수 있다.
다른 실시예는 레티클의 설계 패턴에서의 결함들을 검출 및 분류하기 위한 상이한 컴퓨터 구현 방법에 관한 것이다. 이 방법은 리소그래피 변수의 상이한 값들에 대해 레티클의 이미지들을 획득하는 단계를 포함한다. 이 방법은 이미지들 중 2 이상을 비교하는 단계도 포함한다. 또한, 이 방법은, 비교의 결과들을 사용해, 설계 패턴에서의 개개 픽셀들이 상이한지를 판정하는 단계를 포함한다. 이 방법은, 2 이상의 이미지들에서의 픽셀 차이들이 리소그래피 변수의 상이한 값들에 걸쳐 통상적 또는 변칙적 경향(typical or atypical trend)를 따르는지를 판정하는 단계도 포함한다.
픽셀 차이들이 존재하는 것으로 판정되면, 이 방법은, 리소그래피 변수의 상이한 값들의 펑크션(function)으로서의 결함의 이미지들에 대한 하나 이상의 특징들의 플롯에서의 경향에 대한 비교에 기초해, 위치를 그룹에 할당하는 단계를 포함할 수 있다. 예를 들어, 변칙적 경향은 잠재적으로 관련된 결함 위치로서 식별될 수 있다. 이 방법에서 사용되는 이미지들은, 일부 실시예들에서, 리소그래피 변수의 상이한 값들에서 인쇄된 변조 다이들의 이미지들 및 리소그래피 변수의 추가적인 상이한 값을 사용해 인쇄된 기준 다이들의 이미지들을 포함한다.
추가적인 실시예는 레티클의 설계 패턴에서의 결함들을 검출하기 위한 다른 컴퓨터 구현에 관한 것이다. 이 방법은 레티클을 사용해 웨이퍼에 인쇄된 다이들의 전체 스와스에 대한 이미지들을 획득하는 단계를 포함한다. 다이들 중 2 이상은 리소그래피 변수의 상이한 값들에서 인쇄된다. 이 방법은, 전체 스와스에 대한 이미지들의 획득에 후속하여, 이미지들 중 2 이상을 비교하는 단계도 포함한다. 또한, 이 방법은 비교의 결과들을 사용해 설계 패턴에 결함이 존재하는지를 판정하는 단계를 포함한다.
일 실시예에서, 다이들은 변조 다이들 및 하나 이상의 기준 다이를 포함한다. 다른 실시예에서, 다이들은 검사 레시피에서 정의되는 2 이상의 기준 다이들을 포함할 수 있다. 이 실시예에서, 이 방법은 2 이상의 기준 다이들의 이미지들로부터 합성 기준 이미지를 발생시키는 단계도 포함할 수 있다. 그러한 실시예에서, 비교에 사용되는 2 이상의 이미지들 중 하나는 합성 기준 이미지를 포함한다. 추가적인 실시예에서, 다이들은 변조 다이들 및 하나 이상의 기준 다이를 포함할 수 있다. 상술된 방법의 실시예들 각각은 여기에서 설명되는 다른 임의 단계(들)를 포함할 수 있다.
추가적인 실시예들은, 여기에서 설명되는 컴퓨터 구현 방법들 중 어느 것을 수행하기 위한, 컴퓨터 시스템에서 실행 가능한, 프로그램 명령어들을 포함하는 전송 매체에 관한 것이다. 추가적인 실시예들은 여기에서 설명되는 컴퓨터 구현 방법들 중 어느 것을 수행하도록 구성된 시스템에 관한 것이다. 이 시스템은 여기에서 설명되는 컴퓨터 구현 방법들 중 하나 이상을 수행하기 위한 프로그램 명령어들을 실행하도록 구성된 프로세서를 포함할 수 있다. 일 실시예에서, 이 시스템은 독립형(stand-alone) 시스템일 수 있다. 다른 실시예에서, 이 시스템은 웨이퍼 이미지화 시스템 또는 에어리얼 이미지화 측정 시스템과 같은 검사 시스템의 일부분일 수 있거나 그러한 검사 시스템에 커플링될 수 있다. 상이한 실시예에서, 이 시스템은 결함 재검토 시스템의 일부분일 수 있거나 그러한 시스템에 커플링될 수 있다. 또 다른 실시예에서, 이 시스템은 팹 데이터베이스(fab database)에 커플링될 수 있다. 이 시스템은 와이어, 케이블, 무선 전송 경로, 및/또는 네트워크와 같은 전송 매체에 의해 검사 시스템, 재검토 시스템, 및/또는 팹 데이터베이스에 커플링될 수 있다. 전송 매체는 "유선" 및 "무선" 부분들을 포함할 수 있다.
본 발명의 추가적인 이점들은, 바람직한 실시예들에 대한 다음의 상세한 설명의 도움을 받아 첨부 도면들을 참조할 때, 당업자들에게 명백해질 수 있다.
도 1 내지 도 4a는 리소그래피 변수의 상이한 값들을 위해 레티클에 의해 웨이퍼에 인쇄된 다이들의 상이한 구성들에 대한 평면도들을 예시하는 개략도들이다.
도 5는 리소그래피 변수의 상이한 값들의 펑크션으로서의 결함들의 이미지들에 대한 특징의 플롯들에서의 상이한 경향들의 일례들을 예시하는 그래프이다.
도 6 및 도 7은 여기에서 설명되는 방법들에 의해 검출된 결함들을 분류하는데 사용될 수 있는 상이한 사용자 인터페이스들의 일례들을 예시하는 스크린샷들(screenshots)이다.
도 8은 여기에서 설명되는 컴퓨터 구현 방법들 중 하나 이상을 수행하는데 사용될 수 있는 시스템의 일 실시예에 대한 측면도를 예시하는 개략도이다.
*도 9는 레티클의 설계 패턴에 대한 에어리얼 이미지들을 획득하는데 사용될 수 있는 장치의 측면도를 예시하는 개략도이다.
본 발명의 다양한 변경들 및 다른 형태들이 가능하지만, 그것에 관한 특정 실시예들이 일례로써 도면들에 도시되며 여기에서 상세하게 설명될 수 있다. 도면들은 스케일대로 그려지지 않을 수도 있다. 그러나, 도면들 및 그것에 대한 상세한 설명은 개시된 특정 형태로 발명을 제한하기 위한 것이라기보다는 오히려, 첨부된 청구항들에 의해 정의되는 본 발명의 정신 및 범위내에 해당되는 모든 변경들, 등가물들, 및 대안들을 커버하기 위한 것이라는 것이 이해될 수 있어야 한다.
여기에서 사용되는 바와 같이, "결함"이라는 용어는, 과도한 코너 라운딩(excessive corner rounding), 만족스럽지 못한 치수들, 누락 사양들, 사양들 사이의 가교(bridging) 등과 같이, 레티클을 사용해 웨이퍼에 인쇄되는 설계 패턴에서 결함을 초래할 수 있는, 레티클의 설계 패턴에서의 결함을 의미한다. 특히, 여기에서 설명되는 방법들은 설계 패턴의 RET(Resolution Enhancing Technology) 사양들에서의 결함들을 검출하기에 적합하다.
"레티클" 및 "마스크"라는 용어들은 여기에서 교환 가능하게 사용된다. 레티클은 일반적으로, 위에 불투명 재료층이 형성되어 있는 유리, 붕규산염 유리, 및 용융 석영과 같은 투명 기판을 포함한다. 불투명 영역들은 투명 기판쪽으로 에칭된 영역들로써 대체될 수 있다.
레티클들의 상이한 다수 유형들이 업계에 공지되어 있고, 레티클이라는 용어는, 여기에서 사용되는 바와 같이, 레티클들의 모든 유형들을 아우르는 것이다. 예를 들어, 레티클이라는 용어는 클리어 필드(clear-field) 레티클, 다크 필드(dark-field) 레티클, 2진 레티클, PSM(Phase-Shift Mask), 교대 PSM, 감쇠형(attenuated) 또는 하프톤(halftone) PSM, 및 3진 감쇠형(ternary attenuated) PSM을 포함하는 레티클들의 상이한 유형들을 언급하지만, 그것으로 제한되는 것은 아니다. 클리어 필드 레티클은 투명한 필드 또는 배경 영역들을 갖고, 다크 필드 레티클은 불투명한 필드 또는 배경 영역들을 가진다. 2진 레티클은, 투명하거나 불투명한 패터닝 영역들을 가진 레티클이다. 2진 레티클들은 PSM들과는 상이한데, PSM은 그것의 일 유형이 광을 부분적으로만 투과하는 필름들을 포함할 수 있으며, 이 레티클들을 대개는 하프톤 또는 매입형 위상 편이 레티클들이라고 할 수 있다. 위상 편이 재료가 레티클의 교대 클리어 공간들에 배치되면, 이 레티클을 교대 PSM, ALT PSM, 또는 Levenson PSM이라고 한다. 임의 레이아웃 패턴들에 도포되는 위상 편이 재료의 일 유형을 감쇠형 또는 하프톤 PSM이라고 하는데, 이는, 불투명 재료를 부분 투과성 또는 "하프톤" 필름으로 교체하는 것에 의해 가공될 수 있다. 3진 감쇠형 PSM은, 완전히 불투명한 사양들도 포함하는 감쇠형 PSM이다.
레티클은, 여기에서 설명되는 바와 같이, 바람에 날리는 미립자들(airborne particulates) 및 오염물의 다른 형태들로부터 레티클 표면을 봉쇄하는 광학적으로 투명한 멤브레인인 펠리클(pellicle)을 포함할 수도 그렇지 않을 수도 있다. 레티클이라는 용어는, OPC(Optical Proximity Correction) 사양들을 포함하는 레티클을 언급하는데도 사용될 수 있다. OPC 사양들은, OPE(Optical Proximity Effects)를 감소시키는 것에 의해, 레티클을 사용해 인쇄된 이미지의 왜곡들을 감소시키도록 설계된다. "OPE(Optical Proximity Effects)"라는 용어는 일반적으로, 레티클상의 다른 사양들의 근접성(proximity)으로 인한, 인쇄된 사양들의 측면 치수들 또는 형태들에서의 변경들을 의미한다. 그러한 효과들은, OPE로 인한 왜곡들을 판정하고 레티클상의 사양들을 그러한 왜곡들을 보상하도록 변경하는 것에 의해 감소될 수 있다.
노출 소스로서 사용되는 광의 파장보다 작은 디바이스 웨이퍼들에 사양들을 인쇄하기 위해, OPC와 같은 RET가 집적 회로(IC) 설계들에 점점 더 많이 적용되고 있다. 이러한 RET들은 대부분, 설계에 SRAF(Sub-Resolution Assist Features) 및 세리프들(serifs)을 포함하는 추가 사양들의 부가를 필요로 하고, 그 결과는, 포토마스크 또는 레티클상의 설계 레이아웃이 지나치게 복잡해지는 것이다. RET 사양들이 레티클에 정확하게 인쇄될 것이라는 것과 SRAF들이 웨이퍼에 인쇄되지는 않겠지만 주요 사양들이 웨이퍼에 정확하게 인쇄되게 할 것이라는 것을 검증하는 것은 점차 어려운 작업이 되어가고 있다. 더 나아가, MEEF(Mask Error Enhancement Factor)와 같은 광학 효과들이 웨이퍼 레벨에서의 최종 이미지에 대한 추가적인 왜곡을 초래할 수 있다. MEEF는 일반적으로, 레티클에 형성된 구조의 임계 치수에 대한 레지스트에 인쇄된 사양의 임계 치수의 비로서 정의될 수 있다.
여기에서 사용되는 바와 같이, "웨이퍼"라는 용어는 일반적으로, 반도체 또는 비-반도체 재료로 형성된 기판들을 의미한다. 그러한 반도체 또는 비-반도체 재료의 일례들로는 다결정 실리콘, 비화 갈륨, 및 인화 인듐을 들 수 있으나, 이들에 제한되지 않는다. 그러한 기판들은 반도체 가공 설비들에서 흔히 찾아 볼 수 있거나 그리고/또는 프로세싱될 수 있다.
웨이퍼는 기판상에 형성된 하나 이상의 계층들을 포함할 수 있다. 예를 들어, 그러한 계층들은 레지스트, 유전체 재료, 및 도전성 재료를 포함할 수 있지만, 그것으로 제한되는 것은 아니다. "레지스트"는, 광 리소그래피 기술, e-빔 리소그래피 기술, 또는 X-레이 리소그래피 기술에 의해 패터닝될 수 있는 임의 재료를 포함할 수 있다. 유전체 재료들의 일례들로는 실리콘 다이옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 및 티타늄 나이트라이드를 들 수 있지만, 그것으로 제한되는 것은 아니다. 유전체 재료의 추가 일례들로는, 미국 캘리포니아주 산타 클라라에 소재한 Applied Materials, Inc.사로부터 구입 가능한 Black DiamondTM 및 미국 캘리포니아주 산호세에 소재한 Novellus Systems사로부터 구입 가능한 CORALTM과 같은 "로우-k" 유전체 재료들, "xerogels"와 같은 "울트라-로우-k(ultra-low-k)" 유전체 재료들, 및 탄탈5산화물과 같은 "하이-k(high-k)" 유전체 재료들을 들 수 있다. 또한, 도전성 재료의 일례들로는 알루미늄, 폴리실리콘, 및 구리를 들 수 있지만, 그것으로 제한되는 것은 아니다.
웨이퍼에 형성된 하나 이상의 계층들은 패터닝될 수 있다. 예를 들어, 웨이퍼는, 각각이 반복 가능한 패턴 사양들을 가진 복수개 다이들을 포함할 수 있다. 그러한 재료층들의 형성 및 프로세싱에 의해 궁극적으로 반도체 디바이스들이 완성될 수 있다. 그것만으로, 웨이퍼는 완전한 반도체 디바이스의 모든 계층들이 형성된 것은 아닌 기판 또는 완전한 반도체 디바이스의 모든 계층들이 형성된 기판을 포함할 수 있다. "반도체 디바이스"라는 용어는 여기에서 "IC"라는 용어와 교환 가능하게 사용된다. 또한, MEMS(microelectromechanical) 디바이스들 등과 같은 다른 디바이스들도 웨이퍼에 형성될 수 있다.
이제 도면들을 참조하면, 도면들이 스케일대로 그려진 것은 아니라는 것에 주의해야 한다. 특히, 도면들의 구성 요소들 중 일부의 스케일은 구성 요소들의 특징들을 강조하기 위해 크게 과장되었다. 도면들이 동일한 스케일대로 그려지지 않았다는 것에도 주의해야 한다. 유사하게 구성될 수 있는, 하나 이상의 도면에 도시된 구성 요소들은 동일한 참조 번호들을 사용해 지시되었다.
도 1은 리소그래피 변수의 상이한 값들을 위한 레티클로써 웨이퍼(10)에 인쇄된 다이들의 구성 일례를 예시한다. 이 일례에서, 기준 또는 공칭 다이들(N)은 평가되고 있는 리소그래피 변수를 위한 기준 값에서 웨이퍼에 인쇄된다. "기준 다이" 및 "공칭 다이"라는 용어들은 여기에서 교환 가능하게 사용된다. 기준 값은 리소그래피 변수를 위한 최선의 공지 값(예를 들어, 최선 도즈, 최선 초점 등)일 수 있다. 다른 방법으로, 기준 값은 임의의 소정 초기 값일 수 있다.
평가되고 있는 리소그래피 변수는 레티클에 의해 웨이퍼에 인쇄되는 설계 패턴을 변경할 수 있는 임의의 리소그래피 파라미터를 포함할 수 있다. 그러한 리소그래피 변수들의 일례들로는 도즈, 초점, 부분적 가간섭성(partial coherence), 및 수치 구경(numerical aperture;NA)을 들 수 있지만, 그것으로 제한되는 것은 아니다. 초점이 통상적으로, 리소그래피 프로세스를 위한 시간에 걸쳐 가장 빈번하게 변화할 리소그래피 파라미터이므로, 초점의 상이한 값들이 설계 패턴에 대해 미치게 될 영향을 평가하는 것이 특히 바람직스러울 수 있다.
역시 도 1에 도시되어 있는 바와 같이, 변조 다이들(M1, M2, 및 M3)이 웨이퍼에 인쇄된다. 변조 다이들은, 공칭 다이들이 인쇄되는 기준 값과는 상이한 리소그래피 변수 값에서 웨이퍼에 인쇄된다. 이 도면에서는, M1, M2 및 M3 다이들이 상이한 로우들에 위치하지만, 발명을 위해 반드시 그래야 하는 것은 아니다. 변조 다이들이 인쇄되는 상이한 값들은, 예를 들어, 리소그래피 변수가 변경될 수 있는 정도(예를 들어, 리소그래피 도구를 통해 이루어질 수 있는, 리소그래피 변수의 최소 증분 변화), 리소그래피 변수를 위한 통상적인 프로세스 창, 및/또는 웨이퍼에 인쇄될 수 있는 변조 다이들의 수(예를 들어, 이 일례에서는, 웨이퍼에 인쇄될 수 있는 다이들의 로우들의 수)에 따라 달라질 수 있다. 특정 일례에서는, 리소그래피 프로세스를 위한 리소그래피 변수의 통상적인 프로세스 창을 가로질러 설계 패턴이 인쇄될 방법을 평가하는 것이 바람직스러울 수 있다. 따라서, 평가될 리소그래피 변수 값들의 범위는, 리소그래피 변수의 상이한 값들에서의 적합한 증분들을 판정하기 위해, 웨이퍼에 인쇄될 수 있는 변조 다이들의 수로 나누어질 수 있다. 그러나, 리소그래피 변수를 위한 적합한 값들은 다른 임의 방식으로 판정될 수도 있다.
도 1에는 웨이퍼에 인쇄된 다이들의 3개 로우들이 도시되지만, 웨이퍼에 인쇄되는 다이들의 로우들의 수는, 예를 들어, 다이들의 치수들 및 웨이퍼의 치수들에 따라 달라질 것이라는 것이 이해될 수 있어야 한다. 또한, 도 1에는 다이들의 로우 각각을 구성하기 위한 다이들의 (각각의 세트가 다이들의 N-M-N 시퀀스를 포함하는) 2개 세트들이 도시되지만, 로우 각각에서의 다이들의 세트들의 수 또한 다이들의 치수들 및 웨이퍼의 치수들에 따라 달라질 수 있다는 것이 이해될 수 있어야 한다.
설계 패턴을 검사하기 위해, 로우의 다이들은 스와스로 이미지화된다. 다이들은, 예를 들어, 여기에서 설명된 웨이퍼 검사 시스템 또는, 미국 캘리포니아주 산호세에 소재한 KLA-Tencor사로부터 구입 가능한 웨이퍼 검사 시스템들과 같은, 업계의 적합한 다른 임의 도구를 사용해 이미지화될 수 있다. 로우의 다이들은 도 1에 도시된 스와스 방향으로 또는 반대 방향으로 이미지화될 수 있다.
웨이퍼에 인쇄된 인접한 2개 다이들을 이미지화한 후, 2개 다이들의 이미지들은 도 1에 화살표들로써 도시된 바와 같이 비교될 것이다. 특히, 스와스의 제1 공칭 다이는 이미지화되어 보존된다. 인접한 M1 다이를 이미지화한 후, 공칭 다이(N)와 인접한 변조 다이(M1)의 이미지들이 비교되고, 2개 다이들 사이의 모든 차이들은 보존 또는 주석, 기록, 저장되는 식이다. 그 다음, 다이들에서의 결함들의 존재가, 비교의 결과들을 사용해, 판정될 수 있다. 예를 들어, 2개 다이들 사이에 차이들이 결함들인지를 판정하기 위해, 임계값 유형의 결함 검출 알고리즘이 차이 데이터에 적용되어 차이들이 결함들을 나타내는지를 판정할 수 있다.
M1 다이의 이미지 또한, 스와스의 이 공칭 다이에 대한 이미지화 이후에 다른 인접 공칭 다이(N)와의 비교를 위해 보존될 수 있다. 그 다음, 이들 2개 다이들의 이미지들은 상술된 바와 같이 비교될 수 있고, 상술된 바와 같이, 비교의 결과들에 기초해, 결함들이 검출될 수 있다.
변조된 M1 다이가 2개의 기준 다이들과 비교되므로, 도 1에 도시된 구성은 M1 다이에서의 결함들의 이중 검출을 허용한다. 다시 말해, 제1 기준 다이에서 무작위로 발생된 결함이 나타나면, 제1 기준 다이 및 M1 다이의 이미지들 사이의 차이들은, 결함이 실제로는 제1 기준 다이에 존재하는 경우라 하더라도, M1 다이에서의 결함의 존재를 나타낼 수 있다. 그러나, 무작위로 발생된 결함이 제2 기준 다이의 동일한 위치에서 나타날 확률은 사실상 낮다. 따라서, M1 다이의 이미지가 제2 기준 다이와 비교될 때, 제1 비교에서 발견된 결함이 제2 비교에서는 거의 발견되지 않을 것이다. 그것만으로, 2개의 비교들 중 하나에서만 발견되는 결함들은 잘못된 결함들(false defects)로 레이블링될 수 있고 추가적인 임의 평가로부터 제거될 수 있다.
각각의 변조 다이를 2개의 상이한 공칭 다이들과 비교하는 것에 의해 제공되는 결함들의 "이중 검출"이 검출되는 잘못된 결함들의 수를 효과적으로 감소시키기는 하지만, 그러한 방법들에는 몇가지 단점들이 존재한다. 예를 들어, 웨이퍼상의 공간 중 상당량이 공칭 다이들을 인쇄하는데 사용됨으로써, 웨이퍼에 인쇄될 수 있는 변조 다이들의 수를 감소시키고, 이것은 다시, 평가될 수 있는 리소그래피 변수의 상이한 값들의 수를 감소시킨다. 따라서, 결함 검출 방법의 정확도는 감소시키지 않으면서, 웨이퍼에 인쇄되는 기준 다이들의 수를 감소시키는 것이 바람직스러울 것이다.
상술된 결함 검출 방법에 대한 몇가지 개선들이 후술된다. 각각의 개선이 단독으로 또는 나머지 개선들 중 하나 이상과 함께 사용될 수 있다는 것에 주의하는 것이 중요하다.
일 개선은 동시에 이미지화되고 프로세싱될 수 있는 다이들의 수를 증가시키는 것에 의해 실현될 수 있다. 예를 들어, 상술된 바와 같이, 2개 다이들(공칭 다이 및 변조 다이)이 이미지화되고, 2개 다이들의 이미지들은 이미지들 사이의 차이들을 검출하기 위해 비교되며, 차이들은 결함들을 나타내는 차이들을 식별하기 위해 조사된다. 따라서, 한번에 단 2개 다이들만이 프로세싱된다. 다른 방법으로, 3개 다이들(2개 공칭 다이들 및 1개 변조 다이)가 이미지화될 수 있고, 이 이미지들은 변조 다이에서의 결함들을 검출하기 위해 동시에 또는 "실시간"으로 프로세싱될 수 있다.
그러나, 더 많은 이미지가 동시에 프로세싱될 수 있다면, 바람직스러울 것이다. 예를 들어, 일 실시예에 따르면, 획득되는 이미지들은, 레티클을 사용해 웨이퍼에 인쇄된 다이들의 전체 스와스의 이미지들을 포함할 수 있다. 그 다음, 다이들의 전체 스와스의 이미지들은, 결함들을 플래깅(flagging)하기 전에, 검사 알고리즘에 의해 조사될 수 있다. 다시 말해, 전체 스와스의 임의 다이들 사이의 유용하거나 의미있는 임의 비교들이, 결함 검출을 위해 이미지들 사이의 차이들을 분석하기 전에 이루어질 수 있다. 또한, 스와스의 다이 레이아웃은 선험적으로(a priori) 공지되어 있을 것이다. 이런 식으로, 컴퓨터 구현 방법은, 스와스내에서의 다이 이미지들의 위치에 기초해, 비교에 적합한 다이 이미지들을 선택할 수 있다. 다른 실시예에서는, 사용자가, 웨이퍼에 인쇄된 다이들의 레이아웃에 대해 알고 있는 상태에서, 이미지들 중 어느 것이 비교에 사용되는지를 선택할 수 있다.
한번에 다이들의 전체 스와스를 이미지화하는 것이, 결함 검출을 위해 여기에서 설명되는 바와 같이 핸들링되어야 하는 상당량의 데이터를 발생시키지만, 전부가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,388호, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,397호, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,542호, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,419호, 2004년 10월 18일에 출원된, Blecher 등에 의한 미국특허출원 제10/967,375호, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,838호, 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,500호, 2004년 10월 18일에 출원된, Dubiner 등에 의한 미국특허출원 제10/967,376호, 2004년 10월 18일에 출원된, Miller 등에 의한 미국특허출원 제10/967,420호, 2004년 10월 18일에 출원된, Miller 등에 의한 미국특허출원 제10/967,832호, 및 2004년 10월 18일에 출원된, Bhaskar 등에 의한 미국특허출원 제10/967,418호에서 설명된 것들과 같은 이미지 컴퓨터들이 그러한 데이터의 상당량을 핸들링하는데 사용될 수 있다.
웨이퍼의 다이들에 대한 전체 스와스로부터의 이미지 데이터를 동시에 프로세싱할 수 있는 것은 몇가지 이점들을 제공한다. 예를 들어, 이미지 데이터가, 공칭 값들에서 획득된 2 이상의 기준 다이들 및 하나 이상의 변조 다이들을 포함하는 전체 스와스를 위해 획득될 수 있다. 2 이상의 기준 다이들이 스와스에 포함되면, 여기에서 설명되는 방법들은 2 이상의 기준 이미지들로부터 합성 기준 이미지를 발생시키는 단계를 포함할 수 있다. 예를 들어, 도 2에 도시된 바와 같이, 합성 기준 이미지는 스와스에 포함된 4개의 기준 다이들 모두로부터 발생될 수도 있다. 그러나, 합성 기준 이미지는 스와스의 기준 다이들 모두보다 적은 기준 다이들로부터 발생될 수도 있다. 또한, 도 2에 도시된 바와 같이, 하나의 합성 기준 이미지가 웨이퍼의 일 스와스의 기준 다이들로부터 발생될 수 있고, 다른 합성 기준 이미지들은 웨이퍼의 다른 스와스들을 위해 발생될 수 있다. 이런 식으로, 합성 기준 이미지는, 각각의 스와스가 이미지화된 이후에, 실시간으로 발생될 수 있다. 그러나, 다른 방법으로는, 합성 기준 이미지가 2 이상의 기준 다이들로부터 발생될 수도 있고, 동일한 합성 기준 이미지가 웨이퍼의 동일한 또는 상이한 스와스의 변조 다이들에서의 결함 검출을 위해 사용될 수도 있다.
합성 기준 이미지는 업계에 공지되어 있는 임의 방식(예를 들어, 2 이상의 기준 다이들의 이미지 데이터를 평균하는 방식)으로 발생될 수도 있다. 또한, 합성 기준 이미지를 발생시키기 전에, 개개 기준 다이 이미지들을 정렬하는 것이 바람직스러울 수 있다. 일례에서, 스와스의 기준 다이 이미지 프레임들은 공통 좌표 기준으로 정렬될 수 있고, 프레임들에서의 임의 오정렬은 픽셀 값들의 서브-픽셀 보간에 의해 정정될 수 있다. 변조 다이들도 유사한 방식으로 정렬될 수 있다.
어떤 경우이든, 합성 기준 이미지는, 도 2에 화살표들로써 도시된 바와 같이, 스와스의 변조 다이들과의 비교에 사용될 수 있다. 다시 말해, 비교에 사용되는 2 이상의 이미지들 중 하나는 합성 기준 이미지를 포함할 수 있다. 결함 검출을 위해 합성 기준 이미지를 사용하는 것은, 스와스에 다수 공칭 다이들이 존재하는 것을 활용해, 변조 다이들 각각이 비교되는 기준 이미지의 안정도를 향상시킨다. 또한, 합성 기준 이미지의 사용은, 개개 기준 다이 이미지들에 존재할 수 있는 의사 잡음의 영향들을 감소시키는 것에 의해 검출의 민감도를 향상시킬 수 있다. 다시 말해, 여기에서 설명되는 방법들은, 결함 검출에 사용되는 데이터의 SNR(signal-to-noise ratio)이 증가될 수 있다는 점에서 바람직스럽고, 이것은 다시, 가장 관련될 것 같은 결함들을 분리시킬 수 있는 능력을 초래할 수 있다.
바람직스럽게도, 변조 다이 이미지들과의 비교를 위해 합성 기준 이미지를 사용하는 것은, 스와스에 포함되는 기준 다이들의 수를 감소시킬 수 있다. 예를 들어, 상술된 바와 같이, 이중 결함 검출은, 변조 다이가 아니라 기준 다이의 결함들에 의해 초래되는 잘못된 결함들이 검출 결과들로부터 제거될 수 있게 함으로써 결함 검출 방법들의 정확도를 증가시킨다는 점에서 바람직스럽다. 그러나, 합성 기준 이미지가 2 이상의 기준 이미지들로부터 발생되는 경우, 기준 이미지들 사이의 어떤 차이들도 검출될 수 있고, 이 차이들은, 결함들이 기준 이미지들에 존재하는지를 판정하기 위해 분석될 수 있다. 그 다음, 기준 이미지들 중 하나 이상에 존재하는 것으로 판정되는 모든 결함들은 개개 기준 다이들의 이미지 데이터로부터 제거될 수 있다. 그 다음, "스크러빙된(scrubbed)" 이미지 데이터가 합성 기준 이미지를 발생시키는데 사용될 수도 있다.
여기에서 설명되는 방법들에서는, 다이 이미지들의 전체 스와스가 동시에 발생되고 프로세싱될 수 있다면, 비교에 사용되는 기준 이미지는 여기에서 설명되는 합성 기준 이미지 또는 개개 기준 이미지일 수 있다는 것에 주의하는 것이 중요하다. 2 이상의 비합성 기준 이미지들이 변조 다이들과의 비교에 사용된다 하더라도, 개개 기준 다이 이미지들은, 스와스에서의 기준 및 변조 다이 이미지들의 위치에 상관없이, 변조 다이 이미지들과의 비교에 사용 및 재사용될 수 있으므로, 스와스의 기준 다이들의 수는, N-M-N 구성에서 현재 사용되고 있는 수로부터 감소될 수 있다.
웨이퍼에 좀더 적은 공칭 다이들을 사용하는 것은, 바람직스럽게도, 웨이퍼상의 좀더 많은 공간이 변조 다이들을 위해 사용될 수 있게 한다. 따라서, 데이터를 좀더 효율적으로 그리고 완전하게 사용하는 것에 의해, 여기에서 설명되는 방법들은 변조되는 다이들의 좀더 많은 일례들 및 기준 다이들의 좀더 적은 일례들을 검사할 수 있다. 웨이퍼에 인쇄되는 기준 다이들의 수를 감소시키는데 사용될 수 있는 다른 일 검사 기술은 변조 다이들을, 설계 정보 또는 선행 스캐닝으로부터 구성된 다음, 데이터베이스와 같은, 소정 매체에 저장되는 골든 다이 이미지와 비교하는 것이다. 그러나, 여기에서 설명되는 효율적인 데이터 사용이, 데이터베이스로부터의 골든 다이 이미지들을 사용하는 것보다 좀더 비용 효율적이고 정확하며 빠른 방법일 것이다.
도 1 및 도 2에 도시된 다이들의 구성들과 달리, 검출 방법의 정확도를 감소시키지 않으면서 좀더 적은 공칭 다이들이 사용될 수 있을 때, 변조 다이들의 수는 증가될 수 있다. 그러한 일 구성이 도 3에서 예시된다. 이 구성에서, 스와스의 변조 다이들의 수는 스와스의 기준 다이들의 수와 동일하다. 또한, 하나 거른 다이 위치마다 N-M-N-M 구성의 다이의 상이한 유형을 포함한다. 그러나, 변조 및 공칭 다이들은 스와스에 다른 임의 방식으로 정렬될 수도 있다. 예를 들어, 스와스의 처음의 2개 다이들은 기준 다이들일 수 있고, 스와스의 다른 모든 다이들은 변조 다이들일 수 있다. 어떤 경우이든, 이 방법에 의해 사용되는 기준 다이들의 수를 감소시키는 것은 웨이퍼에 좀더 많은 변조 다이들이 인쇄될 수 있게 함으로써, 레티클의 설계 패턴이, 변경되는 리소그래피 변수의 좀더 많은 값들에서 결함들을 위해 조사될 수 있게 한다.
도 3에 도시된 바와 같이, 스와스의 기준 다이 이미지들은 합성 기준 이미지를 발생시키는데 사용될 수 있다. 합성 기준 이미지는 상술된 바와 같이 발생될 수 있다. 또한, 합성 기준 이미지는, 상술된 바와 같이, 변조 다이들의 획득된 이미지들과의 비교에 사용될 수 있다. 또한, 도 3에 도시된 바와 같이, 전체 스와스의 기준 다이들 각각의 이미지들이 합성 기준 이미지를 발생시키는데 사용될 수 있다. 다른 방법으로, 전체 스와스의 기준 다이들 모두보다 좀더 적은 이미지들이 합성 기준 이미지를 발생시키는데 사용될 수 있다. 더 나아가, 상술된 바와 같이, 합성 기준 이미지는, 이미지화되는 웨이퍼의 스와스 각각을 위해 발생될 수도 있다. 다른 방법으로, 하나의 합성 기준 이미지가 발생되어 웨이퍼의 하나 이상 스와스의 변조 다이들에 대한 이미지들과의 비교에 사용될 수도 있다.
도 3의 구성에 추가적으로 도시된 바와 같이, 전체 스와스의 변조 다이들이 리소그래피 변수의 동일 값을 사용해 인쇄될 수도 있다. 특히, 일 스와스의 변조 다이들 각각은 M1 변조되고, 다른 스와스의 변조 다이들 각각은 M2 변조되는 식이다. 다시 말해, 평가되고 있는 리소그래피 변수가 초점이라면, M1 변조 다이들 각각은 동일한 초점 값에서 인쇄될 수 있고, M2 변조 다이들 각각은, 각각의 M2 다이를 위해 동일한, 상이한 초점 값에서 인쇄될 수 있는 식이다. 변조 다이들과 기준 다이들 사이에서 의미있는 비교들이 이루어질 수 있도록, 스와스 각각의 다이들을 인쇄하는데 사용되는 리소그래피 변수 값 또한, 기준 다이들을 인쇄하는데 사용되는 리소그래피 변수 값과는 상이한 것이 바람직스럽다.
도 3에 도시된 구성을 가진 전체 스와스를 이미지화하여 상술된 결함 검출을 수행하는 것은, 유사하게 변조된 다수 다이들이 동시에 검사될 수 있게 한다. 유사한 방식으로 변조된 하나 이상의 다이를 위해 결함 검출을 수행하는 것이, 설계 패턴 및 설계 패턴에서 검출된 결함들에 관한 좀더 많은 정보를 제공한다. 예를 들어, 결함이, 유사하게 변조된 다이들 모두에서보다 적게 등장하면, 결함들은 무작위로 발생하는 결함들인 것으로 식별될 수 있다. 따라서, 도 3에 도시된 구성을 사용하면, 전체 스와스가 이미지화될 수 있고, 이 이미지들은 변조된 리소그래피 변수의 동일 값을 가진 다이들에서 결함들을 검출하는데 사용될 수 있다.
일 스와스의 변조 다이들이 변조된 리소그래피 변수의 상이한 값들을 갖는 상이한 구성이 도 4에서 예시된다. 다시 말해, 다이들은, 변조가, 도 1 내지 도 3에 도시된 바와 같이 컬럼이 아니라, 로우를 따라 달라지도록 레이아웃된다. 특히, 일 스와스의 변조 다이들이 M1 변조되고, M2 변조되며, M3 변조될 수 있는 식이다. 이런 식으로, 평가되고 있는 리소그래피 변수가 초점이라면, M1 다이가 인쇄되는 초점은 0.1 ㎛일 수 있고, M2 다이가 인쇄되는 초점은 0.2 ㎛일 수 있으며, M3 다이가 인쇄되는 초점은 0.3 ㎛일 수 있는 식이다. 이 초점 값들은 예시적 목적들을 위한 변조된 초점 값들의 일례일 뿐이고 제한하는 일례들 또는 전형적인 일례들로 해석되지 않는다는 것이 이해될 수 있어야 한다. 변조 다이들과 기준 다이들 사이에서 의미있는 비교들이 이루어질 수 있도록, 스와스 각각의 변조 다이들을 인쇄하는데 사용되는 리소그래피 변수 값 또한 기준 다이들을 인쇄하는데 사용되는 리소그래피 변수 값과는 상이한 것이 바람직스럽다.
도 4에 도시된 구성을 가진 전체 스와스를 이미지화하여 상술된 결함 검출을 수행하는 것은, 상이하게 변조된 다이들이 동시에 검사될 수 있게 한다. 따라서, 이 구성은 바람직스럽게도, 일 스와스의 리소그래피 변수 값들의 전체 범위를 가로질러 설계 패턴에서의 결함들을 조사하는데 사용될 수 있다. 그것만으로, 일 스와스가 이미지화될 수 있고 이미지화된 스와스로부터 상당량의 결함 데이터가 비교적 짧은 시간에 발생될 수 있다. 또한, 스와스는 앞서 이용 가능했던 것보다 사실상 좀더 많은 변조 다이들을 포함할 수 있으므로, 레티클을 위한 통상적인 프로세스 창 전체에 미치는 리소그래피 변수 값들에서, 일 스와스의 변조 다이들이 인쇄될 수도 있다. 이런 식으로, 일 스와스가 이미지화될 수 있고, 스와스 이미지는, 특히, 앞서 사용된 프로세스 창 검출 방법들에 비해 상당히 짧은 시간에, 레티클의 프로세스 창을 조사하는데 사용될 수 있다.
도 4에 도시된 구성은 각 픽셀 위치(x, y)에서의 변조의 펑크션으로서 임의 픽셀 특성의 전체 경향을 조사하는데 사용될 수 있다. "경향"은 일반적으로, 픽셀 밀도와 같은, 특정 픽셀 위치에서의 이미지들의 특징이 리소그래피 변수의 상이한 값들의 펑크션으로서 달라지는 방법으로 정의될 수 있다. 그것만으로, 특정 픽셀 위치들에서의 경향들은 도 5에 도시된 것들과 같은 플롯으로 표현될 수 있다. 도 5에 도시된 바와 같이, 변조 펑크션으로서의, 픽셀 위치에서의 특정 특성을 위해 비교적 유사한 다수 경향들이 "통상적 경향들"로서 정의될 수 있다. 이러한 "통상적 경향들"이 비-결함 픽셀 특성들을 나타내는지의 여부는 다른 방법(예를 들어, 결함 재검토)에 의해 미리 확립될 수 있다. "통상적 경향들"은 웨이퍼 또는 에어리얼 이미지 실험들을 통해 실험적으로 또는 시뮬레이션들(예를 들어, 에어리얼 이미지 시뮬레이션)을 통해 경험적으로 확립될 수 있다.
변칙적인 것처럼 보이는 경향들은 잠재적인 관심 결함들 또는 관련될 수 있는 결함 위치로서 플래깅될 수 있다. 다른 실시예에서, 결함들은, 리소그래피 변수의 상이한 값들에서 인쇄되거나 획득된 2 이상의 이미지들을 비교하는 것에 의해 검출될 수 있다. 이미지들은 리소그래피 변수의 상이한 값들에서 인쇄된 변조 다이들의 이미지들 및, 여기에서 설명되는 바와 같이, 리소그래피 변수의 추가적인 상이한 값을 사용해 인쇄된 기준 다이들의 이미지들을 포함할 수 있다. 일부 실시예들에서, 결함이 존재하는 것으로 판정되면, 이 방법은, 리소그래피 변수의 상이한 값들의 펑크션으로서의 결함의 이미지들에 대한 하나 이상의 특징들의 플롯에서의 경향에 기초해, 결함을 그룹에 할당하는 단계를 포함할 수 있다.
상술된 경향-기반 결함 검출 방법은, 변조의 펑크션으로서 발생하는 라인 폭 변동들 및 라인-단 풀백들(line-end pull backs)이 좀더 많은 픽셀들에 영향을 미쳐 소정 경향들을 따르게 하는 한편, 간헐적인 "짧은" 또는 다른 비정상 이벤트들은 좀더 작은 개수들에서 발생하여 상이한 경향을 따르게 할 것이라는 가정에 기초한다. 따라서, 단일 스와스의 다수 변조 다이들로부터의 정보를 활용하여, 상이한 결함 검출 방법들이 여기에서 설명되는 방법들에 사용될 수 있다는 것은 명백하다. 또한, 상술된 경향-기반 결함 검출 방법은 단일 스와스의 상이하게 변조된 다이들 또는 상이한 스와스들의 상이하게 변조된 다이들을 위해서도 수행될 수 있다. 다시 말해, 경향-기반 결함 검출 방법은 웨이퍼상의 다이 구성에 상관없이 사용될 수 있다. 더 나아가, 경향-기반 결함 검출 방법은 바람직스럽게도, 리소그래피 변수가 변조됨에 따라 이 역시 발생하게 될 (라인 폭 변동들, 라인-단 풀백들 등과 같은) 대다수의 중요하지 않은 이미지 차이들을 무시하면서, 관심 결함들(DOI들; Defects Of Interest)을 검출할 수 있다.
경향-기반 결함 검출 방법의 다른 일례에서는, CD SEM(Critical Dimension Scanning Electron Microscope) 또는 재검토 SEM과 같은 비교적 고해상도 도구를 통한 점대점 검사가 변조 다이들 바깥쪽의 공칭 다이로부터의 측정 및/또는 결함 검출을 수행하는데 사용될 수 있다. 다시 말해, PWQ-유형의 결함 검출 결과들에 기초한 점대점 검사가 수행될 수 있다. PWQ-유형 결함들의 이 검사는, 설계 패턴에서의 장애점에 도달할 때까지, 공칭 다이들 및 변조 다이들을 위해 수행될 수 있다. 정규 또는 예상된 변동이나 열화를 나타내는, 공칭 및 변조 다이들에서의, 해당점들은 비-결함이거나 무관한 것으로서 필터링 제거될 수 있다. 이 점들에서의 나머지 임의 결함들은, 프로세스 창 오류들과 관련이 있는 가교 또는 다른 결함 유형들을 찾아내기 위해, (예를 들어, ADC(Automatic Detection Classification)를 사용해) 분류될 수 있다. 예를 들어, CD 측정과 같은 측정을 위해, 이 방법은, CD 측정에서의 "정규" 변동이 존재하는지를 판정하는 단계를 포함할 수 있다. 이 판정은 우세한 사양 방향(predominant feature direction;측정되고 있는 사양 특징에서의 우세한 경향)에 기초한 레시피를 사용해 이루어질 수 있다. 그것만으로, 사양에서의 중요한 변동들이 사양에서의 중요하지 않은 변동들과 구별될 수 있다. 추가적인 일례에서는, 결함 검출을 위해, ADC 유형 검사가 사용되어, 가교 사양들과 같은 설계 패턴에서의 전형적인 장애의 종류들을 검색할 수 있다.
도 4a는, 여기에서 설명되는 바와 같이 사용될 수 있는 다른 다이 구성을 예시한다. 이 구성에서, 노출 도즈(E)는 컬럼 2에서 변조될 수 있다. 컬럼 2의 다이들과 컬럼들(0, 1, 및/또는 3)의 대응하는 다이와의 비교에 의해, PWQ 유형의 결함들이 검출될 수 있다. 노출 도즈는 컬럼 5에서도 변조될 수 있다. 컬럼 5의 다이들과 컬럼들(3 및/또는 4)의 대응하는 기준 다이들과의 비교에 의해, 결함들이 검출될 수 있다. 또한, 노출 및 도즈 변조가 일 웨이퍼에서 조사될 수 있다. 예를 들어, 도 4a에 도시된 바와 같이, 초점(F) 또한 웨이퍼의 컬럼들(7 및 10)에서 변조될 수 있다. 이 변조 다이들과 컬럼들(9, 9, 및/또는 11)의 대응하는 로우들에서의 기준 다이들과의 비교에 의해, 다이들에서의 결함들이 검출될 수 있다. 이런 식으로, 노출 도즈 및 초점의 변조가 웨이퍼에서 별도로 조사될 수 있다. 도 4a에 도시된 다이 구성은 여기에서 설명되는 바와 같이 추가적으로 구성될 수도 있다.
여기에서 설명되는 다이 구성들 각각은 컴퓨터 구현 방법에 의해 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하는데 사용될 수 있다. 특히, 여기에서 설명되는 다이 구성들은 PWQ-유형의 결함 검출 방법들에 사용될 수 있다. 예를 들어, 상술된 바와 같이, 리소그래피 변수의 상이한 값들을 위한 레티클의 이미지들이 획득될 수 있다. 특히, 이미지들을 획득하는 단계는 레티클을 사용해 웨이퍼에 인쇄된 설계 패턴의 이미지들을 획득하는 단계를 포함할 수 있다. 이 이미지들은, 예를 들어, 여기에서 설명되는 시스템을 사용해 획득될 수 있다. 또한, 이미지들 중 2 이상이 비교될 수 있다. 이 방법은, 비교의 결과들을 사용해, 레티클의 설계 패턴에 결함이 존재하는지를 판정하는 단계도 포함한다.
그러나, 앞서 지적된 바와 같이, 여기에서 설명되는 방법들의 바로 그 특징으로 인해, 비교적 대다수의 중요하지 않거나 부적절한 이미지 차이들이 검출될 수 있다. 대다수의 중요하지 않은 차이들은 비교적 대다수의 부적절한 결함들 및 잘못된 결함들의 검출을 초래할 수 있다. 그처럼 많은 개수의 부적절한 그리고/또는 잘못된 결함들의 검출은 몇가지 단점들을 가질 수 있다. 예를 들어, 관심 결함들을 식별하기 위해, 사용자 또는 소프트웨어 프로그램은 부적절한 그리고/또는 잘못된 결함들 모두를 분류해야 할 것이다. 분명히, 검출된 결함들의 그러한 분류는 관심 결함들을 찾아내는 프로세스의 처리율을 감소시킬 것이다.
앞서 추가적으로 지적된 바와 같이, 경향-기반 결함 검출 방법은 의미있는 결함들(또는 관심 결함들)과 부적절한 결함들 사이를 구별하는데 사용될 수 있다. 또한, 결함 검출이 수행된 이후에, 관심 결함들과 부적절한 결함들 사이를 빠르고 정확하게 구별하는 것이 유익할 수 있다. 다시 말해, 결함 분류를 수행해 관심 결함들과 부적절한 결함들 사이를 구별하는 것이 바람직스러울 수 있다. 여기에서 설명되는 바와 같이 발생되는 결함 데이터 유형과의 사용을 위해 현재 사용되는 결함 분류 방법들에서의 한가지 문제점은, 결함 분류 방법들이 결함이 속하는 분류를 식별하기 위해 결함들 자체의 특징들에 초점을 맞추는 경향이 있다는 것이다. 특히, 리소그래피 변수의 변조로 인해, 동일한 결함이 상이하게 변조된 다이들에서 상이하게 나타날 수도 있다. 따라서, 일 결함이, 그것이 검출되는 다이에 따라 상이한 분류들에 할당될 수도 있다.
일 실시예에 따르면, 여기에서 설명되는 PWQ 기반의 결함 검출 방법들을 위한 좀더 정확하고 유용한 결함 분류 방법은, 결함에 인접한 영역에 대한 하나 이상의 특징들(즉, "배경" 정보)을 사용해 결함들을 분류할 수 있다. 예를 들어, 이 방법은 ("마이크로-영역(micro-region)"이라고 부를 수 있는) 배경의 직접 이웃(immediate neighborhood)을 분리하는 단계 그리고, 표준 상관 및, 이미지 프로세싱 업계에 공지되어 있는 적당한 임의 알고리즘들일 수 있는, 템플릿 매칭 알고리즘들을 사용해, 직접 이웃을 다른 것들과 비교하는 단계를 포함할 수 있다. 마이크로-영역은 결함이 중심인 또는 결함을 포함하는 16 x 16 픽셀 이미지로써 정의될 수 있다. 다른 방법으로, 마이크로-영역은 결함이 중심인 또는 결함을 포함하는 32 x 32 픽셀 이미지로써 정의될 수 있다. 일부 실시예들에서는, 결함에 인접한 영역이 약 64 픽셀 x 64 픽셀의 "좀더 큰 이웃" 영역일 수도 있다.
다른 일례에서는, 획득된 이미지 데이터를 결함에 인접한 배경을 정의하는데 사용하는 것이 아니라, (RET 사양들로 장식된 또는 장식되지 않은) 설계 패턴의 GDS 파일에서, 결함 위치가 판정될 수도 있다. 결함에 인접한 GDS 파일에서의 설계 패턴 데이터 부분이 선택될 수 있다. GDS 파일에서의 배경은, 기준 다이 이미지들에 대해 수행되는 바와 같이, 다른 결함 위치들과 비교될 수 있다. 그 다음, 추가 위치들은 원래 검사로부터의 결함 위치와 상관될 수 있다. 추가 위치들도 (예를 들어, SEM에 의해) 재검토를 위해 지정될 수 있다.
또 다른 일례에서는, 결함에 인접한 영역이 에어리얼 투사를 통해 발생될 수 있다. 그러한 일례에서, 에어리얼 이미지 데이터는, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, 2003년 10월 6일에 출원된, Stokowski 등에 의한 공동 소유의 계류중인 미국특허출원 제10/679,857호에서 설명된 바와 같은 에어리얼 센서로부터 취해질 수 있다. 다른 방법으로, 에어리얼 이미지 데이터는, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Ye 등에게 허여된 미국특허 제6,803,554호, Ye 등에게 허여된 미국특허 제6,807,503호, 및 Ye 등에 의한 미국출원공개 제US2003/0226951호에서 설명된 유형의 에어리얼 이미지 센서에 의해 발생될 수도 있다.
결함들 주위의 그리고 결함들 "뒤쪽의" 배경 사양들을 사용하는 것에 의해, 여기에서 설명되는 방법들은, 다른 방법들을 사용하면 부적절한 결함들로 손실될 수도 있는, 리소그래피 사양에서의 중요한 변화들을 찾아낼 수 있다. 여기에서 사용되는 바와 같이, "배경"이라는 용어는 결함 이미지 바로 "뒤쪽에" 위치하는 기준 이미지의 사양들(즉, 변조 다이의 이미지에서의 결함과 동일한 픽셀 위치들에 위치하는, 기준 이미지 또는 설계 패턴 데이터의 사양들) 및 결함 이미지 주위의 영역(즉, 결함에 인접한 변조 다이 이미지의 사양들)을 의미한다. 이런 식으로, 반복되는 결함 검출 알고리즘 및 우선 순위화된 다이 정보의 결과들을 순서화하기 위해, "배경 바이닝(background binning)"의 결과들을 PWQ 유형의 결함 검출 방법들과 조합하는 것(예를 들어, 결함에 인접한 영역에 대한 하나 이상의 특징들에 기초해 결함들을 그룹화하는 것)에 의해, 여기에서 설명되는 방법들은, 사용자에게 기존의 결함 검출 방법들보다 좀더 빠르게 중요한 또는 적절한 결함들을 찾아내는데 사용될 수 있는 정보를 제시할 수 있다.
따라서, 일 실시예에 따르면, 레티클의 설계 패턴에서의 결함들을 분류하기 위한 컴퓨터 구현 방법은, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들과 함께, 개개 결함들과 연관된 우선 순위 정보를 사용해 검사 데이터에서 관심 결함들을 검색하는 단계를 포함한다. 영역에 대한 하나 이상의 특징들(즉, 배경 정보)은 사용자에 의해 선택될 수 있다. 검사 데이터는 리소그래피 변수의 상이한 값들에 대해 발생된 레티클의 이미지들을 비교하는 것에 의해 발생된다. 이미지들은 하나 이상의 기준 이미지 및 하나 이상의 변조 이미지를 포함한다. 이런 식으로, 이 방법은, 배경 정보와 함께, PWQ 유형 검사에 의해 발생된 우선 순위 정보를 사용해 관심 결함들을 위한 비교적 상당량의 결함 정보를 검색하는 단계를 수반한다. 우선 순위 정보는 개개 결함들과 연관된 변조 레벨에 대응한다.
이 방법은 하나 이상의 식별자들을 관심 결함들에 할당하는 단계도 포함한다. 일 실시예에서, 하나 이상의 식별자들은, 관심 결함들이 샘플링되어야 하는지를 식별하는 지시자를 포함할 수 있다. 그러한 일 실시예에서, 식별자(들)를 할당하는 단계는 우선 순위 정보 및 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들에 기초해 자동적으로 수행될 수 있다. 다른 실시예에서, 식별자들은 하나 이상의 결함 분류들을 포함할 수 있다. 일부 실시예들에서, 분류들은 사용자 정의형 이름들을 사용해 결함 유형들을 구별할 수 있다. 결함들에 식별자(들)를 할당하는 단계는 여기에서 부연되는 바와 같이 수행될 수 있다.
일부 실시예들에서, 이 방법은 우선 순위 정보, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들, 또는 그것에 관한 조합에 기초해 관심 결함들을 그룹화하는 단계를 포함할 수 있다. 다른 실시예에서, 이 방법은, 관심 결함들의 하나 이상의 특징들과 함께, 개개 결함들에 인접한 영역에 대한 하나 이상의 특징들에 기초해 관심 결함들을 그룹화하는 단계를 포함할 수 있다. 이 실시예들의 결함들을 그룹화하는 단계는 여기에서 부연되는 바와 같이 수행될 수 있다.
이런 식으로, 여기에서 설명되는 방법들은 대다수 후보 결함들로부터 비교적 소수의 관심 결함들을 찾아내는데 사용될 수 있다. 이 방법으로의 입력들로는 결함 우선 순위들, 결함 속성들, DRC로부터 임계점들로의 상관, 그리고 결함 및 기준 이미지들을 들 수 있다. 후보 결함들은 검색되는 후보 결함들의 수를 감소시키기 위해 결함 우선 순위들 및/또는 속성들에 기초해 필터링될 수 있다. 일부 실시예들에서는, 결함들 및 배경의 사양들이 검색을 위해 획득되고 압축될 수 있다. 이 방법의 출력들로는 클래스 코드들 및 재검토 샘플 플래그들이나 업계에 공지되어 있는 다른 임의 식별자들을 갖춘 관심 결함들을 들 수 있다. 관심없는 결함들은, 검색되는 결함 후보들의 수가 감소되도록, 검사 데이터로부터 배제될 수 있다.
상술된 방법의 실시예들은 여기에서 설명되는 다른 임의 단계들을 포함할 수 있다. 예를 들어, 이 방법은 검색 기준들 및 소정 결함 일례들에 기초해 유사한 결함들을 검색하거나 찾아내는 단계를 포함할 수 있다. 또한, 이 방법은, 사용자에게 상태 및 피드백을 제공하는 펑크션, 그룹들, 클래스들, 및/또는 우선 순위들의 측면에서 결함 모집단을 위한 챠트들을 발생시키는 펑크션, 결함 우선 순위들 및 재검토 샘플들을 위해 태그들을 발생시키는 펑크션, 결함 정보로써 결함 리스트를 발생시키는 펑크션, 및 분류된 또는 배제된 결함들을 위한 폴더들을 발생시키는 펑크션과 같은, 결함 재검토를 준비하기 위한 다수 펑크션들을 수행하는 단계를 포함할 수 있다. 또한, 이 방법은, 여기에서 설명되는 바와 같이 수행될 수 있고 재검토되거나 프로세싱되는 결함 샘플들의 수를 감소시킬 수 있는 이후의 프로세싱을 위해 결함들을 샘플링하는 단계를 포함할 수 있다. 더 나아가, 여기에서 부연되는 바와 같이, 이 방법들은, 예를 들어, 필터링하는 단계, 그룹화하는 단계, 검색하는 단계, 분류하는 단계, 샘플링하는 단계, 자동화된 연산들의 결과들을 수동으로 오버레이하는 단계, 및 언제든 임의 단계(들)를 반복하는 단계을 위한 기준들을 변경하는 것에 의해, 관심 결함들에 따라 사용자에 의해 개별화될 수 있다.
예를 들어, 일 실시예에서, 결함이 레티클의 설계 패턴에 존재하는 것으로 판정되면, 여기에서 설명되는 컴퓨터 구현 방법들은 결함에 인접한 영역에 대한 하나 이상의 특징들에 기초해 결함을 그룹에 할당하는 단계를 포함할 수 있다. 그룹화하는 단계는, 패턴 인식 업계에 공지되어 있는 감독 또는 무감독 분류 기술(supervised or unsupervised classification techniques)에 의해 수행될 수 있다. 결함을 그룹에 할당하는데 사용되는, 영역에 대한 하나 이상의 특징들은 영역의 설계 패턴에 대한 하나 이상의 특징들을 포함할 수 있다. 또한, 결함을 그룹에 할당하는데 사용되는 영역에 대한 하나 이상의 특징들은 비교에 사용되는 하나 이상의 이미지들에서의 영역에 대한 하나 이상의 특징들을 포함할 수 있다. 다시 말해, 영역의 특징(들)은, 변조 다이와 비교된 하나 이상의 기준 다이들에서의 대응하는 영역에 대한 특징(들) 이외에, 결함이 검출된 변조 다이의 영역에 대한 특징(들)을 포함할 수 있다. 결함들을 그룹들로 분류하는데 사용되는 영역의 특징(들) 또한 사용자에 의해 선택될 수 있다. 사용자는, 여기에서 부연되는 바와 같이, 결함들을 그룹화하기 전에 특징(들)을 선택할 수도 있다.
일 실시예에서, 그룹에 결함들을 할당하는 단계는 결함에 인접한 영역의 이미지를 설계 패턴에서 검출된 다른 결함들에 인접한 영역들의 이미지들과 비교하는 단계를 포함할 수 있다. 다른 실시예에서, 결함에 인접한 변조 다이 이미지 부분은 설계 패턴의 GDS 파일 이미지에 배치될 수 있다. 결함에 인접한 변조 다이 이미지 부분에 대응하는 GDS 파일 이미지 부분은 변조 다이 이미지의 유사한 다른 위치들과 비교될 수 있다.
또한, 결함으로 매핑된 GDS 파일 이미지 또는 다른 설계 레이아웃의 부분은 결함에 인접한 영역에 대한 하나 이상의 특징들을 판정하는데 사용될 수도 있다. 이 특징들은 레티클의 설계 패턴에 대한 고해상도 이미지와 같은 임의의 다른 이미지들 또는 데이터를 사용해 판정될 수 있다. 설계 패턴의 고해상도 이미지는 업계에 공지되어 있는 임의의 고해상도 레티클 이미지화 시스템을 사용해 획득될 수 있다. 다른 실시예에서는, 레티클의 설계 패턴에 대한 시뮬레이션된 에어리얼 이미지가 사용되어 결함에 인접한 영역에 대한 하나 이상의 특징들을 판정할 수 있다. 시뮬레이션된 에어리얼 이미지는 업계에 공지되어 있는 임의의 적당한 시뮬레이션 프로그램을 사용해 발생될 수 있다. 상이한 실시예에서, 결함에 인접한 영역에 대한 하나 이상의 특징들은 여기에서 부연되는 AIMS(Aerial Imaging and Measurement System)을 사용해 획득되는 레티클의 에어리얼 이미지로부터 판정될 수 있다.
결함들의 분류에 사용될 수 있는 방법들의 일례들이, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, 2004년 9월 30일에 출원된, Huet 등에 의한 미국특허출원 제10/954,968호에서 예시된다. 결함들을 정렬하고 분류하는데 사용될 수 있는 추가적인 방법들의 일례들은, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, 2004년 10월 12일에 출원된, Teh 등에 의한 미국특허출원 제60/618,475호에서 예시된다.
결함들을 그룹들로 분류한 후, 이 방법은 결함 분류를 하나 이상의 결함들 또는 전체 그룹에 할당하는 단계를 포함할 수 있다. 상이한 그룹들의 결함들에 동일 분류가 할당될 수 있다. 결함들의 상이한 그룹들의 분류는 그룹의 하나 이상의 결함들에 대한 하나 이상의 특징들을 분석하는 단계를 포함할 수 있다. 예를 들어, 이 방법은 그룹의 하나 이상의 결함들에 대한 하나 이상의 특징들을 분석하여 결함들의 그룹이 부적절한 결함 그룹인지를 판정하는 단계를 포함할 수 있다. 이 방법은, 그룹의 하나 이상의 결함들에 대한 하나 이상의 특징들을 분석하여, 이 그룹이 설계 패턴에서의 장애를 나타내는지를 판정하는 단계도 포함할 수 있다. 결함들의 상이한 그룹들을 분류하는 단계는, 추가적으로 또는 다른 방법으로, 결함들 주위의 그리고 결함들 뒤쪽의 배경 사양들에 대한 하나 이상의 특징들을 분석하는 단계를 포함할 수도 있다.
여기에서 설명되는 방법들은 다수의 다른 필터링 및/또는 분류 펑크션들도 포함할 수 있다. 예를 들어, 이 방법은 관심 결함들을 레티클의 설계 패턴 데이터에 대해 수행되는 DRC(Design Rule Checking)에 의해 발생된 검사 데이터와 비교하여, 관심 결함들이 DRC 결함들과 상관되는지를 판정하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 이 방법은 검사 데이터로부터, 관심 결함들과 무관한 DRC 결함들을 제거하는 단계를 포함할 수 있다.
그러한 실시예들에서, 결함의 위치들은 DRC 결과들에 기초해 공지의 취약점들과 상관된다. DRC는 (간혹 "핫 스팟들"이라고 하는) 임계점들의 리스트를 발생시킬 수 있다. 이 점들은 레티클 설계 패턴의 검사 및/또는 측정을 위한 가이드로서 단독으로 직접 사용될 수 있다. 그러나, DRC는 대체로, 검사 및/또는 측정을 위해 지나치게 많은 점들을 발생시킨다. 따라서, DRC에 의해 식별된 임계점들은 임계점들의 모집단을 감소시키기 위해, 여기에서 설명되는 바와 같이, 임계점들에 인접한 영역에 대한 하나 이상의 특징들을 단독으로 사용해 필터링될 수 있다. 추가적으로 또는 다른 방법으로, 임계점들은 모집단을 감소시키기 위해 여기에서 설명된 "Defects Like Me" 펑크션을 사용해 필터링될 수 있다. 이런 식으로, 유사한 임계점들을 검사하는 단계, 측정하는 단계, 및/또는 재검토하는 단계들이 감소될 수 있고, 심지어 제거될 수 있다.
또한, DRC에 의해 식별된 임계점들은 여기에서 설명되는 바와 같이 발생되는 검사 데이터로써 오버레이될 수 있다. 검사 데이터는, 하나 이상의 변조 다이들 및 하나 이상의 기준 다이들이 인쇄되는 웨이퍼를 이미지화하는 것에 의해 발생되는 데이터일 수 있다. 다른 방법으로, 검사 데이터는 시뮬레이션 또는 실험에 의해 발생되는 레티클 설계 패턴의 에어리얼 이미지들을 포함할 수도 있다. 이런 식으로, 여기에서 설명되는 바에 따라 발견된 관심 결함들은 DRC에 의해 발생된 검사 데이터와 비교되어, 관심 결함들이 DRC 결함들과 상관되는지를 판정할 수 있다. 그 다음, DRC 결과들과 상관되지 않는, 검사된, 결함들은 검사 데이터로부터 제거될 수 있다. 앞서 제공된 일례들 각각에서, ORC 결과들이, DRC 결과들을 대신하여, 사용될 수도 있다.
상술된 배경 바이닝 방법들은, 적절한 결함들이 좀더 빨리 발견될 수 있도록, 결함들을 효과적으로 그룹화하는 것으로 밝혀졌다. PWQ 방법들의 경우에서, 배경은 때때로 유일하게 적절한 사양 그룹이고, 따라서, PWQ 실험 동안, 시스템은 설정된 이 사양을 사용해, 유사한 배경들을 갖춘 결함들을 동일 빈들(same bins)로 그룹화할 수 있다. 이러한 배경 사양들은, 여기에서 설명되는 것들과 같은, GUI(graphical user interface)를 통해 사용자들에게 제시될 수 있는 다수의 상이한 서브그룹들(예를 들어, 3개 서브그룹들)로 분리될 수 있다. 상이한 서브그룹들은, 예를 들어, 이미지 밀도의 통계적 측정치들, 이미지 밀도 분산의 통계적 측정치들, 및 기본 이미지 구조들의 측정치들을 포함할 수 있다. 사용자들이, PWQ 바이닝에 사용하기 위한 배경 서브그룹들의 조합을 선택할 수 있다.
도 6은 여기에서 설명되는 방법들에 의해 검출된 결함들을 정렬하는데 사용될 수 있는 사용자 인터페이스의 일례를 예시하는 스크린샷이다. 특히, 사용자는 배경의 어떤 서브그룹들을 결함들의 바이닝에 사용할 것인지를 선택할 수 있을 것이고, 도 6은 서브그룹들을 선택하기 위한 가능한 일 사용자 인터페이스를 예시한다. 도 6에 도시된 바와 같이, 사용자 인터페이스는, 사용자를 위한 다수 옵션들을 포함하는 Defects Flow 박스(12)를 포함한다. 예를 들어, Defects Flow 박스(12)는 Filtering by Priorities 섹션(14)을 포함한다. 이 섹션에서, 사용자는 필터링에 사용할 결함 우선 순위들을 선택할 수 있다. 우선 순위들은 우선 순위 번호들 옆의 박스들을 클릭하는 것에 의해 개별적으로 선택될 수 있다. 다른 방법으로, 사용자는 개개 우선 순위들의 리스팅 아래쪽의 버튼들 중 하나를 클릭하는 것에 의해 모든 우선 순위들을 선택할 수 있거나 어떤 우선 순위도 선택하지 않을 수도 있다.
PWQ 결함들은, 그것들이 처음으로 검출된 변조 레벨(예를 들어, M1, M2, M3 등)에 의해 그리고 변조내에서는 공칭으로부터 양 또는 음의 동일한 변조 방향에서 결함들 모두를 적층하는 반복기를 통해 모든 변조 다이들에서 발견되는 결함의 발생 빈도에 의해 우선 순위화된다. 결함들의 그러한 우선 순위화는, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Peterson 등에 의한 미국특허출원 제US2004/0091142호에서 부연된다. 사용자 인터페이스에서, 사용자는, Filtering by Priorities 섹션(14)에서의 작업을 위해, 소정 우선 순위들의 결함들을 이 우선 순위에 의해 필터링하거나 선택할 수 있다. 선택된 우선 순위들내에 해당되지 않는 결함들은 결함 데이터로부터 제거될 수 있다.
다른 실시예에서, 결함들은 하나 이상의 규칙들을 사용해 필터링될 수 있다. 하나 이상의 규칙들은, 예를 들어, 결함들의 하나 이상의 특징들에 기초할 수 있다. 일 실시예에서는, 사용자가, 결함들을 필터링하는데 사용되는 규칙들을 생성할 수 있다. 예를 들어, 도 6a에 도시된 바와 같이, 사용자 인터페이스는 Filtering Rule 박스(13)를 포함할 수 있다. Filtering Rule 박스로 인해, 사용자는 상이한 다수 방법들로 필터링 규칙을 생성할 수 있다. 예를 들어, 사용자는 Filtering Rule 박스의 Rule Definition 섹션(13a)에 규칙 정의를 입력할 수 있다. 또한, 사용자는, 결함들을 필터링하는데 사용될 구성 요소들 옆의 박스를 체크하는 것에 의해 Build the Rule 섹션(13c)의 하나 이상의 구성 요소들(13b)을 선택할 수 있다. 상이한 다수 구성 요소들이 도 6a에 예시되어 있지만, Build the Rule 섹션에 디스플레이되는 구성 요소들은, 예를 들어, 관심 결함 특징들에 따라 달라질 수 있다는 것이 이해될 수 있어야 한다.
선택되는 구성 요소에 따라, 상이한 다수 연산자들이 Operator 섹션(13d)에 디스플레이될 수 있다. 사용자는 선택된 구성 요소와 함께 사용될 연산자를 선택할 수 있다. 사용자는 연산자를 클릭하는 것에 의해 또는 업계에 공지되어 있는 임의의 다른 방식으로 연산자를 선택할 수 있다. 또한, 사용자는 선택된 구성 요소 및 연산자와 함께 사용될 값을 Value 섹션(13e)에 입력할 수 있다. 선택에 이용될 수 있는 값들은 앞서 선택된 구성 요소 및 연산자에 따라 달라질 수 있다. 일단 사용자가 구성 요소 및 연산자를 선택하고 나면, Filtering Rule 박스에 Histogram(13f)이 디스플레이될 수 있다. Histogram(13f)은 구성 요소 및 연산자의 상이한 값들을 위한 결함들의 수를 예시할 수 있다. 이런 식으로, 결함들을 효율적으로 필터링하기 위한 규칙을 사용자가 조정할 수 있도록 규칙을 구축하는 동안, 사용자에게는 결함들에 관한 정보가 제시될 수 있다.
도 6에 추가적으로 도시된 바와 같이, Defects Flow 박스(12) 또한 Grouping Rule 섹션(16)을 포함한다. Grouping Rule 섹션으로 인해, 사용자는 배경 및/또는 결함의 어떤 특징들이 결함들의 그룹화 또는 분류에 사용되는지를 선택할 수 있다. 예를 들어, Grouping Rule 섹션(16)에 도시된 바와 같이, 사용자는 하나 이상의 배경 사양들 또는 그룹화를 위한 Context Features(18)를 선택할 수 있다. 도 6에 도시된 바와 같이, Context Features는 휘도, 조도(roughness), 및 패턴을 포함할 수 있지만, 사용자에게 이용 가능한 Context Features는 업계에 공지되어 있는 다른 임의 배경 사양들을 포함할 수도 있다. 또한, 도 6에는 3개의 Context Features 모두가 선택되는 것으로 도시되어 있지만, 사용자는, 이용 가능한 모든 Context Features보다 적게 선택할 수 있거나, 이용 가능한 Context Features의 임의 조합을 선택할 수 있거나, 이용 가능한 Context Features 중 어느 것도 선택하지 않을 수 있다는 것이 이해될 수 있어야 한다.
사용자는, 추가적으로 또는 다른 방법으로, 결함들의 그룹화에 사용될 하나 이상의 Defect Features(20)를 선택할 수 있다. 도 6에 도시된 바와 같이, Defect Features는 사이즈, 형태, 휘도, 콘트라스트, 및 배경을 포함할 수 있다. 그러나, 사용자에게 이용 가능한 Defect Features은 이 사양들 모두보다 적게 포함할 수도 있다. 또한, 사용자에게 이용 가능한 Defect Features는 그룹화에 사용될 수 있는 결함들의 임의의 다른 적합한 사양(들)을 포함할 수도 있다. 도 6에 추가적으로 도시된 바와 같이, 사용자는 결함들의 그룹화를 위해 Defect Features 중 어느 것도 선택하지 않을 수도 있다. 특히, Defect Features가, 여기에서 설명되는 PWQ 유형의 방법들에서 검출되는 결함들의 그룹화에 반드시 유용해야 하는 것은 아니므로, 여기에서 설명되는 방법들을 위해, 사용자는 Defect Features 중 아무 것도 선택하지 않을 수도 있다. 그러나, 사용자는, 다른 방법으로, 결함들의 그룹화에 단독으로 사용될 또는 그룹화에 Context Features과 함께 사용될 하나 이상의 Defect Features를 선택할 수도 있다.
Grouping Rule 섹션도 Number of Groups 옵션(22)을 포함한다. 사용자는, Number of Groups 옵션을 사용해, 결함들이 정렬되는 그룹들의 수를 선택 또는 변경할 수 있다. 이 일례에서, 사용자는 그룹들의 수를 박스에 타이핑할 수 있거나, 선택된 숫자가 나타날 때까지 박스 옆의 화살표들을 클릭할 수 있거나, 선택된 숫자가 박스에 나타날 때까지 스케일을 따라 화살표를 이동시킬 수 있다. 선택되는 그룹들의 수는, 결함 및/또는 문맥 사양들이 그룹들 사이에서 얼마나 정교하게 나누어지는지에 영향을 미칠 것이다. 따라서, 그룹들의 수가 많아질수록, 각 그룹에는 좀더 유사한 결함들이 좀더 적게 할당될 것이다. 그룹들의 수가 사용자에 의해 특정되지 않을 수도 있다는 것에 주의해야 한다. 대신에, 알고리즘이 그룹들의 적합한 수를 자동적으로 판정할 수 있다.
Defects Flow 박스(12)도, 도 6에 도시된 바와 같이, Sampling 섹션(24)을 포함한다. Sampling 섹션은, 사용자가 재검토를 위한 결함들의 총 수를 선택할 수 있는 Number of Defects for Review 옵션(26)을 포함한다. 결함들의 재검토는, SEM 도구와 같은, 업계에 공지되어 있는 임의의 적합한 결함 재검토 도구를 사용해 수행될 수 있다. Sampling 섹션도, 사용자가 재검토되어야 하는 개개 결함 우선 순위들을 선택할 수 있는 Defect Priorities to Sample 옵션(28)을 포함한다. 도 6에 도시된 바와 같이, 결함 우선 순위들은 재검토를 위해 개별적으로 선택될 수 있다. 그러나, 결함 우선 순위들은 업계에 공지되어 있는 임의 방식으로 선택될 수도 있다. 또한, Sampling 섹션은, 사용자가 재검토되어야 하는 개개 결함 클래스들을 선택할 수 있는 Defect Classes to Sample 옵션(30)도 포함한다. 결함 클래스들은 도 6에 도시된 바와 같이 개별적으로 또는 업계에 공지되어 있는 다른 임의 방식으로 재검토를 위해 선택될 수 있다. 또한, 자동 샘플링 알고리즘이 사용되어, 배경 및 우선 순위 정보를 사용해 샘플링을 위한 결함들을 선택할 수도 있다. 일부 실시예들에서는, 변조 다이(들)에서의 결함들의 위치들에 기초해, 재검토 동안 방문 및/또는 측정하기 위한 샘플 사이트들의 리스트가 생성될 수 있다. 이 위치들은, 재검토 도구에 의해 위치들이 자동적으로 발견될 수 있도록, 레티클내의 위치로 상관될 수 있다.
도 6에 추가적으로 도시되어 있는 바와 같이, Defects Flow 박스 또한, 사용자가 결함 데이터에 필터링, 그룹화, 및 샘플링 연산들을 적용하는 것을 선택할 수 있는 다수 버튼들(32)을 포함한다. 사용자는 이 연산들을 임의 순서로 적용할 수 있다. 그러나, 통상적으로, 사용자는 결함들을 그룹화하기 전에 결함들을 필터링하는 것을 그리고 재검토를 위해 결함들을 샘플링하기 전에 결함들을 그룹화하는 것을 선택할 수 있다. 이런 식으로, 배경 바이닝의 결과들은, 사용자가 샘플링된 결함들을 배경과 우선 순위의 조합에 의해 검토할 수 있도록, 우선 순위화된 필터링의 결과들과 조합될 것이다. 또한, 필터링 및 그룹화 연산들은, 고정된 바이닝 연산들(fixed binning operations)에 의해서가 아니라, 반복적으로 수행될 수 있다.
도 6의 스크린 샷에 도시된 나머지 박스들은 필터링, 그룹화, 및/또는 샘플링 연산들의 결과들을 디스플레이하는데 사용될 수 있다. 그러나, 이 박스들은 결함들과의 추가적인 작업을 위해 사용될 수도 있다. 예를 들어, 도 6에 도시된 사용자 인터페이스는, 필터링 및 그룹화 연산들의 결과들을 나타내는 적층된 컬러 바 챠트가 예시되는 Defect Runs and Classes 박스(34)를 포함한다. 적층된 컬러 바 챠트는 결함 그룹들 및 우선 순위를 함께 예시하고 그것들에 대해 작용하기 위한 메커니즘으로서 사용될 수 있다. 각각의 바는 결함들의 그룹을 표현한다. 컬러는 결함 우선 순위들을 지시한다. 그러한 챠트가 바람직스럽게도 결함들에 관한 상당량의 정보를 비교적 이해하기 쉬운 방식으로 예시할 수 있기는 하지만, 임의 방법 또는 그래픽 구조가 사용되어 필터링 및/또는 그룹화 연산들의 결과들을 예시할 수도 있다는 것이 이해될 수 있어야 한다.
예를 들어, 도 6에 예시된 바 챠트는, Grouped Defects 옵션(34a)이 선택되었으므로, 디스플레이되었다. 그러나, Filtered Population 및 Defect Grid 옵션들이 선택되면, 상이한 그래프들이 디스플레이될 것이다. 예를 들어, 도 6b는, Defect Grid 옵션(34b)이 선택되는 경우, 필터링 및 그룹화의 결과들이 디스플레이될 수 있는 다른 방식을 예시한다. 도 6b에 도시된 바와 같이, Defect Runs and Classes 박스(34)는 발견된 결함들의 수를 우선 순위 및 그룹의 펑크션으로서 예시하는 그리드(34c)를 포함할 수 있다. 도 6b에는 소정 개수의 우선 순위들 및 그룹들이 예시되어 있지만, 분명히, 우선 순위들 및 그룹들의 수는 필터링 및 그룹화에 사용되는 파라미터들에 따라 달라질 것이다.
도 6c는, Filtered Population 옵션(34d)이 선택되는 경우, 필터링 및 그룹화의 결과들이 디스플레이될 수 있는 상이한 방식을 예시한다. 도 6c에 도시된 바와 같이, 우선 순위 펑크션으로서 발견된 결함들의 수가 바 챠트(34e)로 예시된다. 그러나, 우선 순위 펑크션으로서의 결함들의 수는 업계에 공지되어 있는 다른 임의 방식으로 예시될 수도 있다는 것이 이해될 수 있어야 한다. 또한, 도 6c에는 3개의 상이한 우선 순위들이 예시되어 있지만, 우선 순위들의 수는 필터링에 사용되는 파라미터들에 따라 달라질 것이라는 것이 이해될 수 있어야 한다.
도 6에 도시된 사용자 인터페이스는 Available Defects 박스(36)도 포함할 수 있다. Available Defects 박스는 검증 결함들을 예시할 수 있다. 예를 들어, Available Defects 박스는 필터링, 그룹화, 및 검색으로부터의 결과들을 예시할 수 있다. 분류되지 않거나 분류된 결함들의 폴더들에 위치하지 않는 모든 결함들이 이 영역에 디스플레이될 수 있다. 도 6에 도시된 바와 같이, Available Defects 박스는 결함들의 이미지들을 예시할 수 있다. 다른 방법으로, Available Defects 박스는 업계에 공지되어 있는 적당한 임의 방법을 사용해 결과들에 관한 정보를 제공할 수도 있다. 또한, 사용자는, Available Defects 박스를 사용해, 이용 가능한 결함들에 대해 하나 이상의 펑크션들을 수행할 수도 있다.
사용자 인터페이스는 필터링 및 그룹화의 결과들을 상술된 바와 같이 그래프로 그리고 샘플링을 위해 선택된 결함들의 이미지들로써 예시할 수 있다. 예를 들어, Sample Defects 박스(38)에 도시된 바와 같이, 사용자 인터페이스는, 결함들이 분류된 폴더를 예시할 수 있다. 또한, 결함들이 폴더들에 할당되었다면, 폴더들의 앞에 대표적인 결함 이미지들이 예시될 수 있다. 사용자는 Sample Defects 박스에 도시된 결함 이미지들에 대해 다수 펑크션들을 수행할 수도 있다. 예를 들어, 사용자는 결함들이 할당된 폴더들 중 하나를 선택할 수 있다. 폴더들 중 하나를 선택하는 것은, 상이한 폴더들의 예시 아래쪽의 박스(40)에 선택된 폴더의 결함 이미지들이 예시되게 한다. 박스(40)에 도시된 바와 같이, 결함 이미지들은 숫자와 함께 예시될 수도 있다. 숫자는 각각의 결함 이미지에 할당된 우선 순위를 나타낼 수 있다.
사용자는 결함들을 일 폴더로부터 다른 폴더로 이동시켜 결함 분류를 변경할 수 있다. 사용자는 결함들을 Available Defect Gallery로 이동시키는 것에 의해 분류 해제(un-classification)를 수행할 수도 있다. 사용자는 폴더들을 추가할 수 있고, 폴더들을 삭제할 수 있으며, 폴더들을 개명할 수 있다. 폴더를 삭제하는 것은 그 폴더의 모든 결함들을 분류 해제할 것이다. Defects-to-ignore라고 하는 왼쪽의 제1 폴더는, 필터링, 그룹화, 및 샘플링으로부터 배제되는 모든 결함들을 위한 폴더이다. 하나 이상의 그런 폴더들이 존재할 수 있다. 결함들을 분류된 폴더들로 이동시키는 것은 결함들을 폴더들로 끌어놓기하여 선택하는 것에 의해 또는, Defects-to-ignore 버튼같은, 버튼을 클릭하여 선택하는 것에 의해 실현될 수 있지만, 그것으로 제한되는 것은 아니다. 사용자에게 샘플 이미지들을 예시하는 일 방식이 도 6에 예시되어 있지만, 샘플 이미지들을 예시하는 다른 임의 방식이 사용자 인터페이스 및 여기에서 설명되는 방법들에 사용될 수도 있다는 것이 이해될 수 있어야 한다.
도 6d는 Sample Defects 박스(38)의 다른 일례를 예시한다. 도 6d의 Sample Defects 박스(38)에 도시된 바와 같이, 결함들의 Class 2를 위한 폴더가 선택되었고, 그 결과, 상이한 폴더들의 예시 아래쪽의 박스(40)에 Class 2 폴더의 결함 이미지들이 예시된다. 샘플링은 자동적으로 또는 수동적으로 수행될 수 있다. 도 6에 도시된 버튼들(32) 중 Apply Sampling 버튼을 선택하는 것에 의해, 분류된 폴더들의 결함들은, 샘플링을 위해 설정된 기준들에 따라 샘플링된다. 사용자는, 도 6d에 도시된 바와 같이, Mark Selected 또는 Mark All을 클릭하는 것에 의해, 분류된 폴더들의 하나 이상의 결함들을 선택하여 그것들을 샘플링된 결함들로서 마킹할 수도 있다. 샘플링된 모든 결함들은 마커로 태깅될 수 있다. 도 6d에 추가적으로 도시된 바와 같이, 사용자는 버튼(Unmark Selected 또는 Unmark All)을 사용하는 것에 의해 하나 이상의 결함들을 위한 샘플 상태를 턴오프할 수 있다.
샘플 이미지들은 다른 방식들로 사용자에게 예시될 수도 있다. 예를 들어, 사용자 인터페이스는 결함들 중 어느 것 또는 결함 이미지들에 대응하는 기준 이미지들을 간헐적으로 갖춘 샘플 이미지들만을 디스플레이하도록 구성될 수도 있다. 이런 식으로, 이미지들은 사용자 인터페이스에서 차례로 반복하여 번쩍이는 것처럼 보일 수 있다. 이미지들의 그러한 "번쩍임(flashing)"으로 인해, 사용자는 이미지들 사이의 차이들을 추가적으로 이해할 수 있게 된다. 유사한 방식으로, 상이하게 변조된 다이들의 샘플 이미지들이 사용자 인터페이스에서 번쩍거릴 수도 있는데, 이는, 결함들의 경향들에 대한 사용자의 이해를 도울 수 있다.
도 6에는 사용자 인터페이스가 4개의 상이한 박스들을 포함하도록 도시되어 있지만, 사용자 인터페이스는 4개보다 적은 정보 박스들 또는 4개보다 많은 정보 박스들을 포함할 수도 있다는 것이 이해되어야 한다는 것에도 주의해야 한다. 일반적으로, 사용자 인터페이스에 도시된 정보의 양과 편성은 사용자에 최대량의 정보를 최대한 관리 가능하고 이해하기 쉬운 방식으로 제시하도록 설계될 수 있다.
여기에서 설명되는 사용자 인터페이스들은 검사 결과들을 프로세싱하기 위해 현재 사용되는 다른 사용자 인터페이스들에 비해 다수 이점들을 제공한다. 특히, 앞서 부연된 바와 같이, 사용자 인터페이스는, 우선 순위 및/또는 규칙들에 기초해 수행될 수 있는 선행 필터링 능력을 제공한다. 선행 필터링의 파라미터들은 앞서 부연된 바와 같이 사용자에 의해 선택될 수 있다. 또한, 그룹화에 사용되는 배경 특징(들) 또한 여기에서 설명되는 바와 같이 선택될 수 있다. 배경 특징(들) 또한, 앞서 설명된 바와 같이, 다른 결함 속성들과 함께 그룹화에 사용될 수 있다. 더 나아가, 사용자 인터페이스는, 고정된 바이닝이 아니라, 반복적인 그룹화를 수행하는데 사용될 수 있다. 배경 그룹화 및 우선 순위 필터링 결과들에 자동 샘플링 알고리즘이 사용될 수도 있다. 사용자 인터페이스의 기능성 또한, 예를 들어, 변조 다이의 위치들에 기초해 방문 및/또는 측정하기 위한 샘플 사이트들의 리스트를 생성한 다음, 사용될 "속임수(fake)" 결과를 형성하도록 확장될 수도 있다.
관심 결함들이 발견됨에 따라, 사용자는, "Defects Like Me"라고 하는 결함 검색 사양을 사용해 관심 결함들과 유사한 결함들의 다른 일례들을 보기 위해, 상이한 사용자 인터페이스를 사용할 수도 있다. 사용자는, 더 많은 결함들을 빠르게 트래버싱하기 위해, 이 사양을 사용해 부적절한 결함들의 그룹들을 제거할 수도 있다. 유사한 결함들을 예시하는데 사용될 수 있는 사용자 인터페이스의 일례가 도 7에서 도시된다. 도 7에 도시되어 있는 바와 같이, 사용자 인터페이스는, 사용자에 의해 선택되는 결함을 예시하는 Sample Defect 박스(42)를 포함한다. 사용자는 도 6에 도시된 것과 같은 다른 사용자 인터페이스에서 예시된 결함들로부터 이 결함을 선택할 수 있다. 도 7에도 도시되어 있는 바와 같이, 사용자는, 아이콘들(44)을 사용해, 선택된 결함의 이미지에 대해 하나 이상의 펑크션들을 수행할 수 있다.
사용자 인터페이스는, 사용자가 선택된 결함과 유사한 결함들을 검색하기 위한 하나 이상의 파라미터들을 선택할 수 있는 Searching Criteria 박스(46)도 포함한다. 특히, Searching Criteria 박스(46)는 Manual Features Selection 섹션(48)을 포함한다. Manual Features Selection 섹션에서, 사용자는 유사한 결함들을 검색하는데 사용하기 위한 결함들의 하나 이상의 사양들을 선택할 수 있다. 도 7에 도시된 바와 같이, 선택될 수 있는 사양들은 사이즈, 휘도, 형태, 콘트라스트, 극성, 및 문맥을 포함한다. 그러나, 선택에 이용 가능한 사양들은 업계에 공지되어 있는 적합한 임의 사양들을 포함할 수도 있다는 것이 이해될 수 있어야 한다.
Manual Features Selection 섹션(48)에 도시되어 있는 바와 같이, 사용자는 적합한 버튼을 클릭하는 것에 의해 사양들 모두를 선택할 수 있거나 사양들 중 어느 것도 선택하지 않을 수도 있다. 다른 방법으로, 사용자는 사양 이름 옆의 박스들을 클릭하는 것에 의해, 개개 사양들을 수동적으로 선택할 수도 있다. 도 7에서는 문맥 사양만이 선택되는 것으로 도시되지만, 다른 방법으로, 다른 사양들 중 어떤 것도 선택될 수 있거나 사양들의 조합이 선택될 수도 있다는 것이 이해될 수 있어야 한다. 상술된 바와 같이, 결함들의 사양들 자체는 변조 다이마다 실제로 크게 달라질 수 있으므로, 바람직스럽게도, 결함들의 문맥 또는 배경이 결함들을 그룹화하는데 사용될 수 있다. 따라서, 선택된 문맥 사양은 유사한 결함들을 검색하기 위해 빈번하게 사용될 수 있다.
도 7에 추가적으로 도시된 바와 같이, 유사한 결함들을 검색하는데 사용될 사양들 각각에 가중치가 할당될 수 있다. 각각의 사양에 할당된 가중치는 자동적으로 또는 적합한 버튼의 선택시에 할당된 디폴트 가중치일 수 있다. 디폴트 가중치들 각각이 동일한 것으로 도시되어 있지만, 개개 사양들을 위한 디폴트 가중치들이 달라질 수도 있다는 것이 이해될 수 있어야 한다. 사용자는 상이한 다수 방식들로 개개 사양들에 상이한 가중치들을 할당할 수 있다. 예를 들어, 사용자는 가중치를 위한 숫자를 박스에 타이핑할 수 있거나, 선택된 가중치가 나타날 때까지 박스 옆의 화살표들을 클릭할 수 있거나, 선택된 가중치가 박스에 나타날 때까지 스케일을 따라 화살표를 이동시킬 수 있다.
도 7에 도시된 바와 같이, Searching Criteria 박스(46)도, 사용자가 결함들이 검색될 민감도를 선택할 수 있는 Sensitivity 섹션(50)을 포함한다. 민감도는 상이한 방법들로 선택될 수 있다. 예를 들어, 도 7에 도시된 바와 같이, 민감도는, 검색 결과들이 제한되는 결함들의 수에 의해 정의될 수 있다. 다시 말해, Sensitivity 섹션(50)에 도시된 결함들의 수는, 검색 결과들이, 선택된 결함과 거의 유사한 50개 결함들(또는 다른 소정 개수의 결함들)로 제한될 것을 컴퓨터 구현 방법에 지시할 수 있다. 다른 방법으로, 사용자는 검색을 위해 선택되는 사양들에 임계치를 할당하는 것에 의해 검색의 민감도를 정의할 수 있다. 도 7에는 1개 임계치만이 도시되어 있지만, 도 7에 도시되는 임계치 옵션들의 수는 검색을 위해 선택되는 사양들의 수에 따라 달라질 수도 있다는 것이 이해될 수 있어야 한다.
Searching Criteria 박스(46)도, 일단 Searching Criteria 박스에서 적합한 선택들이 이루어지고 나면, 사용자가 클릭할 수 있는 Start Searching 버튼(52)을 포함한다. 검색하는 동안 또는 검색 이후에, 검색 기준들에 기초해, 선택된 결함과 유사하다고 판정되는 결함들의 이미지들이 사용자 인터페이스의 Found Defects 섹션(54)에 예시될 수 있다. 도 7에 도시된 바와 같이, 사용자는 아이콘들(56)을 사용해 상이한 다수 펑크션들을 결함 이미지들에 대해 수행할 수 있다. 또한, 사용자는, Accept 버튼(58)을 사용해, 발견된 결함들을 수용할 것을 선택할 수 있다. 다른 방법으로, 사용자는 Quit 버튼(60)을 사용해 "Defects Like Me" 펑크션을 중단할 것을 결정할 수 있다.
사용자 인터페이스가 도 7에서는 3개의 상이한 박스들을 포함하는 것으로 도시되지만, 사용자 인터페이스가 3개보다 적은 정보 박스들 또는 3개보다 많은 정보 박스들을 포함할 수도 있다는 것이 이해될 수 있어야 한다는 것에 주의해야 한다. 일반적으로, 사용자 인터페이스에 도시된 정보의 양과 편성은 사용자에게 정보의 최대량이 최대한 관리 가능하고 이해하기 쉬운 방식으로 제시되도록 설계될 수 있다.
추가적인 실시예들에서, 여기에서 설명되는 방법들은 여기에서 설명되는 결함 검출 및/또는 분류 방법들의 결과들에 기초해 레티클상의 설계 패턴을 변경하는 단계를 포함할 수 있다. 특히, 여기에서 설명되는 방법들의 결과들은, 레티클이 레티클을 위한 검증 표준들을 통과하는지를 판정하는데 사용될 수 있다. 레티클이 검증을 통과하지 못하면, 레티클 설계 패턴은 변경될 수 있다. 바람직스럽게도, 레티클 설계 패턴은, 웨이퍼에 인쇄된 설계 패턴에서 설계 패턴에서의 좀더 적은 결함들이 발생되도록 변경된다. 그 다음, 새로운 레티클은 변경된 설계 패턴으로 가공될 수 있다. 다른 방법으로, 일부 경우들에서는, 레티클상의 설계 패턴을 변경하기 위해 레티클이 물리적으로 변경될 수도 있다. 레티클을 물리적으로 변경하는 것은, FIB(Focused Ion Beam) 수리 프로세스들(repair processes)과 같은, 업계에 공지되어 있는 임의의 수리 프로세스를 사용해 수행될 수 있다.
다른 실시예에서, 여기에서 설명되는 방법들은 여기에서 설명되는 결함 검출 및/또는 분류 방법들의 결과들에 기초해 레티클을 위한 상이한 설계 패턴을 발생시키는 단계를 포함할 수 있다. 특히, 검사된 설계 패턴이 사실상 상당량의 결함들, 정비될 수 없는 비교적 상당수의 결함들, 및/또는 정비될 수 없으며 웨이퍼에 인쇄될 설계 패턴에서 치명적인 하자들을 발생시킬 결함들을 가진 것으로 밝혀지면, 새로운 설계 패턴이 발생될 수 있다. 또 다른 실시예에서는, 여기에서 설명되는 방법들의 결과들이 다른 레티클들의 설계 프로세스로 공급될 수 있다. 특히, 여기에서 설명되는 방법들의 결과들이 다른 레티클들의 RET 사양들을 설계하는데 사용될 수도 있다.
방법의 일부 실시예들은 레티클의 프로세스 창을 판정하는 단계를 포함할 수 있다. 예를 들어, 조사된 리소그래피 변수 값의 좀더 작은 소정 범위가 웨이퍼들상의 설계 패턴을 적절하게 재생하는데 사용될 수 있는지가 판정될 수 있다. 이런 식으로, 레티클은 정규보다 작은 프로세스 창과의 사용을 위해 한정될 수 있다. 프로세스 창이 허용 가능한 방식으로 좁혀질 수 있는 정도는, 예를 들어, 레티클을 사용할 리소그래피 시스템들을 위해 예상될 수 있는 리소그래피 변수에서의 드리프트(drift)에 따라 달라질 것이다. 이런 식으로, 레티클 설계 패턴에서의 결함들을 정비하지 않으면서, 결함있는 레티클 설계 패턴이 사용될 수도 있다.
여기에서 설명되는 방법들과 같은 방법들을 구현하는 프로그램 명령어들은 전송 매체를 통해 전송되거나 전송 매체에 저장될 수 있다. 전송 매체는, 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체 또는 그러한 와이어, 케이블, 또는 링크를 따라 이동하는 신호일 수 있다. 전송 매체는 ROM, RAM, 자기 또는 광 디스크, 또는 자기 테이프와 같은 저장 매체일 수도 있다.
프로그램 명령어들은, 다른 것들 중에서도, 절차-기반 기술들, 컴포넌트-기반 기술들, 및/또는 객체-지향 기술들을 포함하는, 다양한 방법들 중 어느 하나로 구현될 수 있다. 예를 들어, 프로그램 명령어들은, 원하는 바에 따라, Matlab, Visual Basic, ActiveX 컨트롤, C, C++ 오브젝트들, C#, JavaBeans, MFC(Microsoft Foundation Classes), 또는 다른 기술들이나 방법들을 사용해 구현될 수 있다.
프로세서는, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, PDA(Personal Digital Assistant), 텔레비전 시스템, 또는 다른 디바이스를 포함하는, 다양한 형태들을 취할 수 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는, 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서들을 가진 임의 디바이스를 포함하도록 광범위하게 정의될 수 있다. 또한, 프로세서는, 비교적 다량의 이미지 데이터를 사실상 동시에 핸들링하는데 특히 적합한, 앞서 참고 문헌으로써 포함된 특허출원들에서 설명된, 프로세서를 포함할 수도 있다.
도 8은 결함들을 검출 및/또는 분류하기 위한, 여기에서 설명되는, 컴퓨터 구현 방법들 중 하나 이상을 수행하도록 구성된 시스템의 일 실시예를 예시한다. 도 8에 도시된 시스템은 웨이퍼를 검사하도록 구성된다. 도 8에서는 시스템이 광학 기반 이미지화 시스템인 것으로 도시되지만, 도 8에 도시된 시스템이 웨이퍼를 상이한 방법으로 이미지화하도록 구성될 수도 있다는 것이 이해될 수 있어야 한다. 예를 들어, 이 시스템은 웨이퍼를 전자 빔들로써 이미지화하는 것에 의해(즉, 전자 빔 기반 이미지화 시스템 또는 SEM에 의해) 웨이퍼를 검사하도록 구성될 수도 있다.
이 시스템은 프로세서(62)를 포함한다. 프로세서는 업계에 공지되어 있는 적당한 임의 프로세서를 포함할 수 있다. 예를 들어, 프로세서는 이미지 컴퓨터 또는 병렬 프로세서일 수 있다. 또한, 프로세서는 상술된 바와 같이 구성될 수 있다. 시스템은 전송 매체(64)도 포함한다. 전송 매체는 상술된 바와 같이 구성될 수 있다. 예를 들어, 전송 매체(64)는 프로세서(62)에서 실행 가능한 프로그램 명령어들(66)을 포함한다. 프로그램 명령어들은 상술된 방법들의 실시예들 중 어느 것을 수행하도록 실행 가능할 수 있다. 프로그램 명령어들은 부가적으로, 상술된 바와 같이 구성될 수도 있다.
일부 실시예들에서, 시스템은 검사 및/또는 재검토 도구(68)도 포함할 수도 있다. 도구(68)는 웨이퍼(70)를 이미지화하여, 레티클에 의해 웨이퍼에 인쇄된 설계 패턴에 관한 정보를 포함하는 웨이퍼를 위한 이미지 데이터를 발생시키도록 구성될 수 있다. 도구(68)는 프로세서(62)에 커플링될 수 있다. 예를 들어, 도구(68)의 하나 이상의 컴포넌트들이 (도시되어 있지 않은) 전송 매체에 의해 프로세서(62)로 커플링될 수 있다. 전송 매체는 "유선" 및 "무선" 부분들을 포함할 수 있다. 다른 일례에서, 도구(68)의 검출기(72)는 출력(74)을 발생시키도록 구성될 수 있다. 출력은 전송 매체를 통해 검출기(72)로부터 프로세서(62)로 전송될 수 있다. 일부 실시예들에서, 출력은 검출기와 프로세서 사이에 커플링된 하나 이상의 전자 컴포넌트들을 통해 전송될 수도 있다. 따라서, 출력(74)은 도구로부터 프로세서로 전송되고, 프로그램 명령어들(66)은, 여기에서 설명되는 바와 같이, 출력(74)에 포함된 이미지 데이터를 사용해 웨이퍼상의 결함들을 검출 및/또는 분류하도록 프로세서에서 실행 가능할 수 있다. 프로그램 명령어들(66)은 부가적으로, 여기에서 설명되는 다른 펑크션들(예를 들어, "Defects Like Me" 검색하기, 우선 순위에 의해 결함들 분류하기, 샘플링으로부터 결함들 선택하기 등)을 수행하도록 프로세서에서 실행 가능할 수도 있다.
검사 및/또는 재검토 도구(68)는 업계에 공지되어 있는 임의 기술을 사용해 웨이퍼의 이미지들을 발생시키도록 구성될 수 있다. 또한, 이 도구는, 이미지화 또는 측정 동안 웨이퍼(70)가 배치될 수 있는 스테이지(76)를 포함한다. 스테이지는 업계에 공지되어 있는 임의의 적당한 기계 또는 로봇 어셈블리를 포함할 수 있다. 이 도구도 광원(78)을 포함한다. 광원(78)은 업계에 공지되어 있는 적당한 임의 광원을 포함할 수 있다. 또한, 이 도구는, 광원(78)으로부터의 광을, 웨이퍼(70)의 상부면에 거의 수직인 각도에서, 웨이퍼(70)상으로 향하게 하도록 구성되는 빔 스플리터(80)를 포함할 수 있다. 빔 스플리터는 업계에 공지되어 있는 임의의 적당한 빔 스플리터를 포함할 수 있다. 이 도구는, 빔 스플리터(80)에 의해 전송되는 광을 검출하도록 구성되는 검출기(72)를 더 포함한다. 검출기 또한 출력(74)을 발생시키도록 구성된다. 검출기는 업계에 공지되어 있는 임의의 적당한 검출기를 포함할 수 있다.
검사 및/또는 재검토 도구의 일반적인 일 구성이 도 8에 도시되어 있지만, 도구는 업계에 공지되어 있는 적당한 임의 구성을 가질 수도 있다는 것이 이해될 수 있어야 한다. 예를 들어, 이 도구는 도 8에 도시된 바와 같이 단채널 이미지화 기술을 수행하도록 구성될 수 있다. 다른 방법으로, 이 도구는 다채널 이미지화 기술을 수행하도록 구성될 수도 있다. 또한, 광학 도구는, CD SEM 및, KLA-Tencor사로부터 구입 가능한 eS25 및 eS30 시스템들과 같은, e-빔 검사 도구로 교체될 수도 있다. 그러한 도구는, 상술된 바와 같이, 프로세서에 커플링될 수 있다.
다른 실시예에서, 상술된 컴퓨터 구현 방법들은 에어리얼 이미지들을 사용해 수행될 수 있다. 예를 들어, 여기에서 설명되는 방법들은, 도 9를 참조하는 것에 의해 좀더 잘 이해될 수 있는 AIMS(Aerial Image Measurement System) 기술을 사용해 구현될 수 있다. 도 9에서, 시스템은 3개의 검출기들, 즉 검출기들(101, 102, 및 103)을 갖는 것으로 도시된다. 이 검출기들 각각은 바람직스럽게도 상이한 초점 위치에 설정될 수 있다. 예를 들어, 검출기(101)는 0의 흐린 초점에 위치할 수 있고, 검출기(102)는 +0.2의 흐린 초점에 위치할 수 있으며, 검출기(103)는 -0.2의 흐린 초점에 위치할 수 있다. 물론, 흐린 초점의 이 레벨들은 단지 일례들일 뿐이다. 흐린 초점의 적당한 임의 범위 또는 레벨들이 사용될 수 있고, 그러한 레벨들은 경험적으로 최적화될 수 있다. 예를 들어, 0의 흐린 초점을 가진 검출기를 사용하는 것은 불필요하고, 검출기들 모두가 양의 흐린 초점의 가변 레벨들에서 또는 양과 음의 흐린 초점이 혼합된 레벨들에서 설정될 수도 있다.
샘플(104)은 바람직스럽게도 마스크 또는 레티클이다. 샘플(104)이 광원(105)에 노출됨에 따라, 3개 검출기들에서 에어리얼 이미지가 검출된다. 그들의 상이한 초점 위치들로 인해, 각 검출기에서의 에어리얼 이미지들은 흐린 초점의 상이한 레벨들을 가질 것이다. 흐린 초점의 가변 레벨들을 가진 이미지들은 여기에서 앞서 설명된 기술들 중 어느 것을 사용해 비교 및 분석될 수 있다. 바람직한 실시예에서는, 계속해서 샘플(104)이 검사됨에 따라, 검출기(101)와 같은, 제1 검출기로부터 취해진 신호들이, 검출기(102)와 같은, 제2 검출기로부터 취해진 신호들과 비교된다. 이것은 당연히 일례일 뿐이고, 검출기들의 임의 쌍들로부터의 이미지들이 비교될 수 있다. 다른 방법으로, 비교들은 검출기들과 (한 쌍의 검출기들 사이의 픽셀마다의 평균 또는 다른 또 한 쌍의 검출기들 사이의 차이와 같은) 다른 검출기들의 수학적 조합들 사이에서 이루어질 수도 있다. 바람직하게는, 흐린 초점의 레벨들 및/또는 다양한 검출기들로부터의 신호들 사이에서의 비교들의 유형들(또는 그것에 관한 조합들)은, 사용자에게, 프로세스 창에 걸쳐, RET 결함들 및 그러한 결함들의 모양에 관한 정보를 제공하도록 선택되는 것이 좋다.
도 9에 도시된 실시예에서, 전통적인 검사 및 프로세스 창 검증을 동시에 수행할 수 있다. (RET 결함들 등을 찾아내기 위한) 프로세스 창 검증의 목적 및 방법은 여기에서 이미 설명되었다. 전통적인 검사의 목적은, 레티클 제조 오류들 및/또는 레티클상의 오염물들로부터 초래되는 결함들과 같은, 결함들의 다른 유형들을 찾아내는 것이다. 그러한 전통적인 검사 방법은, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Kenan 등에게 허여된 미국특허 제6,268,093호에서 설명된다. 그러한 검사들을 수행하는 적당한 다른 방법들은, 그 전체가 모든 목적들을 위해 여기에 참고 문헌으로써 포함되어 있는, 2003년 10월 6일에 출원된, Stokowski에 의한 공동 소유의 계류 중인 미국특허출원 제10/679,617호에서 좀더 상세하게 설명된다. 적당한 그런 방법들은, 제한없이, 레티클이 생성되었던 렌더링된 데이터베이스(rendered database)에 대한 비교에 의해 레티클이 검사되는 다이-대-데이터베이스 검사를 포함한다.
바람직한 실시예에서, 전통적인 검사는 상이한 다이들의 공칭적으로 동일한 부분들에서 취해진 동일한 검출기로부터의 신호들을 비교하는 것에 의해 수행된다. 이 검사 프로세스는 멀티 다이 레티클들을 위해 양호하게 작동한다. 프로세스 창 검증은 사실상 동시에 수행되고, 여기에서 이미 설명된 바와 같이, 각각의 다이를 위한 흐린 초점의 가변 레벨들에서의 이미지들을 비교하는 것에 의해 실현될 수 있다. 그래서, 전통적인 검사는 샘플(104)의 제1 다이로부터의 이미지들을 샘플(104)의 제2 다이의 이미지들과 비교하는 것에 의해 실현될 수도 있는데, 이 경우, 각각의 이미지는 검출기(101)를 사용해 검출된다. 그러한 각 다이의 이미지들이 전통적인 검사의 목적들을 위해 수집되는 것과 거의 동시에, 그러한 다이 각각을 위해, 검출기(101) 및/또는 검출기(102) 또는 검출기(103)로부터의 이미지 또한 상이한 초점 위치에서 (예를 들어, 검출기들(101, 102 및/또는 103) 중 다른 것 또는 그것에 대한 수학적 임의 조합으로부터) 취해진 동일한 그 다이의 이미지와 비교된다. 이와 같이, 전통적인 검사 및 프로세스 창 검증이 사실상 동시에 수행될 수 있다.
원한다면, 전통적인 검사로부터의 그리고 프로세스 창 검증으로부터의 데이터에 대한 프로세싱은 동일한 컴퓨터에서 병렬 프로세싱을 사용해 수행될 수도 있다. 적당한 아키텍처 및 방법은, 전체가 모든 목적들을 위해 여기에 참고 문헌으로써 포함되어 있는, 1999년 11월 24일에 출원된, Goldberg 등에 의한 공동 소유의 계류중인 미국특허출원 제09/449,022호에서 좀더 상세하게 설명된다.
발명의 또 다른 실시예에서는 그리고 도 9에 도시된 일례에 대한 상기 설명에 따르면, 싱글 다이 레티클이 샘플(104)로서 제공될 수 있고, 도 9에 도시된 장치를 사용해 프로세스 창 검증만이 수행될 수도 있다. 그러한 기술은 레티클들의 모든 유형들에 적합할 수 있고, 싱글 다이 레티클들에 특히 바람직스러울 수 있다. 그것은, 도 9에 도시된 장치가, KLA-Tencor Corporation사로부터 구입 가능한 3XX 및 5XX 시리즈와 같은, 검사 시스템들의 다른 유형들에 비해 많은 면에서 열등하기 때문이다. 따라서, KLA-Tencor 도구들을 사용해 전통적인 결함들을 찾아 낸 다음, RET 결함들을 찾아내기 위해 프로세스 창을 변경하는 것에 의해 동일한 레티클을 에어리얼 이미지 모드에서 다시 검사하는 것이 바람직스러울 수 있다. 앞서 언급된 바와 같이, 이것은, 샘플(104)이 싱글 다이 레티클인 경우에 특히 바람직스러울 수 있다. 이것은, 에어리얼 이미지에 대한 비교에 적합한 모드에서 설계 데이터베이스를 렌더링해야 할 필요성을 방지한다. 그 대신, 에어리얼 이미지는 RET 결함들을 찾아내는 목적들을 위해서만 사용되고, 전통적인 검사는, 레티클의 실제 이미지를 (거기에 존재하는 OPC 사양들을 포함하는) 렌더링된 데이터베이스와 직접 비교할 수 있는 좀더 정확한 도구를 사용해 수행된다.
당연히, 적당하게 렌더링된 데이터베이스가 (상술된 바와 같이, 예를 들어, Stokowski 등에 의한 출원에서 설명된 기술들을 사용해 렌더링된) AIMS 이미지에 대한 비교에 이용 가능하다면, 도 9에 도시된 것과 같은 AIMS 도구를 사용해 다이-대-데이터베이스 검사가 수행될 수 있다. 그러한 경우, 렌더링된 데이터베이스에 대한 비교를 사용하는 것에 의해 RET 결함들을 위한 검사 또한 수행할 수 있다. 예를 들어, 전통적인 검사는 0의 흐린 초점에서의 검출기로부터의 이미지들을, 이 또한 0의 흐린 초점에서, 데이터베이스로부터 렌더링된 이미지들과 비교하는 것에 의해 수행될 수 있다. 그 다음, RET 결함들은, 흐린 초점의 가변 레벨들에서의 하나 이상의 검출기들로부터의 이미지들을 0의 흐린 초점에서 렌더링된 데이터베이스에 대해 비교하는 것에 의해 발견될 수 있다. 또는, 데이터베이스는, 시뮬레이션을 통해, 흐린 초점의 소정 레벨을 준수하는 방식으로 렌더링될 수도 있다. 어떤 상황에서든, 여기에서 설명되는 방법들이 RET 결함들을 찾아내는데 적용될 수 있다.
본 발명은 단지 흐린 초점의 레벨을 변경하는 것에 의해 RET 결함들을 찾아내는 것으로 제한되지 않는다. 앞서 지적된 바와 같이, 시스템의 시그마 및/또는 NA(numerical aperture)를 변경하는 것도 프로세스 창과 관련이 있다. 따라서, 이 파라미터들을 변경하는 것이 RET 결함들을 찾아내는데 사용될 수도 있다. 이것을 실현하는 일 방법은 조건들의 제1 세트(즉, 시그마, NA, 및 흐린 초점의 제1 세트)하에서 검사를 사용해 획득되는 이미지를 취한 다음, 조건들의 제2 세트(즉, NA, 시그마, 및 흐린 초점 중 하나 이상이 달라지는 세트)하에서 동일한 레티클의 이미지를 취하고, 결과적인 이미지들을 비교하는 것이다. 그러한 방법은, 도 9에 도시된 것과 같은 장치를 사용해, 단순히 조건들의 제1 세트하에서 레티클의 제1 검사로부터 취해진 데이터를 저장하고, 장치에 대한 시그마, NA, 및/또는 흐린 초점과 같은 파라미터들을 변경한 다음, 그 자리에서 동일한 레티클을 새로운 파라미터 설정들로써 재검사하는 것에 의해 구현될 수 있다. 이미지들은 비교에 앞서 정렬된다. 저장된 데이터는 전체 레티클의 검사로부터 취해진(그리고 광 디스크 또는 적당한 저장 공간을 가진 다른 매체에 저장된) 것일 수 있거나, (하나 이상의 스와스들과 같은) 레티클의 단지 일부분에 걸쳐 취해진 것일 수도 있다. 레티클 검사 데이터의 일부분만이 저장되면, 그 저장은 메모리 버퍼 등으로 적당히 핸들링될 수도 있다. 일부 실시예들에서, 저장된 데이터는 "기준 레티클 필드" 또는 최상으로 공지된 프로세스 조건들에서 발생된 레티클의 에어리얼 이미지를 표현할 수 있고, 그것은, 과도적 반복 결함 검출(transient repeating defect detection) 및/또는 영구적 결함 검출을 위해 이후에 그것이 사용될 수 있도록 저장될 수 있다.
다른 실시예에서는, 저장된 데이터가 전체 다이의 또는 다이의 단지 일부분의 검사로부터 취해질 수도 있다. 그러한 일 실시예에서, 다이 또는 다이의 일부분은, 일부 실시예들에서 최상의 공지 조건들일 수 있는 리소그래피 변수의 기준 값을 사용해 웨이퍼에 형성되는 설계 패턴에 대응될 수 있다. 이런 식으로, 저장된 데이터는 "기준 다이"를 표현할 수 있다. 다른 실시예들에서, 저장된 데이터는 시뮬레이션된 이미지일 수 있다. 예를 들어, 시뮬레이션된 이미지는, 기준 멤버 값에서 웨이퍼에 인쇄될 이미지일 수 있다. 일 실시예에서, 시뮬레이션된 이미지는 레티클 설계 데이터로부터 발생될 수 있다. 레티클 설계 데이터는 기준 값에 기초해 레티클의 시뮬레이션된 에어리얼 이미지를 발생시키도록 변경될 수 있다. 상이한 실시예에서, 시뮬레이션된 이미지는 레티클 검사에 의해 획득되는 레티클의 에어리얼 이미지로부터 발생될 수 있다. 시뮬레이션된 에어리얼 이미지 또는 획득된 에어리얼 이미지는, 레지스트 모델을 사용해, 기준 값에서 웨이퍼에 인쇄될 레티클의 이미지를 발생시키도록 변경될 수 있다.
저장된 데이터는, 웨이퍼상의 결함들의 존재를 판정하기 위해, 웨이퍼상의 다른 다이 또는 다이의 일부분들과 비교될 수 있다. 일부 실시예들에서, 저장된 데이터와 비교되는 다이는 상이한 (즉, 기준 값이 아닌) 조건들에서 인쇄될 수 있다. 그것만으로, 저장된 데이터는 웨이퍼상의 다이 또는 다이의 일부분들에서 과도적 반복 결함들의 존재를 판정하는데 사용될 수 있다. 다른 방법으로, 저장된 데이터와 비교되는 다이는 저장된 데이터와 동일한 조건들(즉, 기준 값)에서 인쇄될 수 있다. 따라서, 저장된 데이터는 웨이퍼상의 다이 또는 다이의 일부분들에서 영구적 결함들의 존재를 판정하는데 사용될 수 있다.
도 9에 도시된 바와 같이, 시스템은 고압균질기(homogenizer;106), 조리개(107), 집광렌즈(108), 스테이지(109), 대물렌즈(110), 조리개(111), 렌즈(112), 빔 스플리터(113), 및 프로세서 또는 컴퓨터(114)를 포함하는 다수의 다른 컴포넌트들을 포함할 수 있지만, 그것으로 제한되는 것은 아니다. 컴포넌트들은 2003년 10월 6일에 출원된, Stokowski 등에 의한 공동 소유의 계류 중인 미국특허출원 제10/679,617호에서 부연되는 바와 같이 구성될 수도 있다. 이 컴포넌트들은, 시그마, NA, 조명의 유형, 및 빔의 형태와 같은, 가변 파라미터들을 제공하도록 변경될 수 있다. 예를 들어, 조리개(107)는 시그마, NA, 조명의 유형, 및 빔의 형태를 변화시키도록 변경될 수 있다.
바람직한 실시예에서는, 검출기 각각으로부터의 (그리고/또는 렌더링된 데이터베이스로부터의) 미가공 데이터를 직접적으로 비교하기보다는, 전체가 여기에서 기술되는 것처럼 참고 문헌으로써 포함되어 있는, Peterson 등에 의한 미국출원공개 제US2004/0091142호에서 설명되는 바와 같이, 비교 이전에 데이터를 선행 처리하는 것이 바람직스러울 수 있다.
바람직한 다른 실시예에서는, 여기에서 설명되는 임의 방법에 의한 검사(예를 들어, 에어리얼 이미지들을 사용하는 검사, 웨이퍼에 인쇄된 이미지들의 검사, DRC 기술들에 따라 시뮬레이션된 이미지들의 검사 등)로부터 취해진 데이터가 재검토를 위한 레티클 또는 웨이퍼의 영역들을 플래깅하는데 사용될 수도 있다. 결함들은 상술된 바와 같이 재검토를 위해 선택될 수 있다. 그러한 재검토를 위한 좌표들은 검사 장치에 의해 저장되어 재검토 도구로 전달될 수 있다(또는 검사 장치에 집적된 재검토 도구에서 수행될 수도 있다). 바람직한 일 실시예에서, 재검토 도구는 독일의 Carl Zeiss, Inc.사로부터 구입 가능한 유형의 에어리얼 이미지 재검토 도구이다. 레티클상의 잠재적인 RET 결함 위치들이 식별되고, 좌표들이 Zeiss 도구로 전달된다. 그 다음, 그러한 잠재적 결함 각각(또는 그러한 결함들의 그룹으로부터 통계적으로 선택된 샘플)이 흐린 초점의 가변 레벨들(또는, 시그마 또는 NA와 같은, 다른 광학 조건들)에서 재검토된다.
에어리얼 이미지들을 사용하는 상기 방법들이, 시뮬레이션된 이미지들(예를 들어, DRC 기술들 또는 ORC 기술들을 사용해 획득된 이미지들)을 사용해 유사한 방식으로 수행될 수도 있다는 것에 주의해야 한다.
발명의 다양한 태양들에 대한 추가적인 변경들 및 다른 실시예들이 이 설명에 비추어서 당업자들에게 명백할 수 있다. 예를 들어, 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들이 제공된다. 따라서, 이 설명은 단지 예시로서 해석되어야 하고, 당업자들에게 발명을 수행하는 일반적인 방식을 교수하기 위한 것이다. 여기에서 도시되고 설명되는 발명의 형태들은 현재 바람직한 실시예들로 간주되는 것이라는 것이 이해될 수 있어야 한다. 구성 요소들 및 재료들이 여기에서 예시되고 설명되는 것들을 대신할 수 있고, 부분들 및 프로세스들이 반전될 수 있으며, 발명의 소정 사양들은 독립적으로 사용될 수 있는데, 발명에 대한 이 설명서의 도움을 받은 당업자들이라면 이 모두를 명백히 알 수 있을 것이다. 다음의 청구항들에서 설명되는 발명의 정신 및 범위를 벗어나지 않으면서, 여기에서 설명되는 구성 요소들에서의 변경들이 이루어질 수 있다.

Claims (22)

  1. 레티클의 설계 패턴에서의 결함들을 검출하기 위한 컴퓨터 구현 방법으로서,
    상이한 리소그래피 변수값들에 대해 상기 레티클의 이미지들을 획득하는 단계로서, 상기 이미지들은 공칭 값들에서 획득되는 2 이상의 기준 이미지들 및 하나 이상의 변조 이미지들을 포함하는 것인, 상기 레티클의 이미지들을 획득하는 단계;
    상기 2 이상의 기준 이미지들로부터 합성 기준 이미지를 발생시키는 단계;
    상기 이미지들 중 2 이상을 비교하는 단계로서, 상기 이미지들 중 상기 2 이상은 상기 합성 기준 이미지를 포함하는 것인, 상기 비교하는 단계; 및
    상기 비교하는 단계의 결과들을 사용해 상기 설계 패턴에 결함이 존재하는지를 판정하는 단계
    를 포함하는 컴퓨터 구현 방법.
  2. 제1항에 있어서,
    상기 결함이 존재하는 것으로 판정되면, 상기 결함에 인접한 영역에 대한 하나 이상의 특징들에 기초해, 상기 결함을 그룹에 할당하는 단계를 더 포함하는 컴퓨터 구현 방법.
  3. 제2항에 있어서,
    상기 영역에 대한 상기 하나 이상의 특징들은 상기 2 이상의 기준 이미지들 중 하나 이상의 상기 영역에서의 상기 설계 패턴에 대한 하나 이상의 특징들을 포함하는 것인 컴퓨터 구현 방법.
  4. 제2항에 있어서,
    상기 영역에 대한 상기 하나 이상의 특징들은,
    상기 비교하는 단계에서 사용되는 상기 이미지들 중에 적어도 두 개의 이미지들 안의 상기 영역에 대한 하나 이상의 특징들을 포함하는 것인 컴퓨터 구현 방법.
  5. 제2항에 있어서,
    상기 영역에 대한 상기 하나 이상의 특징들은 GDS 또는 에어리얼 이미지로부터 추출되는 상기 영역에 대한 하나 이상의 특징들을 포함하는 것인 컴퓨터 구현 방법.
  6. 제2항에 있어서,
    상기 영역에 대한 상기 하나 이상의 특징들은 고해상도 이미지로부터 판정되는 상기 영역에 대한 하나 이상의 특징들을 포함하는 것인 컴퓨터 구현 방법.
  7. 제2항에 있어서,
    상기 그룹에서의 하나 이상의 결함들의 상기 영역에 대한 상기 하나 이상의 특징들을 분석하여 상기 그룹이 부적절한 결함 그룹인지를 판정하는 단계를 더 포함하는 컴퓨터 구현 방법.
  8. 제2항에 있어서,
    상기 그룹이 상기 디자인 형태에서의 실패를 나타내는지 여부를 결정하기 위해서, 상기 그룹 내 하나 이상의 결함들의 하나 이상의 특성을 분석하는 단계를 더 포함하는 컴퓨터 구현 방법.
  9. 제1항에 있어서,
    상기 이미지들은, 상기 레티클을 이용하여 웨이퍼 상에 인쇄된 다이즈(dies)의 전체 스와스(swath)에 대한 이미지를 포함하고, 상기 비교하는 단계에서 사용되는 상기 이미지들 중 적어도 두 개의 이미지들은 상기 전체 스와스 내의 모든 상기 다이즈의 이미지들을 더 포함하는 것인 컴퓨터 구현 방법.
  10. 제9항에 있어서,
    상기 전체 스와스 내의 변조 다이즈들은 상기 리소그래프 변수의 동일한 값을 이용하여 인쇄되며, 상기 리소그래프 변수의 상기 동일한 값은, 상기 전체 스와스 내의 기준 다이즈가 인쇄되는 리소그래프 변수의 값과 상이한 것인 컴퓨터 구현 방법.
  11. 제9항에 있어서,
    상기 전체 스와스 내의 변조 다이즈들은 상기 리소그래프 변수의 상이한 값들을 이용하여 인쇄되며, 상기 전체 스와스 내의 기준 다이즈는 리소그래프 변수의 부가적인 상이한 값을 이용하여 인쇄되는 것인, 컴퓨터 구현 방법.
  12. 제1항에 있어서,
    웨이퍼 상에 인쇄된 다이즈의 레이아웃에 대한 지식을 가지고 있는 사용자가 상기 이미지들 중 상기 적어도 두 개의 이미지 중 어느 것이 상기 비교하는 단계에서 이용되는지 선택하는 것인 컴퓨터 구현 방법.
  13. 제1항에 있어서,
    상기 획득하는 단계는 상기 레티클을 사용해 웨이퍼에 인쇄된 상기 설계 패턴에 대한 이미지들을 획득하는 단계를 포함하는 것인 컴퓨터 구현 방법.
  14. 레티클 위에 형성된 설계 패턴에서의 결함들을 검출하기 위한 컴퓨터 구현 방법에 있어서,
    상기 레티클을 사용해 웨이퍼에 인쇄된 다이들의 전체 스와스에 대한 이미지들을 획득하는 단계로서, 상기 다이즈 중 적어도 두 개의 다이즈가 상이한 리소그래프 변수 값들로 인쇄되는, 상기 획득하는 단계;
    상기 획득하는 단계에 후속하여, 상기 이미지들 중 2 이상을 비교하는 단계; 및
    상기 비교하는 단계의 결과들을 사용해, 상기 설계 패턴에 결함이 존재하는지를 판정하는 단계
    를 포함하는 컴퓨터 구현 방법.
  15. 제14항에 있어서,
    상기 다이들은 변조 다이들 및 하나 이상의 기준 다이를 포함하고, 상기 전체 스와스에서의 상기 변조 다이들의 수는 상기 전체 스와스에서의 상기 하나 이상의 기준 다이의 수 이상인 것인 컴퓨터 구현 방법.
  16. 제14항에 있어서,
    상기 다이들은 2 이상의 기준 다이들을 포함하고,
    상기 방법은 상기 2 이상의 기준 다이들의 이미지들로부터 합성 기준 이미지를 발생시키는 단계를 더 포함하며, 상기 비교하는 단계에서 사용되는 상기 이미지들 중 적어도 두 개의 이미지 중 하나의 이미지는 상기 합성 기준 이미지를 포함하는 것인 컴퓨터 구현 방법.
  17. 제14항에 있어서,
    상기 다이들은 변조 다이들 및 하나 이상의 기준 다이를 포함하고, 상기 변조 다이들은, 상기 변조 다이즈들은 상기 변조 다이즈 각각에 대해서는 동일하나, 상기 적어도 하나의 기준 다이가 인쇄된 리소그래프 변수 값과는 상이한 리소그래프 변수 값으로 인쇄되는, 컴퓨터 구현 방법.
  18. 제14항에 있어서,
    상기 다이들은 변조 다이들 및 하나 이상의 기준 다이를 포함하고, 상기 변조 다이들은, 상기 변조 다이즈들은 상기 변조 다이즈 각각에 대해서 상이하며, 상기 적어도 하나의 기준 다이가 인쇄된 리소그래프 변수 값과도 상이한 리소그래프 변수 값으로 인쇄되는 것인, 컴퓨터 구현 방법.
  19. 레티클 위에 형성된 설계 패턴에서의 결함들을 검출하고 분류하기 위한 컴퓨터 구현 방법에 있어서,
    상이한 리소그래피 변수 값들에 대한 상기 레티클의 이미지들을 획득하는 단계;
    상기 이미지들 중 2 이상을 비교하는 단계; 및
    상기 이미지들 중 상기 적어도 두 개의 이미지 안에서의 픽셀 차이가 상이한 리소그래프 변수 값들에 걸쳐서 전형적인 혹은 변칙적인 경향을 따르는지 여부를 결정하는 단계를 포함하는 컴퓨터 구현 방법.
  20. 제19항에 있어서,
    상기 변칙적 경향은 잠재적으로 관련된 결함 위치를 나타내는 것인 컴퓨터 구현 방법.
  21. 제19항에 있어서,
    상기 이미지들은, 상이한 리소그래프 변수 값들에 인쇄된 변조 다이들의 이미지들 및 추가적인 상이한 리소그래프 변수 값을 이용하여 인쇄된 기준 다이의 이미지들을 포함하는 것인 컴퓨터 구현 방법.
  22. 제19항에 있어서,
    상기 획득하는 단계는 상기 레티클을 사용해 웨이퍼에 인쇄된 상기 설계 패턴에 대한 이미지들을 획득하는 단계를 포함하는 것인 컴퓨터 구현 방법.
KR1020137005609A 2004-12-07 2005-12-07 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들 KR101345030B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/005,658 2004-12-07
US11/005,658 US7729529B2 (en) 2004-12-07 2004-12-07 Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
PCT/US2005/044695 WO2006063268A2 (en) 2004-12-07 2005-12-07 Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077014859A Division KR101296532B1 (ko) 2004-12-07 2005-12-07 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들

Publications (2)

Publication Number Publication Date
KR20130028990A true KR20130028990A (ko) 2013-03-20
KR101345030B1 KR101345030B1 (ko) 2013-12-26

Family

ID=36101436

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077014859A KR101296532B1 (ko) 2004-12-07 2005-12-07 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들
KR1020137005609A KR101345030B1 (ko) 2004-12-07 2005-12-07 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020077014859A KR101296532B1 (ko) 2004-12-07 2005-12-07 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들

Country Status (5)

Country Link
US (2) US7729529B2 (ko)
EP (1) EP1820066A2 (ko)
JP (2) JP4955570B2 (ko)
KR (2) KR101296532B1 (ko)
WO (1) WO2006063268A2 (ko)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1745380A (zh) * 2002-11-21 2006-03-08 凸版光掩膜公司 从检查系统向数据库自动传送缺陷图像的系统和方法
KR101056142B1 (ko) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
KR100725170B1 (ko) * 2005-11-15 2007-06-04 삼성전자주식회사 포토마스크의 제작을 위한 시스템 및 방법
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
JP2007178144A (ja) * 2005-12-27 2007-07-12 Advanced Mask Inspection Technology Kk パターン検査装置、パターン検査方法、検査対象試料、及び検査対象試料の管理方法
US8102408B2 (en) * 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
WO2008010017A1 (en) * 2006-07-19 2008-01-24 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
WO2008077100A2 (en) 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
WO2008081227A1 (en) * 2007-01-05 2008-07-10 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4774383B2 (ja) * 2007-05-31 2011-09-14 株式会社日立ハイテクノロジーズ データ処理装置、およびデータ処理方法
CN101681093B (zh) * 2007-06-04 2012-05-30 Asml荷兰有限公司 用于实施基于模型的光刻引导的布局设计的方法
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
CN101785009B (zh) 2007-08-20 2012-10-10 恪纳腾公司 确定实际缺陷是潜在系统性缺陷还是潜在随机缺陷的计算机实现的方法
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8309297B2 (en) 2007-10-05 2012-11-13 Micron Technology, Inc. Methods of lithographically patterning a substrate
US8063908B1 (en) * 2007-11-08 2011-11-22 Nvidia Corporation System, method, and computer program product for validating a graphics processor design
JP5559957B2 (ja) * 2008-03-18 2014-07-23 株式会社日立ハイテクノロジーズ パターン測定方法及びパターン測定装置
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
JP5412169B2 (ja) * 2008-04-23 2014-02-12 株式会社日立ハイテクノロジーズ 欠陥観察方法及び欠陥観察装置
WO2009152046A1 (en) 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8041106B2 (en) * 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8595236B2 (en) * 2009-11-05 2013-11-26 International Business Machines Corporation Searching existing user interfaces to enable design, development and provisioning of user interfaces
JP5275208B2 (ja) * 2009-12-02 2013-08-28 株式会社東芝 半導体装置の製造方法
WO2011083540A1 (ja) * 2010-01-05 2011-07-14 株式会社日立ハイテクノロジーズ Semを用いた欠陥検査方法及び装置
US8559001B2 (en) * 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8571299B2 (en) 2010-08-30 2013-10-29 International Business Machines Corporation Identifying defects
JP5417358B2 (ja) * 2011-02-28 2014-02-12 株式会社日立ハイテクノロジーズ 画像処理装置、及び画像処理を行うためのコンピュータープログラム
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9208552B2 (en) * 2011-04-26 2015-12-08 Kla-Tencor Corporation Method and system for hybrid reticle inspection
US9201022B2 (en) 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8453075B2 (en) 2011-09-02 2013-05-28 International Business Machines Corporation Automated lithographic hot spot detection employing unsupervised topological image categorization
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US20130108146A1 (en) * 2011-11-01 2013-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optical Inspection Using Known Acceptable Dies
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8718353B2 (en) * 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
JP5771561B2 (ja) * 2012-05-30 2015-09-02 株式会社日立ハイテクノロジーズ 欠陥検査方法および欠陥検査装置
US8948495B2 (en) * 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9057965B2 (en) * 2012-12-03 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a set of defect candidates for wafer
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9202763B2 (en) 2013-01-16 2015-12-01 Kabushiki Kaisha Toshiba Defect pattern evaluation method, defect pattern evaluation apparatus, and recording media
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US8984450B2 (en) 2013-03-14 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for extracting systematic defects
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9430824B2 (en) * 2013-05-14 2016-08-30 Kla-Tencor Corporation Machine learning method and apparatus for inspecting reticles
KR102154075B1 (ko) 2013-10-21 2020-09-09 삼성전자주식회사 반도체 소자의 검사 방법 및 반도체 검사 시스템
US9715725B2 (en) * 2013-12-21 2017-07-25 Kla-Tencor Corp. Context-based inspection for dark field inspection
US9430743B2 (en) * 2014-03-06 2016-08-30 Kla-Tencor Corp. Composite defect classifier
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9678442B2 (en) * 2014-05-28 2017-06-13 Applied Materials Israel Ltd. Aerial mask inspection based weak point analysis
US9766186B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Array mode repeater detection
US9766187B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Repeater detection
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10747830B2 (en) * 2014-11-21 2020-08-18 Mesh Labs Inc. Method and system for displaying electronic information
EP3086175B1 (en) 2015-04-22 2022-01-26 IMEC vzw Method for hotspot detection and ranking of a lithographic mask
WO2016192865A1 (en) 2015-06-05 2016-12-08 Asml Netherlands B.V. Alignment system
US9673022B2 (en) * 2015-07-13 2017-06-06 Applied Materials Israel Ltd. Review of suspected defects using one or more reference dies
US10140698B2 (en) * 2015-08-10 2018-11-27 Kla-Tencor Corporation Polygon-based geometry classification for semiconductor mask inspection
US9576772B1 (en) * 2015-08-31 2017-02-21 Fei Company CAD-assisted TEM prep recipe creation
US10304178B2 (en) * 2015-09-18 2019-05-28 Taiwan Semiconductor Manfacturing Company, Ltd. Method and system for diagnosing a semiconductor wafer
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
CN108475351B (zh) 2015-12-31 2022-10-04 科磊股份有限公司 用于训练基于机器学习的模型的系统和计算机实施方法
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
KR102483787B1 (ko) * 2016-02-25 2023-01-04 에스케이하이닉스 주식회사 반도체 장치의 결함 모델링 장치 및 방법, 이를 위한 컴퓨터 프로그램과, 이를 이용한 반도체 장치의 결함 검사 시스템
US10340165B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation Systems and methods for automated multi-zone detection and modeling
US10151706B1 (en) * 2016-04-07 2018-12-11 Kla-Tencor Corp. Inspection for specimens with extensive die to die process variation
US9984454B2 (en) * 2016-04-22 2018-05-29 Kla-Tencor Corporation System, method and computer program product for correcting a difference image generated from a comparison of target and reference dies
US9940705B2 (en) * 2016-05-04 2018-04-10 Kla-Tencor Corporation System, method and computer program product for detecting defects in a fabricated target component using consistent modulation for the target and reference components
US10192302B2 (en) * 2016-05-25 2019-01-29 Kla-Tencor Corporation Combined patch and design-based defect detection
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
KR102599657B1 (ko) 2016-08-17 2023-11-08 삼성전자주식회사 반도체 웨이퍼 검사 방법 및 시스템, 및 이를 이용한 반도체 소자의 제조 방법
US10190991B2 (en) * 2016-11-03 2019-01-29 Applied Materials Israel Ltd. Method for adaptive sampling in examining an object and system thereof
US10679909B2 (en) * 2016-11-21 2020-06-09 Kla-Tencor Corporation System, method and non-transitory computer readable medium for tuning sensitivies of, and determining a process window for, a modulated wafer
US10102615B2 (en) * 2016-12-14 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for detecting hotspots in semiconductor wafer
TWI660249B (zh) * 2017-01-18 2019-05-21 荷蘭商Asml荷蘭公司 缺陷圖案分組方法及系統
KR102468184B1 (ko) * 2017-01-18 2022-11-17 에이에스엠엘 네델란즈 비.브이. 결함 검토를 위한 정보 추천
TWI688761B (zh) * 2017-01-18 2020-03-21 荷蘭商Asml荷蘭公司 缺陷顯示方法
TWI751329B (zh) * 2017-05-15 2022-01-01 美商克萊譚克公司 用於重複缺陷分析之相對缺陷位置之高精準度
US10365232B2 (en) 2017-05-15 2019-07-30 Kla-Tencor Corp. High accuracy of relative defect locations for repeater analysis
US10957033B2 (en) * 2017-07-10 2021-03-23 Kla-Tencor Corporation Repeater defect detection
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
US11037286B2 (en) * 2017-09-28 2021-06-15 Applied Materials Israel Ltd. Method of classifying defects in a semiconductor specimen and system thereof
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
US10725454B2 (en) 2018-11-12 2020-07-28 International Business Machines Corporation Mask process aware calibration using mask pattern fidelity inspections
JP2022043365A (ja) * 2018-11-19 2022-03-16 株式会社日立ハイテク 検査装置、検査方法、欠陥検出プログラム
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
US11953448B2 (en) * 2019-09-27 2024-04-09 Taiwan Semiconductor Manufacturing Company Ltd. Method for defect inspection
WO2021074944A1 (ja) * 2019-10-15 2021-04-22 株式会社日立ハイテク 欠陥検査方法及び欠陥検査装置
US11557031B2 (en) * 2019-11-21 2023-01-17 Kla Corporation Integrated multi-tool reticle inspection
US11328411B2 (en) * 2020-05-04 2022-05-10 KLA Corp. Print check repeater defect detection
US11728192B2 (en) * 2021-07-22 2023-08-15 Globalfoundries U.S. Inc. Refining defect detection using process window
CN113570604B (zh) * 2021-09-28 2022-01-14 武汉精创电子技术有限公司 晶粒检测样本自动生成方法和装置
US20230131950A1 (en) * 2021-10-25 2023-04-27 Applied Materials Israel Ltd. Mask inspection for semiconductor specimen fabrication
US20230175983A1 (en) * 2021-12-08 2023-06-08 Kla Corporation Process window qualification modulation layouts
CN116819906B (zh) * 2023-08-25 2023-11-28 深圳国微福芯技术有限公司 设计规则检查方法、光学临近修正方法

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4347001A (en) * 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US5204910A (en) * 1991-05-24 1993-04-20 Motorola, Inc. Method for detection of defects lacking distinct edges
US5544256A (en) * 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5694478A (en) * 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
JPH08272078A (ja) * 1995-03-31 1996-10-18 Seiko Epson Corp パターンの検査方法及び検査装置
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
JPH09211840A (ja) * 1996-02-05 1997-08-15 Matsushita Electric Ind Co Ltd レチクルの検査方法及び検査装置並びにパターンの検査方法及び検査装置
US6292582B1 (en) * 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6076465A (en) * 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
US6731787B1 (en) * 1996-09-20 2004-05-04 Kla-Tencor Corporation System and method for determining reticle defect printability
US6122397A (en) * 1997-07-03 2000-09-19 Tri Path Imaging, Inc. Method and apparatus for maskless semiconductor and liquid crystal display inspection
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6097887A (en) * 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) * 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6330353B1 (en) * 1997-12-18 2001-12-11 Siemens Corporate Research, Inc. Method of localization refinement of pattern images using optical flow constraints
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP2000181045A (ja) 1998-12-10 2000-06-30 Matsushita Electronics Industry Corp パターン補正方法
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
US6327033B1 (en) 1999-06-21 2001-12-04 International Business Machines Corporation Detection of phase defects on photomasks by differential imaging
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) * 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) * 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6836560B2 (en) * 2000-11-13 2004-12-28 Kla - Tencor Technologies Corporation Advanced phase shift inspection method
DE10103061B4 (de) * 2001-01-24 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Inspektion der Tiefe einer Öffnung in einer dielektrischen Materialschicht
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP4663214B2 (ja) * 2001-03-20 2011-04-06 シノプシイス インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
US7171033B2 (en) * 2001-03-28 2007-01-30 The Boeing Company System and method for identifying defects in a composite structure
US7072502B2 (en) 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US20020186878A1 (en) * 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
ATE454016T1 (de) * 2001-09-12 2010-01-15 Panasonic Corp Bildkodierungs- und biddekodierungsverfahren
JP3904419B2 (ja) * 2001-09-13 2007-04-11 株式会社日立製作所 検査装置および検査システム
JP3629244B2 (ja) * 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7418124B2 (en) * 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7085408B1 (en) * 2002-07-16 2006-08-01 Magna Chip Semiconductor Method and system for testing image sensor system-on-chip
JP3978098B2 (ja) * 2002-08-12 2007-09-19 株式会社日立製作所 欠陥分類方法及びその装置
US6871684B2 (en) * 2002-08-13 2005-03-29 The Boeing Company System for identifying defects in a composite structure
JP3808817B2 (ja) * 2002-09-05 2006-08-16 株式会社東芝 マスク欠陥検査方法、半導体装置の製造方法、マスク欠陥検査装置、欠陥影響度マップ作成方法およびプログラム
KR100486270B1 (ko) * 2002-10-07 2005-04-29 삼성전자주식회사 웨이퍼 상의 임계 선폭을 제어할 수 있는 포토 마스크제조 방법, 이에 의한 포토 마스크 및 이를 이용한 노광방법
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) * 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7508973B2 (en) * 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US7221788B2 (en) * 2003-07-01 2007-05-22 Infineon Technologies Ag Method of inspecting a mask or reticle for detecting a defect, and mask or reticle inspection system
US6947588B2 (en) * 2003-07-14 2005-09-20 August Technology Corp. Edge normal process
US7236625B2 (en) * 2003-07-28 2007-06-26 The Boeing Company Systems and method for identifying foreign objects and debris (FOD) and defects during fabrication of a composite structure
DE10352639B4 (de) * 2003-11-11 2007-03-01 Infineon Technologies Ag Verfahren zur dynamischen Kontrolle eines Reticles
US7289656B2 (en) * 2003-12-02 2007-10-30 The Boeing Company Systems and methods for determining inconsistency characteristics of a composite structure
US7243331B2 (en) * 2004-01-28 2007-07-10 Applied Materials, Israel, Ltd. Method and system for controlling the quality of a reticle
JP4705104B2 (ja) * 2004-08-09 2011-06-22 ブラッコ・シュイス・ソシエテ・アノニム 複数のマスクに基づく医療画像処理のためのイメージ登録方法および装置
US7142992B1 (en) * 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
WO2006044426A2 (en) * 2004-10-12 2006-04-27 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for classifying defects on a specimen
US7379847B1 (en) 2004-10-18 2008-05-27 Kla-Tencor Corporation High bandwidth image transfer
US7440640B1 (en) 2004-10-18 2008-10-21 Kla-Tencor Corporation Image data storage
US7181368B1 (en) 2004-10-18 2007-02-20 Kla-Tencor Technologies Corporation Status polling
US7076390B1 (en) 2004-10-18 2006-07-11 Kla-Tencor Technologies Corporation Memory load balancing
US7382940B1 (en) 2004-10-18 2008-06-03 Kla-Tencor Corporation Fast bus image coprocessing
US7602958B1 (en) 2004-10-18 2009-10-13 Kla-Tencor Corporation Mirror node process verification
US7149642B1 (en) 2004-10-18 2006-12-12 Kla-Tencor Technologies Corporation Programmable image computer
US7024339B1 (en) 2004-10-18 2006-04-04 Kla-Tencor Technologies Corporation Full swath analysis
US7555409B1 (en) 2004-10-18 2009-06-30 Kla-Tencor Corporation Daisy chained topology
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (ja) * 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
US7804993B2 (en) * 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) * 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7369236B1 (en) * 2006-10-31 2008-05-06 Negevtech, Ltd. Defect detection through image comparison using relative measures

Also Published As

Publication number Publication date
WO2006063268A3 (en) 2006-08-03
JP2012015529A (ja) 2012-01-19
JP4955570B2 (ja) 2012-06-20
EP1820066A2 (en) 2007-08-22
US8111900B2 (en) 2012-02-07
US20060291714A1 (en) 2006-12-28
KR101345030B1 (ko) 2013-12-26
US20100226562A1 (en) 2010-09-09
WO2006063268A2 (en) 2006-06-15
JP5567527B2 (ja) 2014-08-06
JP2008523395A (ja) 2008-07-03
KR20070091633A (ko) 2007-09-11
US7729529B2 (en) 2010-06-01
WO2006063268B1 (en) 2007-07-26
KR101296532B1 (ko) 2013-08-13

Similar Documents

Publication Publication Date Title
KR101345030B1 (ko) 레티클의 설계 패턴에서의 결함들을 검출 및/또는 분류하기 위한 컴퓨터 구현 방법들
US10713771B2 (en) Methods and systems for inspection of wafers and reticles using designer intent data
JP6437146B2 (ja) システム的欠陥フィルターによるレチクル欠陥検査
JP4637114B2 (ja) レチクル・レイアウト・データをシミュレートし、レチクル・レイアウト・データを検査し、レチクル・レイアウト・データの検査プロセスを生成する方法
JP4597859B2 (ja) マイクロリソグラフパターンの製作におけるパターンの認定、パターン形成プロセス、又はパターン形成装置
US7760347B2 (en) Design-based method for grouping systematic defects in lithography pattern writing system
US20140043467A1 (en) Defect inspection apparatus
KR20010003507A (ko) 포토마스크 검사방법
Garcia et al. Energy flux method for inspection of contact and VIA layer reticles

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161207

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171208

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181206

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191211

Year of fee payment: 7