KR20120037421A - Arrangement for identifying uncontrolled events at the process module level and methods thereof - Google Patents

Arrangement for identifying uncontrolled events at the process module level and methods thereof Download PDF

Info

Publication number
KR20120037421A
KR20120037421A KR1020117031592A KR20117031592A KR20120037421A KR 20120037421 A KR20120037421 A KR 20120037421A KR 1020117031592 A KR1020117031592 A KR 1020117031592A KR 20117031592 A KR20117031592 A KR 20117031592A KR 20120037421 A KR20120037421 A KR 20120037421A
Authority
KR
South Korea
Prior art keywords
data
module
fast
fast transient
transient
Prior art date
Application number
KR1020117031592A
Other languages
Korean (ko)
Other versions
KR101741274B1 (en
Inventor
뤽 알바르드
비자야쿠마르 씨 베누고팔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/555,674 external-priority patent/US8983631B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120037421A publication Critical patent/KR20120037421A/en
Application granted granted Critical
Publication of KR101741274B1 publication Critical patent/KR101741274B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

기판 프로세싱 동안에 프로세싱 챔버 내에서 인시츄 고속 과도 이벤트를 검출하는 방법이 제공된다. 본 방법은 제 1 데이터 세트가 잠재적 인시츄 고속 과도 이벤트를 포함하는지를 결정하기 위해 기준 세트 (인시츄 고속 과도 이벤트) 와 데이트 세트를 비교하는 센서들의 세트를 포함한다. 제 1 데이터 세트가 잠재적 인시츄 고속 과도 이벤트를 포함하면, 본 방법은 또한 잠재적 인시츄 고속 과도 이벤트가 발생하는 기간 동안에 발생하는 전기 시그너처 (electrical signature) 를 저장 (saving) 하는 것을 포함한다. 본 방법은 저장된 아크 시그너처들의 세트에 대하여 전기 시그너처를 비교하는 것을 더 포함한다. 본 방법은 또한, 매치 (match) 가 결정되면, 전기 시그너처를 제 1 인시츄 고속 과도 이벤트로서 분류하고, 임계 범위들의 미리 정의된 세트에 기초하여 제 1 인시츄 고속 과도 이벤트에 대한 심각도 레벨을 결정하는 것을 더 포함한다.A method is provided for detecting an in situ fast transient event in a processing chamber during substrate processing. The method includes a set of sensors comparing the data set with a reference set (in-situ fast transient event) to determine whether the first data set includes a potential in-situ fast transient event. If the first data set includes a potential in situ fast transient event, the method also includes saving an electrical signature that occurs during the period of the potential in situ fast transient event. The method further includes comparing the electrical signature against a set of stored arc signatures. The method also classifies the electrical signature as a first incidence fast transient event, if a match is determined, and determines a severity level for the first incidence fast transient event based on a predefined set of threshold ranges. More to do.

Description

프로세스 모듈 레벨에서 제어되지 않은 이벤트들을 식별하는 장치 및 그 방법{ARRANGEMENT FOR IDENTIFYING UNCONTROLLED EVENTS AT THE PROCESS MODULE LEVEL AND METHODS THEREOF}An apparatus and method for identifying uncontrolled events at the process module level {ARRANGEMENT FOR IDENTIFYING UNCONTROLLED EVENTS AT THE PROCESS Modules

플라즈마 프로세싱에서의 향상은 반도체 산업의 성장을 제공하여 왔다. 경쟁을 위하여, 제조사들은 품질있는 반도체 디바이스로 기판을 프로세싱할 수 있는 것이 필요하다. 일반적으로, 기판 프로세싱 동안에 만족스러운 결과를 달성하기 위해서는, 프로세스 파라미터들의 정밀한 제어가 요구된다. 프로세싱 파라미터들 (예를 들어, RF 전력, 압력, 바이어스 전압, 이온 플럭스, 플라즈마 밀도, 등) 이 미리 정의된 윈도우 밖의 범위에 드는 경우, 원하지 않는 프로세싱 결과들 (예를 들어, 열등한 에치 프로파일, 낮은 선택도 (selectivity), 기판에 대한 손상, 프로세싱 챔버에 대한 손상 등) 이 발생할 수 있다. 따라서, 프로세싱 파라미터들이 미리 정의된 윈도우 밖에 있는 경우의 상태들을 식별하는 능력이 반도체 디바이스들의 제조에 있어 중요하다.Improvements in plasma processing have provided growth for the semiconductor industry. To compete, manufacturers need to be able to process substrates with quality semiconductor devices. In general, precise control of process parameters is required to achieve satisfactory results during substrate processing. If processing parameters (eg, RF power, pressure, bias voltage, ion flux, plasma density, etc.) fall outside of a predefined window, unwanted processing results (eg, inferior etch profile, low Selectivity, damage to the substrate, damage to the processing chamber, etc.) may occur. Thus, the ability to identify states when processing parameters are outside a predefined window is important for the fabrication of semiconductor devices.

기판 프로세싱 동안에, 기판에 손상을 줄 수 있거나 및/또는 프로세싱 챔버 컴포넌트들에 손상을 줄 수 있는 특정의 제어되지 않은 이벤트들이 발생할 수 있다. 제어되지 않은 이벤트들을 식별하기 위하여, 기판 프로세싱 동안에 데이터를 수집할 수 있다. 센서와 같은 모니터링 디바이스를 채용하여, 기판 프로세싱 동안에 (바이어스 전압, 반사 전력, 압력 등과 같은) 다양한 프로세스 파라미터들에 대한 데이터를 수집할 수 있다. 본 명세서에 설명된 바와 같이, 센서는 플라즈마 프로세싱 컴포넌트의 상태들 및/또는 신호들을 검출하기 위해 채용될 수 있는 디바이스를 의미한다. 쉬운 설명을 위하여, 용어 "컴포넌트"는 프로세싱 챔버 내의 원자적 (atomic) 또는 다중 부품의 어셈블리를 의미하는데 이용될 수 있다.During substrate processing, certain uncontrolled events may occur that may damage the substrate and / or damage processing chamber components. To identify uncontrolled events, data can be collected during substrate processing. A monitoring device such as a sensor may be employed to collect data for various process parameters (such as bias voltage, reflected power, pressure, etc.) during substrate processing. As described herein, a sensor refers to a device that can be employed to detect the states and / or signals of a plasma processing component. For ease of explanation, the term "component" can be used to mean an assembly of atomic or multiple components within a processing chamber.

센서들에 의해 제어되고 있는 데이터의 유형 및 양이 최근 증가하고 있다. 프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터 (챔버 이벤트 데이터) 와 관련하여 센서들에 의해 제어되는 데이터를 분석함으로써, 미리 정의된 윈도우 밖에 있는 파라미터들을 식별할 수 있다. 따라서, (레시피 조정과 같은) 정정 액션 (corrective action) 을 제공하여, 제어되지 않은 이벤트(들)을 중단할 수 있고, 이에 의해 기판 및/또는 프로세싱 챔버 컴포넌트에 추가의 손상이 발생하는 것을 방지할 수 있다.The type and amount of data being controlled by sensors has recently increased. By analyzing the data controlled by the sensors with respect to process module data and process context data (chamber event data), parameters outside the predefined window can be identified. Thus, a corrective action (such as recipe adjustment) can be provided to stop uncontrolled event (s), thereby preventing further damage to the substrate and / or processing chamber component. Can be.

본 발명은 첨부된 도면부의 도면들을 통하여 비제한적으로 예를 들어 설명되며, 도면 내에서 같은 도면 부호는 동일한 요소를 의미한다.
도 1 은 호스트 레벨 분석 서버를 가진 상호접속 툴 환경의 종래 기술의 전체적인 로직 도를 나타낸다.
도 2 는 센서와 프로세스 모델 제어기 사이의 데이터를 상관시키기 위한 클러스터 툴 레벨 솔루션을 가진 상호접속 툴 환경의 단순 블록도를 나타낸다.
도 3 은 본 발명의 일 실시형태에서, 프로세스 레벨 중재 아키텍쳐 (process-level troubleshooting architecture) 의 단순 로직 개략도를 나타낸다.
도 4 는 본 발명의 일 실시형태에서, 프로세스 모듈 레벨 분석 서버의 단순 기능도를 나타낸다.
도 5 는 마이크로 아킹 이벤트의 간략도를 나타낸다.
도 6a 및 도 6b 는 본 발명의 실시형태들에서, 프로세싱 환경의 단순 블록도를 나타낸다.
도 7 은 본 발명의 일 실시형태에서, 고속 샘플링 과도 검출 알고리즘이 분석 모듈의 일부가 아닌 제조 환경 내의 실시간 고속 과도 이벤트를 검출하는 방법을 설명하는 단순 플로우 차트를 나타낸다.
The invention is illustrated by way of example and not by way of limitation in the figures of the accompanying drawings in which like reference numerals refer to like elements.
1 illustrates an overall logic diagram of a prior art of an interconnect tool environment with a host level analysis server.
2 shows a simple block diagram of an interconnect tool environment with a cluster tool level solution for correlating data between a sensor and a process model controller.
3 shows a simple logic schematic of a process-level troubleshooting architecture, in one embodiment of the invention.
4 shows a simple functional diagram of a process module level analysis server, in one embodiment of the invention.
5 shows a simplified diagram of a microarking event.
6A and 6B show a simple block diagram of a processing environment in embodiments of the present invention.
FIG. 7 illustrates a simple flow chart in one embodiment of the present invention illustrating how a fast sampling transient detection algorithm detects a real time fast transient event in a manufacturing environment that is not part of an analysis module.

이하, 본 발명은 첨부된 도면에서 나타낸 본 발명의 수개의 실시형태들을 참조로 자세히 설명될 것이다. 다음 설명에서, 다수의 특정 세부 사항은 본 발명의 철저한 이해를 제공하기 위해 기술된다. 그러나, 당해 기술 분야의 당업자에게는 이들 특정 세부 사항의 일부 또는 전부 없이도 본 발명이 실시될 수 있음이 명백하다. 다른 예에서, 본 발명을 불필요하게 모호하게 하는 것을 피하기 위하여 잘 알려진 프로세스 단계 및/또는 구조를 설명하지 않았다.The invention will now be described in detail with reference to several embodiments of the invention shown in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and / or structures have not been described in order to avoid unnecessarily obscuring the present invention.

이하, 방법들 및 기술들을 포함한 다양한 실시형태들이 설명된다. 본 발명은 또한, 본 발명의 기술의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들이 저장되어 있는 컴퓨터 판독가능 매체를 포함한 제조 물품을 포함할 수 있음을 유념해야 한다. 컴퓨터 판독가능 매체는 예를 들어, 컴퓨터 판독가능 코드를 저장하기 위한, 반도체, 자기, 광자기, 광학 또는 다른 형태의 컴퓨터 판독가능 매체를 포함할 수 있다. 추가로, 본 발명은 또한, 본 발명의 실시형태들을 실시하기 위한 장치들을 포함할 수도 있다. 이러한 장치는 본 발명의 실시형태들에 관한 작업들을 수행하는 전용의 및/또는 프로그래밍가능한 회로들을 포함할 수 있다. 이러한 장치의 예들은 적절하게 프로그래밍된 경우 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하며, 본 발명의 실시형태들에 관한 다양한 작업들을 위해 구성된 컴퓨터/컴퓨팅 디바이스 및/또는 전용/프로그래밍가능 회로의 조합을 포함할 수도 있다.Hereinafter, various embodiments are described, including methods and techniques. It should be noted that the present invention may also include an article of manufacture including a computer readable medium having computer readable instructions stored thereon for carrying out embodiments of the present technology. Computer readable media may include, for example, semiconductor, magnetic, magneto-optical, optical or other forms of computer readable media for storing computer readable code. In addition, the present invention may also include apparatuses for practicing embodiments of the present invention. Such an apparatus may include dedicated and / or programmable circuits for performing tasks relating to embodiments of the present invention. Examples of such apparatus include a general purpose computer and / or a dedicated computing device, if properly programmed, and include a combination of a computer / computing device and / or a dedicated / programmable circuit configured for various tasks in accordance with embodiments of the present invention. It may also include.

상술한 바와 같이, 경쟁력을 얻기 위하여 제조자들은 기판 프로세싱 동안에 발생할 수 있는 문제들을 효율적이고 효과적으로 중재할 수 있어야 한다. 중재는 일반적으로 프로세싱 동안 수집된 과다한 데이터를 분석하는 것을 포함한다. 용이한 설명을 위하여, 도 1 은 호스트 레벨 분석 서버를 가진 상호접속 툴 환경의 종래 기술의 전체적인 로직 도를 나타낸다.As mentioned above, to be competitive, manufacturers must be able to efficiently and effectively mediate problems that may arise during substrate processing. Arbitration generally involves analyzing the excess data collected during processing. For ease of explanation, FIG. 1 shows an overall logic diagram of the prior art of an interconnect tool environment with a host level analysis server.

예를 들어, 제조사들이 (에치 툴, 클리닝 툴, 스트립 툴 등과 같이) 하나 이상의 클러스터 툴들을 가질 수 있는 상황을 고려하여 본다. 각각의 클러스터 툴은 복수의 프로세싱 모듈을 가질 수 있으며, 각각의 프로세싱 모듈은 하나 이상의 특정 프로세스들을 위하여 구성된다. 각각의 클러스터 툴은 CTC (cluster tool controller) (104), CTC (106) 및 CTC (108) 와 같은 CTC 에 의해 제어될 수 있다. 각각의 클러스터 툴 제어기는 PMC (process module controller)(110, 112, 114 및 116) 와 같은 하나 이상의 PMC 와 상호작용할 수 있다. 쉬운 설명을 위하여, PMC (110) 와 관련한 예가 제공된다.For example, consider the situation where manufacturers may have one or more cluster tools (such as etch tools, cleaning tools, strip tools, etc.). Each cluster tool may have a plurality of processing modules, each processing module configured for one or more specific processes. Each cluster tool may be controlled by a CTC such as a cluster tool controller (CTC) 104, a CTC 106, and a CTC 108. Each cluster tool controller may interact with one or more PMCs, such as process module controllers (PMCs) 110, 112, 114, and 116. For ease of explanation, examples in connection with the PMC 110 are provided.

중재 (intervention) 를 필요로 할 수 있는 상태들을 식별하기 위하여, 센서들을 채용하여 기판 프로세싱 동안에 프로세싱 파라미터들에 대한 데이터 (센싱된 데이터) 를 수집할 수 있다. 일례에서, 기판 프로세싱 동안에, (센서들 (118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 및 140) 과 같은) 복수의 센서들은 하나 이상의 프로세싱 파라미터들에 대한 데이터를 수집하기 위해 프로세스 모듈 제어기와 상호작용할 수 있다. 이용가능할 수 있는 센서들의 유형은 수집될 수 있는 데이터의 유형에 의존할 수 있다. 예를 들어, 센서 (118) 는 전압 데이터를 수집하도록 구성될 수 있다. 다른 예에서, 센서 (120) 는 압력 데이터를 수집하도록 구성될 수 있다. 일반적으로, 프로세스 모듈로부터 데이터를 수집하는데 채용될 수 있는 센서들은 상이한 브랜드, 메이크 및/또는 모듈로 이루어질 수 있다. 그 결과, 센서는 다른 센서와 상호작용을 거의 또는 전혀 하지 않을 수도 있다.In order to identify states that may require intervention, sensors may be employed to collect data about the processing parameters (sensed data) during substrate processing. In one example, during substrate processing, a plurality of sensors (such as sensors 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, and 140) are configured for one or more processing parameters. Interact with the process module controller to collect data. The type of sensors that may be available may depend on the type of data that can be collected. For example, sensor 118 can be configured to collect voltage data. In another example, sensor 120 can be configured to collect pressure data. In general, sensors that can be employed to collect data from a process module can be of different brands, make and / or modules. As a result, the sensor may have little or no interaction with other sensors.

일반적으로, 센서는 하나 이상의 특정 파라미터들에 대한 측정 데이터를 수집하도록 구성된다. 대부분의 센서들이 프로세싱을 수행하도록 구성되지 않기 때문에, 각각의 센서는 (컴퓨터, 사용자 인터페이스 등과 같은) 컴퓨팅 모듈에 연결될 수 있다. 컴퓨팅 모듈은 일반적으로, 아날로그 데이터를 프로세싱하여 미가공 아날로그 데이터 (raw analog data) 를 디지털 포맷으로 변환하도록 구성된다.In general, the sensor is configured to collect measurement data for one or more specific parameters. Since most sensors are not configured to perform processing, each sensor can be connected to a computing module (such as a computer, user interface, etc.). The computing module is generally configured to process analog data to convert raw analog data into a digital format.

일 실시예에서, 센서 (118) 는 PMC (110) 로부터 센서 케이블 (144) 을 통하여 전압 데이터를 수집한다. 센서 (118) 에 의해 수신된 아날로그 전압 데이터는 컴퓨팅 모듈 (118b) 에 의해 프로세싱된다. 센서들에 의해 수집된 데이터는 (데이터 박스 (142) 와 같은) 호스트 레벨 분석 서버에 전송된다. 네트워크 접속을 통하여 데이터 박스 (142) 를 향하여 데이터를 전송하기 전에, 데이터는 먼저 컴퓨팅 모듈에 의해 아날로그 포맷으로부터 디지털 포맷으로 변환된다. 일 실시예에서, 컴퓨팅 모듈 (118b) 은 네트워크 경로 (146) 를 통하여 데이터 박스 (142) 로 데이터를 전송하기 전에, 센서 (118) 에 의해 수집된 아날로그 데이터를 디지털 포맷으로 변환한다.In one embodiment, sensor 118 collects voltage data from sensor PMC 110 via sensor cable 144. Analog voltage data received by sensor 118 is processed by computing module 118b. Data collected by the sensors is sent to a host level analysis server (such as data box 142). Before transferring data towards data box 142 via a network connection, the data is first converted from analog format to digital format by the computing module. In one embodiment, the computing module 118b converts the analog data collected by the sensor 118 into a digital format before transmitting data to the data box 142 via the network path 146.

데이터 박스 (142) 는 프로세스 모듈들 및 센서들을 포함한 복수의 소스로부터 데이터를 수집, 프로세싱 및 분석하도록 구성된 중앙 집중형 분석 서버일 수 있다. 일반적으로, 하나의 데이터 박스는 단일의 제조사의 클러스터 툴들 모두에 의한 기판 프로세싱 동안에 수집된 데이터를 프로세싱하도록 이용가능할 수 있다.Data box 142 may be a centralized analysis server configured to collect, process, and analyze data from a plurality of sources, including process modules and sensors. In general, one data box may be available to process data collected during substrate processing by all of the cluster tools of a single manufacturer.

데이터 박스 (142) 로 전달될 수 있는 데이터의 실제량은 센서들에 의해 수집된 양보다 상당히 작을 수 있다. 일반적으로, 센서는 대량의 데이터를 수집할 수 있다. 일 실시예에서, 센서는 초당 1 메가바이트까지의 레이트로 데이터를 수집할 수 있다. 그러나, 센서들에 의해 수집된 데이터의 일부분만이 데이터 박스 (142) 에 전송된다.The actual amount of data that can be delivered to the data box 142 can be significantly less than the amount collected by the sensors. In general, sensors can collect large amounts of data. In one embodiment, the sensor may collect data at rates up to 1 megabyte per second. However, only a portion of the data collected by the sensors is sent to data box 142.

센서들에 의해 수집된 전체 데이터 스트림들을 데이터 박스 (142) 로 전송하는 것이 아닌 한가지 이유는 비용효과적이고 상업적으로 이용가능한 통신 프로토콜들을 이용하는 경우의 네트워크 대역폭 제한 때문이다. 데이터 박스 (142) 로의 네트워크 파이프라인은 (데이터 박스 (142) 와 같은) 단일의 수신기에 전송되는, (센서들 (118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138 및 140) 과 같은) 복수의 소스들로부터의 큰 볼륨의 데이터를 처리할 수 없다. 즉, 센서 장치들 (센서 및 컴퓨팅 모듈) 과 데이터 박스 (142) 사이의 네트워크 경로는 데이터 박스 (142) 가 모든 센서 장치들로부터 발생하는 대량의 데이터를 수신하려 시도할 때 보다 많은 트래픽 혼잡을 경험할 수 있다. 상기 설명으로부터 이해될 수 있는 바와 같이, 데이터 박스 (142) 가 들어오는 트래픽을 처리할 수 없다면, 전송중인 데이터 패킷은 누락될 수 있고, 재전송될 필요가 있을 수 있으며, 이에 의해 이미 심하게 혼잡한 네트워크 파이프라인 상에 추가 부담을 가할 수 있다.One reason for not sending the entire data streams collected by the sensors to the data box 142 is due to network bandwidth limitations when using cost-effective and commercially available communication protocols. The network pipeline to data box 142 is sent to a single receiver (such as data box 142) (sensors 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, Unable to process large volumes of data from multiple sources (such as 138 and 140). In other words, the network path between the sensor devices (sensor and computing module) and the data box 142 may experience more traffic congestion when the data box 142 attempts to receive a large amount of data originating from all sensor devices. Can be. As can be appreciated from the above description, if the data box 142 cannot handle incoming traffic, the data packet in transit may be missing and may need to be retransmitted, thereby congesting the already heavily congested network pipe. Additional burden may be placed on the line.

추가로, 데이터 박스 (142) 는 다수의 소스들로부터 들어오는 높은 볼륨의 데이터를 처리할 수 없을 수도 있는 동시에, 데이터를 프로세싱하고 분석하는 것과 같은 다른 중요한 기능들도 수행할 수 없다. 상술한 바와 같이, 데이터 박스 (142) 는 들어오는 데이터 패킷들을 수신하도록 구성될 뿐만 아니라 데이터 박스 (142) 는 또한, 예를 들어 들어오는 데이터 스트림 모두를 프로세싱 또는 분석하도록 구성된다. 데이터 박스 (142) 는 수집되고 있는 상이한 데이터 스트림들에 대한 분석 서버이기 때문에, 데이터 박스 (142) 는 과다한 데이터 스트림들에 대한 분석을 수행하기에 충분한 프로세싱 능력을 필요로 한다.In addition, data box 142 may not be able to process the high volume of data coming from multiple sources, while also performing other important functions such as processing and analyzing the data. As described above, the data box 142 is not only configured to receive incoming data packets, but the data box 142 is also configured to process or analyze all of the incoming data streams, for example. Since data box 142 is an analysis server for the different data streams being collected, data box 142 needs sufficient processing power to perform analysis on excess data streams.

데이터 박스 (142) 는 제한된 프로세싱 리소스를 갖기 때문에, 각각의 센서로부터 수집된 데이터의 일부분만이 데이터 박스 (142) 에 전송된다. 일 실시예에서, 단일의 센서에 의해 수집될 수 있는 수천개의 데이터 항목 중에서, 1 내지 5 헤르쯔에서 10 개 내지 15 개의 데이터 항목만이 데이터 박스 (142) 에 전달될 수 있다. 일 실시예에서, 센서 (118) 에 의해 수집된 데이터의 요약본만이 데이터 박스 (142) 에 전송될 수 있다.Because data box 142 has limited processing resources, only a portion of the data collected from each sensor is sent to data box 142. In one embodiment, of the thousands of data items that may be collected by a single sensor, only 10-15 data items at 1-5 hertz may be delivered to the data box 142. In one embodiment, only a summary of the data collected by the sensor 118 may be sent to the data box 142.

복수의 센서로부터 데이터를 수신하는 것에 더하여, 데이터 박스 (142) 는 또한, 프로세스 모듈 제어기로부터 데이터를 수신할 수도 있다. 일 실시예에서, 프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터 (챔버 이벤트 데이터) 는 각각의 프로세스 모듈 제어기에 의해 수집되어 데이터 박스 (142) 에 전달될 수 있다. 쉬운 설명을 위하여, 프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터는 또한 프로세스 모듈 및 챔버 데이터로서 불릴 수도 있다. 예를 들어, 프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터는 PMC (110) 에 의해 수집되어 경로 (148) 를 통하여 CTC (104) 에 전송될 수도 있다. CTC (104) 는 PMC (110) 로부터의 데이터를 관리할 뿐만 아니라 (PMC (112), PMC (114), 및 PMC (116) 와 같이) 클러스터 툴 내에서 다른 프로세싱 모듈 제어기로부터의 데이터를 처리할 수도 있다.In addition to receiving data from the plurality of sensors, data box 142 may also receive data from a process module controller. In one embodiment, process module data and process context data (chamber event data) may be collected by each process module controller and delivered to data box 142. For easy explanation, process module data and process context data may also be referred to as process module and chamber data. For example, process module data and process context data may be collected by PMC 110 and sent to CTC 104 via path 148. The CTC 104 not only manages data from the PMC 110 but also processes data from other processing module controllers within the cluster tool (such as the PMC 112, the PMC 114, and the PMC 116). It may be.

그 후, 클러스터 툴 제어기에 의해 수집된 데이터는 SECS/GEM (semiconductor equipment communication standard/generic equipment module) 인터페이스를 통하여 반도체 장비 팹 호스트 (102) 에 전송된다. 일 실시예에서, CTC (104) 는 PMC들 (110, 112, 114, 및/또는 116) 로부터 수집된 데이터를 SECS/GEM (156) 를 통하여 경로 (150) 를 통해 팹 호스트 (102) 로 전송한다. 팹 호스트 (102) 는 CTC (104) 로부터 데이터를 수신할 수 있을 뿐만 아니라, 예를 들어, CTC들 (106 및 108) 과 같은 다른 클러스터 툴 제어기들로부터도 또한 데이터를 수신할 수 있다. 그 후, 팹 호스트 (102) 에 의해 수집된 데이터는 경로 (158) 를 통하여 데이터 박스 (142) 에 전달된다. 수집된 데이터의 엄청난 양으로 인해, 팹 호스트 (102) 로 전송된 데이터가 데이터 박스 (142) 로 모두 전달되는 것은 아니다. 많은 경우에, 데이터의 요약본만이 데이터 박스 (142) 에 전송될 수도 있다.The data collected by the cluster tool controller is then sent to the semiconductor equipment fab host 102 via the SEMS / GEM (semiconductor equipment communication standard / generic equipment module) interface. In one embodiment, the CTC 104 sends data collected from the PMCs 110, 112, 114, and / or 116 via the path 150 via the SECS / GEM 156 to the fab host 102. do. Fab host 102 may not only receive data from CTC 104, but may also receive data from other cluster tool controllers such as, for example, CTCs 106 and 108. The data collected by the fab host 102 is then delivered to the data box 142 via the path 158. Due to the huge amount of data collected, not all data sent to the fab host 102 is delivered to the data box 142. In many cases, only a summary of the data may be sent to the data box 142.

데이터 박스 (142) 는 센서들 및 프로세스 모듈 제어기들에 의해 수집된 데이터를 프로세싱, 분석 및/또는 상관시킬 수 있다. 이상 (anomaly) 이 식별되면, 데이터 박스 (120) 는 예를 들어, PMC (110) 에서 수행되고 있는 레시피 단계와 순응하지 않는 파라미터와 같은 문제의 소스를 결정할 수 있다. 문제의 소스가 식별되었다면, 데이터 박스 (142) 는 이더넷 메시지의 포맷으로 팹 호스트 (102) 에 금지 신호 (interdiction) 를 전송할 수 있다. 메시지의 수신시, 팹 호스트 (102) 는 SECS/GEM (156) 을 통하여 CTC (104) 에 메시지를 전달할 수 있다. 그 후, 클러스터 툴 제어기는, 이 실시예에서는 PMC (110) 인 의도된 프로세스 모듈 제어기에 메시지를 중계할 수 있다.Data box 142 can process, analyze, and / or correlate data collected by sensors and process module controllers. Once anomaly is identified, data box 120 may determine the source of the problem, such as, for example, a parameter that does not conform to the recipe step being performed at PMC 110. Once the source of the problem has been identified, the data box 142 can send an interdiction to the fab host 102 in the format of an Ethernet message. Upon receipt of the message, the fab host 102 can deliver the message to the CTC 104 via the SECS / GEM 156. The cluster tool controller may then relay the message to the intended process module controller, which in this embodiment is the PMC 110.

그러나, 금지 신호는 통상 실시간으로 제공되지 않는다. 그 대신에, 금지 신호는 통상, 작용된 기판이 프로세싱된 후에 또는 심지어 전체 기판 로트가 프로세스 모듈로부터 나온 후에 의도된 프로세스 모듈에 의해 수신되어진다. 따라서, 기판/기판 로트가 손상되었을 뿐만 아니라 하나 이상의 프로세싱 챔버 컴포넌트가 부정적인 영향을 받을 수 있고, 이에 의해 폐기물이 증가하고 소유 비용이 증가할 수 있다.However, the prohibition signal is not normally provided in real time. Instead, a forbidden signal is typically received by the intended process module after the actuated substrate has been processed or even after the entire substrate lot has exited the process module. Thus, not only the substrate / substrate lot is damaged but also one or more processing chamber components can be adversely affected, thereby increasing waste and increasing cost of ownership.

지연 이유 중에 하나는 막대한 양의 데이터가 과다한 소스들로부터 수신되었기 때문이다. 데이터 박스 (142) 가 고속 프로세서로 구성될 수 있고, 큰 볼륨의 데이터 스트림들을 처리하기에 충분한 메모리를 가질 수 있는 경우에도, 데이터 박스 (142) 는 여전히 수집된 모든 데이터를 프로세싱, 상관, 및/또는 분석할 시간을 필요로 할 수 있다.One reason for the delay is that huge amounts of data have been received from excessive sources. Although data box 142 may be configured with a high speed processor and may have enough memory to process large volumes of data streams, data box 142 may still process, correlate, and / or collect all data collected. Or you may need time to analyze.

프로세스 모듈에 의하여 금지 신호를 수신하는데 있어 다른 지연 이유는 데이터 박스 (142) 에 의해 수신되고 있는 불안전 데이터 스트림들 때문이다. 데이터 박스 (142) 가 과도한 소스들로부터 데이터를 수신하기 때문에, 데이터 박스 (142) 에 전송되고 있는 실제 데이터는 수집되고 있는 데이터보다 상당히 작을 수 있다. 일 실시예에서, 센서 (118) 에 의해 수집되는 1 기가헤르쯔 데이터를 전송하는 대신에, 데이터의 일부분 (약 1 내지 5 헤르쯔) 만이 실제 전달된다. 그 결과, 데이터 박스 (142) 가 높은 볼륨의 데이터를 모든 자신의 소스들로부터 수신하고 있는 경우에도, 수신되고 있는 데이터는 통상 불안전하다. 따라서, 데이터 박스 (142) 가 모든 소스로부터의 완전한 데이터 세트에 액세스할 수 없는 경우, 제어되지 않은 이벤트들을 결정하는 것은 시간이 걸릴 수 있다.Another delay reason for receiving the inhibit signal by the process module is due to the unsafe data streams being received by the data box 142. Since data box 142 receives data from excessive sources, the actual data being transmitted to data box 142 may be significantly smaller than the data being collected. In one embodiment, instead of transmitting 1 gigahertz data collected by the sensor 118, only a portion of the data (about 1-5 hertz) is actually delivered. As a result, even if data box 142 is receiving high volume of data from all its sources, the data being received is usually unsafe. Thus, if data box 142 does not have access to the complete data set from all sources, determining uncontrolled events may take time.

추가로, 데이터가 데이터 박스 (142) 에 전송되고 있는 경로는 변할 수 있다. 예를 들어, 아날로그 데이터가 디지털 데이터로 변환된 후, (센서 및 그 컴퓨팅 모듈인) 센서 장치로부터 데이터를 직접 전송한다. 이와 대조적으로, 프로세스 모듈에 의해 수집된 데이터는 (적어도 클러스터 툴 제어기 및 팹 호스트를 통한) 보다 긴 네트워크 경로를 통하여 전송된다. 따라서, 데이터 박스 (142) 는 모든 관련 데이터 스트림들이 수신될 때까지 분석을 완료할 수 없다.In addition, the path that data is being sent to data box 142 can vary. For example, after analog data is converted into digital data, the data is transmitted directly from the sensor device (which is the sensor and its computing module). In contrast, data collected by the process module is transmitted over a longer network path (at least through the cluster tool controller and the fab host). Thus, data box 142 cannot complete the analysis until all relevant data streams have been received.

프로세스 모듈과 데이터 박스 (142) 사이의 네트워크 경로는 더 길 뿐만 아니라 이 경로를 통하여 전송된 데이터 스트림들은 통상, 적어도 두 번의 병목 현상에 직면한다. 첫번째 병목 현상은 클러스트 툴 제어기에 있다. 클러스트 툴 내의 프로세스 모듈에 의해 수집된 데이터는 단일의 클러스터 툴 제어기에 전송되기 때문에, 여러 프로세스 모듈들로부터의 데이터 스트림들이 단일의 클러스터 툴 제어기를 통하여 프로세싱되어야 해서 첫번째 병목 현상이 발생한다. 각각의 프로세스 모듈로부터 전송될 수 있는 막대한 볼륨의 데이터가 주어지면, 클러스터 툴 제어기로의 네트워크 경로는 통상 심한 트래픽 혼잡을 경험한다.Not only is the network path between the process module and the data box 142 longer, but the data streams transmitted through this path typically face at least two bottlenecks. The first bottleneck is in the cluster tool controller. Since the data collected by the process modules in the cluster tool are sent to a single cluster tool controller, the first bottleneck occurs because data streams from several process modules must be processed through a single cluster tool controller. Given the enormous volume of data that can be transmitted from each process module, the network path to the cluster tool controller typically experiences heavy traffic congestion.

데이터가 클러스터 툴 제어기에 의해 수신되었다면, 데이터는 팹 호스트 (102) 에 전송된다. 두번째 병목 현상은 팹 호스트 (102) 에서 발생할 수 있다. 팹 호스트 (102) 가 여러 클러스터 툴 제어기들로부터 데이터를 수신할 수 있는 경우, 팹 호스트 (102) 로의 트래픽이 또한, 높은 볼륨의 데이터가 수신되는 것으로 인해 혼잡을 경험할 수 있다.If the data was received by the cluster tool controller, the data is sent to the fab host 102. The second bottleneck may occur at the fab host 102. If fab host 102 can receive data from several cluster tool controllers, traffic to fab host 102 may also experience congestion due to the high volume of data being received.

데이터 박스 (142) 는 제어되지 않은 이벤트들을 결정하기 위하여 상이한 소스들로부터의 데이터를 필요로 하기 때문에, 프로세스 모듈과 데이터 박스 (142) 사이의 트래픽 상태는 데이터 스트림들의 데이터 박스 (142) 로의 전달을 적시에 방지한다. 그 결과, 데이터 박스 (142) 가 분석을 수행하기 위해 필요한 모든 데이터를 합치기 전에 이전의 시간이 손실된다. 추가로, 금지 신호가 준비되면, 금지 신호를 적용하여 정정 액션을 수행할 수 있기 전에, 금지 신호가 동일한 길이의 경로를 통하여, 작용된 프로세스 모듈에 다시 진행해야 한다.Since data box 142 requires data from different sources to determine uncontrolled events, the traffic state between the process module and data box 142 prevents the delivery of data streams to data box 142. Prevent timely. As a result, previous time is lost before data box 142 combines all the data needed to perform the analysis. In addition, once the prohibition signal is ready, the prohibition signal must go back through the same length of path to the activated process module before the prohibition signal can be applied to perform the corrective action.

지연에 기여하는 다른 팩터는 여러 데이터 소스들로부터의 데이터를 상관시키는 도전 과제 (challenge) 이다. 데이터 박스 (142) 에 의해 수신된 데이터 스트림은 통상, 각각의 센서, 및/또는 프로세스 모듈로부터 수집되는 데이터의 요약본이기 때문에, 이용가능한 데이터 스트림들이 상이한 시간 간격으로 이루어질 수 있어, 데이터를 상관시키는 것이 도전 과제가 될 수 있다. 일 실시예에서, 센서 (118) 로부터 데이터 박스 (142) 로 전송된 선택된 데이터 스트림들은 1 초 간격으로 있을 수 있는 한편, PMC (110) 로부터의 데이터 스트림들은 2 초 간격으로 있을 수 있다. 그 결과, 데이터 스트림들을 상관시키는 것은 제어되지 않은 이벤트가 결정적으로 결정될 수 있기 전에 시간을 필요로 할 수 있다.Another factor contributing to delay is the challenge of correlating data from multiple data sources. Since the data stream received by the data box 142 is typically a summary of the data collected from each sensor, and / or process module, the available data streams can be made at different time intervals to correlate the data. It can be a challenge. In one embodiment, the selected data streams sent from the sensor 118 to the data box 142 may be at one second intervals, while the data streams from the PMC 110 may be at two second intervals. As a result, correlating data streams may require time before an uncontrolled event can be determined decisively.

데이터를 상관시키는 추가 도전 과제는 데이터가 데이터 박스 (142) 에 전송되고 있는 상이한 경로로 인한 것이다. 데이터가 상이한 컴퓨터, 서버 등을 통하여 전송되고 있을 때, 데이터는 드리프트, 네트워크 레이턴시, 네트워크 로딩 등에 노출될 수 있다. 그 결과, 데이터 박스 (142) 는 여러 데이터 소스들로부터의 데이터를 상관시키기 것에 곤란할 수 있다. 제어되지 않은 이벤트들을 신속하게 식별하기 위해, 정밀한 상관이 필요한 경우, 제어되지 않은 이벤트들이 정확하게 식별될 수 있기 전에 수행될 더 많은 분석이 요구될 수 있다.A further challenge of correlating data is due to the different paths that data is being sent to data box 142. When data is being transmitted through different computers, servers, etc., the data may be exposed to drift, network latency, network loading, and the like. As a result, data box 142 may be difficult to correlate data from several data sources. In order to quickly identify uncontrolled events, if precise correlation is needed, more analysis may be required to be performed before the uncontrolled events can be correctly identified.

도 1 에 제공된 솔루션의 다른 단점은 소유 비용이다. 클러스터 툴 시스템을 유지하는 비용에 더하여, 센서 장치와 관련되어 추가 비용이 든다. 각각의 센서는 상이한 브랜드/메이크/모델로 이루어질 수 있고, 각각의 센서 장치는 통상 센서 및 컴퓨팅 모듈을 포함한다. 센서 장치들 각각을 하우징하는데 물리적 공간이 통상 요구된다. 따라서, 센서 장치를 하우징하는 비용은, 특히 부동산 비용이 높을 수 있는 지역에서는 특히 고가일 수 있다.Another disadvantage of the solution provided in FIG. 1 is the cost of ownership. In addition to the cost of maintaining the cluster tool system, there is an additional cost associated with the sensor device. Each sensor may be of a different brand / make / model, and each sensor device typically includes a sensor and a computing module. Physical space is usually required to house each of the sensor devices. Thus, the cost of housing the sensor device can be particularly expensive, particularly in areas where real estate costs can be high.

프로세스 모듈 내의 제어되지 않은 이벤트의 실제 발생과 프로세스 모듈에 의한 금지 신호의 수신 간의 실제 시간 지연을 감소시키기 위하여, 클러스터 레벨 분석 서버가 제공된다. 도 2 는 센서와 프로세스 모델 제어기 사이의 데이터를 상관시키기 위한 클러스터 툴 레벨 솔루션을 가진 상호접속 툴 환경의 단순 블록도를 나타낸다.In order to reduce the actual time delay between the actual occurrence of uncontrolled events in the process module and the receipt of the inhibit signal by the process module, a cluster level analysis server is provided. 2 shows a simple block diagram of an interconnect tool environment with a cluster tool level solution for correlating data between a sensor and a process model controller.

도 1 과 유사하게, 클러스터 툴은 (PMC (210, 212, 214 및 216) 와 같은) 복수의 프로세스 모듈을 포함할 수 있다. 분석을 위하여 데이터를 수집하기 위해, 각각의 프로세스 모듈은 (센서들 (218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 및 240) 과 같은) 복수의 센서들에 결합될 수 있다. 각각의 센서는 프로세싱 파라미터 데이터를 수집하기 위하여 (센서 케이블 (244) 과 같은) 센서 케이블을 통하여 자신의 대응하는 프로세스 모듈 제어기와 상호작용할 수 있다. 센서에 의해 수집된 데이터는 아날로그 포맷에 있을 수 있다. 경로 (246) 를 통하여 (원격 제어기 (242) 와 같은) 클러스터 레벨 분석 서버에 데이터를 전달하기 전에, (컴퓨팅 모듈 (218b) 과 같은) 컴퓨팅 모듈은 데이터를 프로세싱하여 디지털 포맷으로 변환할 수 있다.Similar to FIG. 1, the cluster tool may include a plurality of process modules (such as PMCs 210, 212, 214, and 216). In order to collect data for analysis, each process module includes a plurality of sensors (such as sensors 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, and 240). Can be coupled to. Each sensor may interact with its corresponding process module controller via a sensor cable (such as sensor cable 244) to collect processing parameter data. The data collected by the sensor may be in analog format. Before delivering data to the cluster level analysis server (such as remote controller 242) via path 246, the computing module (such as computing module 218b) may process the data and convert it to a digital format.

도 1 과 유사하게, 각각의 프로세스 모듈 제어기는 또한, (CTC (204 및 206) 와 같은) 클러스터 툴 제어기에 (프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터와 같은) 데이터를 전송할 수 있다. 일 실시예에서, PMC (210) 에 의해 수집된 데이터는 경로 (248) 를 통하여 CTC (204) 에 전송될 수도 있다. CTC (204) 는 또한, PMC (210) 로부터의 데이터를 수신할 뿐만 아니라 (PMC (212, 214, 및 216) 와 같이) 다른 프로세싱 모듈 제어기로부터의 데이터를 수신할 수도 있다. 그 후, 클러스터 툴 제어기에 의해 수신된 데이터는 경로 (250) 를 통하여 팹 호스트 (202) 에 전달된다.Similar to FIG. 1, each process module controller may also send data (such as process module data and process context data) to a cluster tool controller (such as CTCs 204 and 206). In one embodiment, data collected by the PMC 210 may be sent to the CTC 204 via the path 248. The CTC 204 may also receive data from the PMC 210 as well as receive data from other processing module controllers (such as the PMCs 212, 214, and 216). The data received by the cluster tool controller is then communicated to the fab host 202 via the path 250.

팹 호스트 (202) 와 CTC (204) 사이에는, 팹 호스트 (202) 에 전달되고 있는 데이터를 복사하기 위하여 시리얼 탭이 네트워크 경로 (250) 에 접속될 수 있다. 일 실시예에서, 시리얼 탭 (208) 은 CTC (204) 에 의해 팹 호스트 (202) 에 전송되고 있는 데이터를 인터셉트할 수 있다. 데이터는 복사되고, 데이터 스트림의 복사본은 경로 (254) 를 통하여 원격 제어기 (242) 에 전송된다. 팹 호스트가 하나보다 많은 클러스터 툴 제어기에 접속되면, 각각의 클러스터 툴 제어기에 대하여 전용 원격 제어기가 클러스터 툴 제어기와 연관된다. 일 실시예에서, CTC (206) 로부터 경로 (252) 를 통하여 팹 호스트 (202) 로 전송되고 있는 데이터는 다른 시리얼 탭 (256) 에 의해 인터셉트될 수 있다. 데이터는 복사되고, 경로 (258) 를 통하여, CTC (204) 와 연관된 원격 제어기 (242) 와 상이한 원격 제어기 (260) 에 전송된다.Between the fab host 202 and the CTC 204, a serial tap can be connected to the network path 250 to copy the data being transferred to the fab host 202. In one embodiment, the serial tap 208 may intercept data being transmitted to the fab host 202 by the CTC 204. The data is copied and a copy of the data stream is sent to the remote controller 242 via the path 254. If a fab host is connected to more than one cluster tool controller, a dedicated remote controller is associated with the cluster tool controller for each cluster tool controller. In one embodiment, data being transmitted from CTC 206 to fab host 202 via path 252 may be intercepted by another serial tap 256. The data is copied and sent via the path 258 to a remote controller 260 that is different from the remote controller 242 associated with the CTC 204.

따라서, 단일의 데이터 박스가 여러 클러스터 툴들로부터의 모든 데이터를 처리하는 대신에, 다수의 원격 제어기들이 여러 클러스터 툴들로부터 데이터를 처리하는데 이용가능할 수 있다. 즉, 각각의 클러스터 툴은 자신의 원격 제어기와 연관되어 있다. 각각의 원격 제어기는 (단일의 클러스터 툴과 관련된 프로세스 모듈 제어기들 및 센서들과 같은) 소수의 데이터 소스들로부터의 데이터를 처리하고 있기 때문에, 각각의 원격 제어기는 각각의 소스로부터의 높은 볼륨의 데이터를 처리할 수 있다. 일 실시예에서, 30 내지 100 개의 데이터 항목들이 전송되는 대신에, 현재는 10 헤르쯔에서의 40 kB 내지 100 kB 데이터 항목들이 각각의 원격 제어기에 의해 수신될 수 있다.Thus, instead of a single data box processing all data from several cluster tools, multiple remote controllers may be available to process data from several cluster tools. That is, each cluster tool is associated with its own remote controller. Since each remote controller is processing data from a small number of data sources (such as process module controllers and sensors associated with a single cluster tool), each remote controller has a high volume of data from each source. Can be processed. In one embodiment, instead of 30 to 100 data items being transmitted, 40 kB to 100 kB data items at 10 hertz can now be received by each remote controller.

센서들 및 프로세스 모듈 제어기들로부터 수신된 데이터는 원격 제어기에 의해 분석된다. 문제가 식별되면, 원격 제어기는 클러스터 툴 제어기에 금지 신호를 전송할 수 있다. 일 실시예에서, 원격 제어기 (242) 는 PMC (210) 내의 문제를 식별한다. 금지 신호는 경로들 (254 및 250) 을 통하여 시리얼 탭 (208) 을 통해 CTC (204) 에 전송된다. 이 실시예에서, 금지 신호를 수신시, CTC (204) 는 PMC (210) 인 의도된 프로세스 모듈 제어기에 금지 신호를 전달한다.Data received from sensors and process module controllers is analyzed by a remote controller. If the problem is identified, the remote controller can send a prohibition signal to the cluster tool controller. In one embodiment, the remote controller 242 identifies the problem within the PMC 210. The inhibit signal is sent to the CTC 204 via the serial tap 208 via the paths 254 and 250. In this embodiment, upon receiving the inhibit signal, the CTC 204 forwards the inhibit signal to the intended process module controller, which is the PMC 210.

원격 제어기가 (데이터 박스 (142) 에 의해 행해진 바와 같이) 복수의 클러스터 툴 대신에 하나의 클러스터 툴로부터의 데이터를 처리하는 것만을 담당하기 때문에, 더 많은 데이터가 분석될 수 있고, 더 양호한 상관성이 상이한 데이터 세트들 간에 존재할 수 있다. 그 결과, 원격 제어기는 더 양호하고 고속의 분석을 수행할 수 있고 이에 의해, 프로세싱 모듈 내의 제어되지 않은 이벤트들을 정정하기 위해 보다 적시에 금지 신호를 제공할 수 있다. 이 실시예에서, (데이터 박스 (142) 에 의해 제공된 금지 신호와 같이) 식별된 제어되지 않은 이벤트가 다음 기판 로트에서 발생하는 것을 방지하기 위하여 금지 신호를 수신하는 대신에, 예를 들어, 원격 제어기 (242) 에 의해 전송된 금지 신호는 프로세스 엔지니어들이 프로세싱되도록 스케쥴링된 기판 로트의 적어도 일부를 구할 수 있게 한다.Since the remote controller is only responsible for processing data from one cluster tool instead of multiple cluster tools (as done by data box 142), more data can be analyzed and better correlation It can exist between different data sets. As a result, the remote controller can perform better and faster analysis, thereby providing a more timely prohibition signal to correct uncontrolled events in the processing module. In this embodiment, instead of receiving the inhibit signal to prevent the identified uncontrolled event from occurring on the next substrate lot (such as the inhibit signal provided by data box 142), for example, a remote controller The inhibit signal sent by 242 allows process engineers to obtain at least a portion of a substrate lot scheduled to be processed.

원격 제어기 솔루션은 데이터 박스 솔루션보다 양호한 솔루션이기는 하지만, 원격 제어기 솔루션은 여전히 데이터 분석을 수행하기 위해 요약 데이터에 의존한다. 그 결과, 기판 프로세싱 동안에 발생할 수 있는 문제들이 여전히 식별되지 않은 상태에 있을 수 있다. 추가로, 프로세스 모듈과 원격 제어기 사이의 경로는 여전히 직접 경로가 아니다. 그 결과, 컴퓨터 드리프트, 네트워크 레이턴시, 및/또는 네트워크 로딩은, 원격 제어기가 프로세스 모듈로부터의 데이터와 센서로부터의 데이터를 상관시키는 것을 곤란하게 할 수 있는 시간차 (time discrepancy) 를 야기할 수 있다.Although the remote controller solution is a better solution than the data box solution, the remote controller solution still relies on summary data to perform data analysis. As a result, problems that may arise during substrate processing may still be in an unidentified state. In addition, the path between the process module and the remote controller is still not a direct path. As a result, computer drift, network latency, and / or network loading can cause time discrepancy that can make it difficult for a remote controller to correlate data from a process module with data from a sensor.

따라서, 원격 제어기 솔루션이 금지 타임 라인을 증가시켰던 경우에도, 원격 제어기 솔루션은 여전히 부적합하게 된다. 기껏해야, 작용된 기판에 의해 경험되는 문제가 다음 기판의 프로세싱 동안에 발생하는 것을 방지할 수 있을 뿐이다. 비용이 최소화될 것을 요구하는 냉혹한 경쟁 시장에서는, 손상된 기판으로 인한 폐기물 및/또는 손상된 프로세싱 챔버 컴포넌트들로 인한 중단 시간 (downtime) 은 마켓 손실로 해석될 수 있다. 따라서, 제어되지 않은 이벤트를 식별하는 실시간 솔루션이 요구된다.Thus, even if the remote controller solution has increased the forbidden timeline, the remote controller solution is still inadequate. At best, it is only possible to prevent the problems experienced by the worked substrate from occurring during the processing of the next substrate. In the harsh competitive markets where cost is required to be minimized, downtime due to waste due to damaged substrates and / or damaged processing chamber components can be interpreted as market loss. Thus, there is a need for a real-time solution for identifying uncontrolled events.

본 발명의 실시형태들에 따르면, 프로세스 모듈 레벨에서 중재를 수행하는 PLTA (process-level troubleshooting architecture) 가 제공된다. 본 발명의 실시형태는 실시간 중재에 실시간 분석을 제공하는 PLTA 를 포함한다. 본 발명의 실시형태는 또한, 센서들 간의 로드 밸런싱 및 장애 허용을 위한 장치들을 포함한다.In accordance with embodiments of the present invention, a process-level troubleshooting architecture (PLTA) is provided that performs arbitration at the process module level. Embodiments of the present invention include a PLTA that provides real-time analysis for real-time intervention. Embodiments of the present invention also include devices for load balancing and fault tolerance between sensors.

본 발명의 일 실시형태에서, PLTA 는 분석 서버가 단일의 프로세싱 모듈 및 자신의 대응하는 센서들과 통신하는 네트워크 시스템이다. 일 실시형태에서, 네트워크 내에서 교환되는 정보는 양방향성이다. 일 실시예에서, 분석 서버는 프로세싱 모듈 및 센서로부터의 프로세스 데이터를 지속적으로 수신할 수 있다. 그 역으로, 센서들은 프로세싱 모듈로부터 데이터를 수신할 수 있고 프로세싱 모듈은 분석 서버로부터 명령들을 수신할 수 있다.In one embodiment of the invention, the PLTA is a network system in which an analysis server communicates with a single processing module and its corresponding sensors. In one embodiment, the information exchanged within the network is bidirectional. In one embodiment, the analysis server may continuously receive process data from processing modules and sensors. Conversely, sensors can receive data from the processing module and the processing module can receive instructions from the analysis server.

예를 들어, 기판이 프로세싱되고 있는 상황을 고려하여 본다. 기판 프로세스 동안에, 복수의 데이터가 수집될 수 있다. 일 실시예에서, 압력에 대한 데이터가 매 100 밀리초마다 수집된다. 프로세싱이 1 시간 동안에 발생한다면, 압력 파라미터에 대하여 36000개의 데이터 항목이 수집된다. 그러나, 압력 데이터 이외에 복수의 다른 프로세스 데이터 (예를 들어, 전압 바이어스, 온도 등) 도 또한 수집될 수 있다. 따라서, 상당한 양의 데이터가 기판 프로세스가 완료될 때까지 수집되어진다.For example, consider the situation in which the substrate is being processed. During the substrate process, a plurality of data can be collected. In one embodiment, data on pressure is collected every 100 milliseconds. If processing occurs in one hour, 36000 data items are collected for the pressure parameter. However, in addition to the pressure data, a plurality of other process data (eg, voltage bias, temperature, etc.) may also be collected. Thus, a significant amount of data is collected until the substrate process is complete.

종래 기술에서는, (데이터 박스 (142) 와 같은) 복수의 클러스터 툴로부터 아닌 경우, (도 2 의 원격 제어기 (242) 와 같은) 복수의 프로세싱 모듈로부터 수집된 데이터를 서비스하도록 구성될 수 있는 분석 서버에 데이터를 전송한다. 데이터 스트림들은 복수의 소스로부터 오기 때문에, 데이터를 분석 및/또는 상관하는데 시간이 필요하게 된다. 추가로, 종래 기술의 분석 서버는 모든 수집된 데이터를 프로세싱 및 분석할 수 없기 때문에, 각각의 소스로부터 수집된 데이터의 일부분만이 분석 서버에 전송된다. 그 결과, 데이터 스트림들을 코디네이트, 프로세싱, 상관, 및/또는 분석하는 복잡한 작업은 항상 용이하게 이용가능할 수 있는 것은 아닌 시간을 요구한다.In the prior art, an analysis server that can be configured to service data collected from a plurality of processing modules (such as remote controller 242 of FIG. 2), if not from a plurality of cluster tools (such as data box 142). Send data to Since data streams come from multiple sources, time is required to analyze and / or correlate the data. In addition, since prior art analysis servers cannot process and analyze all collected data, only a portion of the data collected from each source is sent to the analysis server. As a result, complex tasks of coordinating, processing, correlating, and / or analyzing data streams require time that may not always be readily available.

본 발명의 일 양상에서, 본 발명자는 보다 세분된 데이터 (granular data) 가 분석에 이용가능하면 보다 정확하고 신속한 분석이 수행될 수 있음을 알아냈다. 단일의 소스로부터 더 많은 데이터를 분석하기 위하여, 분석 서버는 소수의 소스들로부터의 데이터를 분석해야 한다. 일 실시형태에서, 프로세스 모듈 레벨에서 데이터를 프로세싱 및/또는 분석하기 위한 장치가 제공된다. 즉, 각각의 프로세스 모듈 및 그 대응하는 센서들에 대한 분석을 수행하기 위한 프로세스 모듈 레벨 분석 서버가 제공된다.In one aspect of the present invention, the inventors have found that more accurate and faster analysis can be performed if more granular data is available for analysis. To analyze more data from a single source, the analysis server must analyze data from a few sources. In one embodiment, an apparatus for processing and / or analyzing data at a process module level is provided. That is, a process module level analysis server is provided for performing analysis on each process module and its corresponding sensors.

일 실시형태에서, 프로세스 모듈 레벨 분석 서버는 하나 이상의 프로세서를 포함할 수 있는 공유 메모리 백본을 포함한다. 각각의 프로세서는 하나 이상의 센서와 상호작용하도록 구성될 수 있다. 일 실시예에서, 센서 1 에 의해 수집된 데이터는 프로세서 1 에 의해 프로세싱될 수 있고 센서 2 에 의해 수집된 데이터는 프로세서 2 에 의해 프로세싱될 수 있다.In one embodiment, the process module level analysis server includes a shared memory backbone that may include one or more processors. Each processor may be configured to interact with one or more sensors. In one embodiment, the data collected by sensor 1 may be processed by processor 1 and the data collected by sensor 2 may be processed by processor 2.

종래 기술과 달리, 프로세서들은 로딩 밸런싱 및 장애 허용을 수행하기 위해 프로세싱 전력을 서로 공유할 수 있다. 종래 기술에서는, 컴퓨팅 모듈은 센서에 의해 수집된 데이터를 처리하도록 구성된다. 각각의 컴퓨팅 모듈이 개별 유닛이고 서로 항상 상호작용하느 것은 아니기 때문에, 로딩 밸런싱이 항상 수행되는 것은 아니다. 종래 기술과 달리, 프로세스 모듈 레벨 분석 서버 내의 프로세서들의 세트는 로딩 밸런싱을 수행할 수 있다. 일 실시예에서, 프로세서 1 이 데이터 오버로드를 경험하고 있는 반면, 프로세서 2 가 거의 또는 전혀 데이터를 수신하고 있지 않다면, 프로세서 2 는 센서 1 로부터의 데이터를 프로세싱하는데 있어 프로세서 1 을 지원하도록 보충될 수 있다.Unlike the prior art, processors may share processing power with each other to perform load balancing and fault tolerance. In the prior art, the computing module is configured to process data collected by the sensor. Since each computing module is a separate unit and does not always interact with each other, load balancing is not always performed. Unlike the prior art, a set of processors in a process module level analysis server may perform load balancing. In one embodiment, if processor 1 is experiencing data overload while processor 2 is receiving little or no data, processor 2 may be supplemented to support processor 1 in processing data from sensor 1. have.

추가로, 종래 기술에서는, 컴퓨팅 모듈이 상이한 브랜드/메이크/모델로 이루어지는 경향이 있기 때문에 컴퓨팅 모듈이 오기능하고 있다면, 다른 컴퓨팅 모듈은 오기능하는 컴퓨팅 모듈에 의해 수행된 프로세싱을 대신 수행할 수 없었다. 종래 기술과 달리, 필요에 따라 프로세서들 간에 작업 로드가 재분배될 수 있다. 예를 들어, 프로세서 2 가 자신의 기능을 수행할 수 없다면, 프로세서 2 가 수리될 때까지 다른 프로세서에 작업 로드가 재분배될 수 있다. 상기 설명으로부터 이해될 수 있는 바와 같이, 프로세서들은 개개의 컴퓨팅 모듈들에 대한 필요성을 제거하며, 이에 의해 컴퓨팅 모듈들을 하우징하는데 필요한 물리적 공간을 감소시킨다.In addition, in the prior art, if a computing module is malfunctioning because the computing modules tend to be of different brands / makes / models, other computing modules could not perform the processing performed by the malfunctioning computing module instead. . Unlike the prior art, the workload may be redistributed among the processors as needed. For example, if processor 2 is unable to perform its function, the workload may be redistributed to another processor until processor 2 is repaired. As can be appreciated from the above description, the processors eliminate the need for individual computing modules, thereby reducing the physical space required to house the computing modules.

본 발명의 일 실시형태에서, 프로세서들은 두가지 유형의 프로세서인 프라이머리 프로세서 및 세컨더리 프로세서로 나누어질 수 있다. 프라이머리 프로세서 및 세컨더리 프로세서 양쪽 모두 센서들로부터의 데이터를 처리하도록 구성된다. 일 실시예에서, 세컨더리 프로세서 1 가 센서 1 과 연관되면, 세컨더리 프로세서 1 는 센서 1 로부터 오는 데이터만 통상 프로세싱한다. 유사하게, 세컨더리 프로세서 2 가 센서 2 및 3 과 연관되면, 세컨더리 프로세서 2 는 이들 두개의 센서 (2 및 3) 로부터 오는 데이터만 통상 프로세싱한다.In one embodiment of the invention, the processors may be divided into two types of processors, a primary processor and a secondary processor. Both the primary processor and the secondary processor are configured to process data from the sensors. In one embodiment, if secondary processor 1 is associated with sensor 1, secondary processor 1 typically processes only data from sensor 1. Similarly, if Secondary Processor 2 is associated with Sensors 2 and 3, Secondary Processor 2 typically only processes data from these two sensors 2 and 3.

일 실시형태에서, 공유 메모리 백본은 하나 이상의 프라이머리 프로세서를 포함할 수 있다. 프라이머리 프로세서들의 세트는 센서로부터 오는 데이터를 처리하도록 구성될 수 있을 뿐만 아니라 프로세싱 모듈로부터 오는 데이터를 처리하도록 구성될 수도 있다. 추가로, 프라이머리 프로세서들의 세트는 (센서들 및 프로세싱 모듈과 같은) 여러 소스들 간의 데이터를 상관시키고 분석을 수행하도록 구성된다. 금지가 필요하면, 프라이머리 프로세서들의 세트는 금지 신호를 프로세스 모듈 제어기에 전송하도록 구성된다.In one embodiment, the shared memory backbone can include one or more primary processors. The set of primary processors may be configured to process data coming from the sensor as well as may be configured to process data coming from the processing module. In addition, the set of primary processors is configured to correlate data and perform analysis between various sources (such as sensors and processing module). If a prohibition is needed, the set of primary processors is configured to send a prohibition signal to the process module controller.

본 발명의 특징 및 이점들은 다음 도면들 및 설명을 참조로 보다 잘 이해될 수 있다.The features and advantages of the present invention can be better understood with reference to the following figures and description.

도 3 은 본 발명의 일 실시형태에서, 프로세스 레벨 중재 아키텍쳐 (process-level troubleshooting architecture) 의 단순 로직 개략도를 나타낸다. 제조사는 하나 보다 많은 클러스터 툴을 가질 수 있지만, 본 발명의 일 실시형태의 예시로서 단일의 클러스터 툴이 이용된다. 클러스터 툴은 여러가지 수의 프로세싱 모듈을 가질 수 있지만, 도 3 에 예시된 실시예는 4 개의 프로세싱 모듈들을 갖는 단일의 클러스터 툴을 포함한다.3 shows a simple logic schematic of a process-level troubleshooting architecture, in one embodiment of the invention. The manufacturer may have more than one cluster tool, but a single cluster tool is used as an example of one embodiment of the present invention. The cluster tool may have any number of processing modules, but the embodiment illustrated in FIG. 3 includes a single cluster tool with four processing modules.

각각의 프로세싱 모듈에 의해 수집된 데이터는 그 대응하는 프로세싱 모듈 제어기들 (PMC (306), PMC (308), PMC (310), 및 PMC (312)) 에 의해 수집되어 클러스터 툴 제어기 (CTC (304)) 를 통하여 팹 호스트 (302) 에 전송된다. PMC들에 의해 전송될 수 있는 데이터는 종래 기술에서 이전에 전송되었던 동일 유형의 데이터 (프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터) 일 수 있다. 종래 기술과 달리, 팹 호스트 (302) 에 전송되고 있는 데이터는 중재를 수행하는 프로세싱 모듈에 의존하지 않는다. 그 대신에, 데이터는 아카이브되어 장래 분석용으로 이용가능할 수 있다.The data collected by each processing module is collected by its corresponding processing module controllers (PMC 306, PMC 308, PMC 310, and PMC 312) to collect the cluster tool controller (CTC 304). Is transmitted to the fab host 302 via)). The data that can be transmitted by the PMCs can be the same type of data (process module data and process context data) previously transmitted in the prior art. Unlike the prior art, the data being sent to the fab host 302 does not depend on the processing module performing the arbitration. Instead, the data may be archived and available for future analysis.

일 실시형태에서, 프로세스 모듈 레벨 분석 서버 (APECS (314)) 는 중재에 요구되는 분석을 수행하는데 제공된다. 기판이 PMC (308) 에서 에칭되고 있는 상황을 고려하여 본다. 기판 프로세싱 동안에, 센서들 (316, 318, 및 320) 이 PMC (308) 로부터 데이터를 수집한다. 일 실시예에서, 센서 (316) 는 PMC (308) 로부터 전압 바이어스 데이터를 수집하도록 구성된다. PMC (308) 로부터 수집된 아날로그 데이터는 센서 케이블 (328) 을 통하여 센서 (316) 에 전송된다. 이와 유사하게, 센서들 (318 및 320) 은 센서 케이블 (330 및 332) 을 통하여 각각 데이터를 수집할 수 있다. 그 후, 센서들에 의해 수집된 데이터는 프로세싱 및/또는 분석을 위하여 경로들 (322, 324, 및 326) 중 하나의 경로를 통하여 APECS (314) 에 전송될 수 있다.In one embodiment, a process module level analysis server (APECS 314) is provided to perform the analysis required for mediation. Consider the situation where the substrate is being etched in the PMC 308. During substrate processing, sensors 316, 318, and 320 collect data from PMC 308. In one embodiment, sensor 316 is configured to collect voltage bias data from PMC 308. Analog data collected from the PMC 308 is transmitted to the sensor 316 via the sensor cable 328. Similarly, sensors 318 and 320 may collect data via sensor cables 330 and 332, respectively. The data collected by the sensors can then be sent to the APECS 314 via one of the paths 322, 324, and 326 for processing and / or analysis.

종래 기술과 달리, 센서들에 의해 수집된 데이터는 분석 서버 (APECS (314)) 에 전송되기 전에 (예를 들어, 요약되는 것과 같이) 예비 프로세싱될 필요가 없다. 일 실시형태에서, 컴퓨팅 모듈로 하여금 데이터를 프로세스하게 하는 대신에, 각각의 센서는 데이터를 APECS (314) 로 전달하기 전에 아날로그 데이터를 디지털 데이터로 변환하도록 채용될 수 있는 간단한 데이터 컨버터를 포함할 수 있다. 대안으로서, 일 실시형태에서, 필드 프로그래밍가능 게이트 어레이(FPGA) 와 같은 데이터 컨버터가 APECS (314) 내에 내장될 수도 있다. 일 실시예에서, 각각의 프로세서는 프로세싱의 일부로서 데이터를 디지털 포맷으로 변환하기 위한 데이터 컨버터 알고리즘을 포함할 수 있다. 상기 설명으로부터 이해될 수 있는 바와 같이, 컴퓨팅 모듈들에 대한 필요성을 제거함으로써, 클러스터 툴 및 그 하드웨어를 하우징하는데 필요한 물리적 공간을 덜 필요로 한다. 그 결과, 소유 비용이 감소될 수 있다.Unlike the prior art, data collected by the sensors need not be preprocessed (eg, as summarized) before being sent to the analysis server (APECS 314). In one embodiment, instead of causing the computing module to process the data, each sensor may include a simple data converter that may be employed to convert analog data into digital data before passing the data to the APECS 314. have. Alternatively, in one embodiment, a data converter, such as a field programmable gate array (FPGA), may be embedded within the APECS 314. In one embodiment, each processor may include a data converter algorithm for converting data into a digital format as part of the processing. As can be appreciated from the above description, by eliminating the need for computing modules, less physical space is required to house the cluster tool and its hardware. As a result, the cost of ownership can be reduced.

APECS (314) 는 하나의 프로세싱 모듈 및 그 대응하는 센서들로부터의 데이터만을 프로세싱하는데 전용되기 때문에, APECS (314) 는 단일의 소스로부터 오는 더 높은 볼륨의 데이터를 처리할 수 있다. 즉, 각각의 센서로부터 전송되는 데이터의 볼륨을 점차 감소시켜야 하는 대신에, APECS (314) 는 모두가 그러한 것은 아니지만, 각각의 센서에 의해 수집되는 대부분의 데이터를 처리하도록 구성된다. 일 실시예에서, 이 때, 단지 10 내지 15 개의 데이터 항목들이 분석을 위하여 전송되는 대신에, 각각의 센서로부터 2천개 이상의 데이터 항목이 APECS (314) 에 의한 분석을 위하여 이용가능할 수 있다. 그 결과, APECS (314) 가 프로세스 및 분석하는데 이용가능한 데이터 스트림은 보다 완전한 데이터 세트이다.Since APECS 314 is dedicated to processing data only from one processing module and its corresponding sensors, APECS 314 can process higher volumes of data from a single source. That is, instead of gradually decreasing the volume of data transmitted from each sensor, APECS 314 is configured to process most of the data collected by each sensor, although not all. In one embodiment, at this time, instead of only 10-15 data items being sent for analysis, more than 2,000 data items from each sensor may be available for analysis by APECS 314. As a result, the data stream available for APECS 314 to process and analyze is a more complete data set.

일 실시예에서, APECS (314) 는 또한, 프로세싱 모듈로부터 오는 데이터를 처리하도록 구성된다. 데이터 스트림이 (데이터 박스 또는 원격 제어기와 같은) 분석 서버에 의해 수신되기 전에 여러 서버 (예를 들어, 클러스터 툴 제어기, 팹 호스트 등) 를 통한 긴 길이의 데이터 경로를 통하여 전송되는 종래 기술과 달리, 프로세스 모듈에 수집된 데이터는 다른 서버를 통하여 진행할 필요가 없이 APECS (314) 에 직접 전송된다. 일 실시예에서, 프로세스 모듈 데이터는 PMC (308) 로부터 경로 (334) 를 통하여 APECS (314) 에 전송될 수 있다. 제어되지 않은 이벤트가 식별되면, 금지 신호가 다른 서버들로 먼저 진행해야 할 필요없이 경로 (336) 를 통하여 PMC (308) 에 직접 전송될 수 있다.In one embodiment, APECS 314 is also configured to process data coming from the processing module. Unlike the prior art, where data streams are transmitted over long lengths of data paths through multiple servers (e.g., cluster tool controllers, fab hosts, etc.) before being received by analysis servers (such as data boxes or remote controllers), The data collected in the process module is sent directly to the APECS 314 without having to go through another server. In one embodiment, process module data may be sent from PMC 308 to APECS 314 via path 334. If an uncontrolled event is identified, a forbidden signal may be sent directly to PMC 308 via path 336 without having to first proceed to other servers.

프로세스 모듈 레벨 분석 서버에 대한 추가적인 세부내용이 도 4 에 제공된다. 도 4 는 본 발명의 일 실시형태에서, 프로세스 모듈 레벨 분석 서버의 단순 기능도를 나타낸다. (APECS (400) 과 같은) 프로세스 모델 레벨 분석 서버가 각각의 프로세스 모듈에 할당될 수 있다. APECS (400) 는 양방향 서버이며, 제어되지 않은 이벤트들이 식별되는 경우 들어오는 데이터를 프로세싱하고 금지 신호를 전송하기 위하여 구성된다.Additional details for the process module level analysis server are provided in FIG. 4. 4 shows a simple functional diagram of a process module level analysis server, in one embodiment of the invention. A process model level analysis server (such as APECS 400) may be assigned to each process module. APECS 400 is a bi-directional server and is configured to process incoming data and to send a forbidden signal when uncontrolled events are identified.

데이터 소스는 두개의 메인 소스들로부터 센서들에 의해 수집된 데이터 및 프로세스 모듈에 의해 수집된 데이터를 흐르게 할 수 있다. 일 실시형태에서, APECS (400) 는 복수의 센서들 (센서들 (410, 412, 414, 416, 420, 422, 424, 및 426) 로부터 들어오는 데이터를 수신하도록 구성된다. 일부 클러스터 툴 소유자들이 상당한 양의 자금을 통상적인 센서 장치 (컴퓨팅 모듈을 가진 센서) 에 이미 투자할 수 있는 경우, APECS (400) 는 통상적인 센서 장치 및 변경 센서들 (컴퓨팅 모듈을 필요로 하지 않는 센서) 둘다로부터 데이터를 수락하도록 구성된다.The data source can flow data collected by the sensors and data collected by the process module from two main sources. In one embodiment, APECS 400 is configured to receive incoming data from a plurality of sensors (sensors 410, 412, 414, 416, 420, 422, 424, and 426. If an amount of funds can already be invested in a conventional sensor device (sensor with a computing module), the APECS 400 draws data from both the conventional sensor device and change sensors (sensors that do not require a computing module). Configured to accept.

일 실시형태에서, APECS (400) 는 (센서들 (410, 412, 414, 및 416) 과 같은) 통상적인 센서 장치와 인터페이스하기 위하여 이더넷 스위치 (418) 와 같은 인터페이스를 포함할 수 있다. 일 실시예에서, 디지털 데이터가 (경로 (430, 432, 434, 또는 436) 를 통하여) APECS (400) 에 전송되기 전에 센서 (410) 에 의해 수집된 데이터는 먼저, 컴퓨팅 모듈 (410b) 에 의해 아날로그 포맷으로부터 디지털 포맷으로 변환된다. 이더넷 스위치 (418) 는 데이터 스트림들을 수용하기 위하여 통상적인 센서 장치들과 상호작용하도록 구성된다. 그 후, 데이터 스트림들은 프로세싱을 위하여 (경로 (446, 448, 450, 또는 452) 를 통하여) APECS (400) 내의 프로세서들 (402, 404, 406, 및 408) 중 하나에 패스된다.In one embodiment, APECS 400 may include an interface such as Ethernet switch 418 to interface with a conventional sensor device (such as sensors 410, 412, 414, and 416). In one embodiment, data collected by sensor 410 before digital data is sent to APECS 400 (via path 430, 432, 434, or 436) is first generated by computing module 410b. Converted from analog format to digital format. Ethernet switch 418 is configured to interact with conventional sensor devices to receive data streams. The data streams are then passed to one of the processors 402, 404, 406, and 408 in the APECS 400 (via path 446, 448, 450, or 452) for processing.

프로세스 파라미터를 측정하기 위하여 통상적인 센서 장치를 이용하는 대신에, 변경 센서 (컴퓨팅 모듈이 없는 센서) 가 채용될 수 있다. 수집된 데이터가 요약될 필요가 없기 때문에, 컴퓨팅 모듈이 프로세싱이 더 이상 필요없게 된다. 그 대신에, 일 실시형태에서, 변경 센서는 데이터를 아날로그 포맷을 디지털 포맷으로 변환하기 위하여 저가의 FPGA와 같은 데이터 컨버터 (도시 생략) 를 포함할 수 있다. 대안으로서, 센서들 내에 데이터 컨버터를 설치하는 대신에, 데이터 컨버터 (도시 생략) 가 APECS (400) 내에 설치될 수 있다. 데이터 컨버터가 APECS (400) 에 외부에 또는 내부에 설치되는 것과 무관하게, 컴퓨팅 모듈의 제거는 클러스터 툴의 소유에 있어 비용 절감을 제공한다. 일 실시예에서, 컴퓨팅 모듈을 구매, 하우징, 및 관리하는 비용은 실질적으로 제거된다.Instead of using a conventional sensor device to measure process parameters, a change sensor (a sensor without a computing module) can be employed. Since the collected data does not need to be summarized, the computing module no longer requires processing. Instead, in one embodiment, the change sensor may include a data converter (not shown), such as a low cost FPGA, to convert the data into an analog format to a digital format. Alternatively, instead of installing a data converter in the sensors, a data converter (not shown) may be installed in the APECS 400. Regardless of whether the data converter is installed externally or internally to the APECS 400, removal of the computing module provides cost savings in owning the cluster tool. In one embodiment, the cost of purchasing, housing, and managing the computing module is substantially eliminated.

본 발명의 일 실시형태에서, APECS (400) 는 들어오는 데이터를 처리하기 위하여 프로세서들의 세트 (402, 404, 406, 및 408) 를 포함한다. 프로세서들의 세트는 물리적 프로세싱 유닛, 가상 프로세서, 또는 이들의 조합일 수 있다. 각각의 프로세서는 프로세서와 연관된 소스들로부터의 데이터 스트림들을 처리하는 것을 담당한다. 일 실시예에서, 센서 (422) 로부터 경로 (440) 를 통하여 흐르는 데이터 스트림들은 프로세서 (404) 에 의해 처리된다. 다른 실시예에서, 센서 (424) 에 의해 수집된 데이터 스트림들은 프로세싱을 위하여 경로 (442) 를 통하여 프로세서 (406) 에 전송된다.In one embodiment of the present invention, APECS 400 includes a set of processors 402, 404, 406, and 408 to process incoming data. The set of processors may be a physical processing unit, a virtual processor, or a combination thereof. Each processor is responsible for processing data streams from sources associated with the processor. In one embodiment, data streams flowing from the sensor 422 through the path 440 are processed by the processor 404. In another embodiment, the data streams collected by the sensor 424 are sent to the processor 406 via the path 442 for processing.

센서들의 개수와, 프로세서와 센서들과의 관계는 사용자의 구성에 의존할 수 있다. 일 실시예에서, 도 4 에는 프로세서들과 센서들 사이의 일대일 관계만을 나타내고 있지만, 다른 관계들도 존재할 수 있다. 일 실시예에서, 프로세서는 하나 보다 많은 소스로부터의 데이터를 처리하도록 구성될 수 있다. 다른 실시예에서, 하나 보다 많은 프로세서는 하나의 센서로부터의 데이터 스트림들을 처리하도록 구성될 수 있다.The number of sensors and the relationship between the processor and the sensors may depend on the configuration of the user. In one embodiment, although FIG. 4 illustrates only a one-to-one relationship between processors and sensors, other relationships may exist. In one embodiment, the processor may be configured to process data from more than one source. In other embodiments, more than one processor may be configured to process data streams from one sensor.

일 실시형태에서, 각각의 프로세서들은 공유 메모리 백본 (428) 을 공유한다. 그 결과, 하나 이상의 프로세서들이 오버로딩되는 경우 로드 밸런싱이 수행될 수 있다. 일 실시예에서, 센서 (426) 로부터 경로 (444) 를 통하여 흐르는 데이터 스트림들이 프로세서 (408) 프로세싱 능력을 압도하는 경우, 다른 프로세서들을 보조하여 프로세서 (408) 상의 로드를 감소시키도록 도울 수 있다.In one embodiment, each processor shares a shared memory backbone 428. As a result, load balancing can be performed when one or more processors are overloaded. In one embodiment, if data streams flowing from the sensor 426 through the path 444 overwhelm the processor 408 processing capability, other processors may be assisted to reduce the load on the processor 408.

로드 밸린싱 이외에도, 공유 메모리 백본은 또한 장애 허용을 위한 환경을 제공한다. 다시 말해, 프로세서 중 하나가 적절하게 동작하지 못하는 경우, 오기능 프로세서에 의해 이전에 지원받은 처리는 다른 프로세서들로 재분배된다. 일 실시예에서, 프로세서 (406) 가 적절하게 기능하고 있지 못하고 센서 (424) 로부터 오는 데이터 스트림들을 프로세싱할 수 없다면, 프로세서 (404) 는 센서 (424) 로부터의 데이터 스트림들을 처리하도록 지시받을 수 있다. 따라서, 작업로드를 재분배하는 능력은 전체 서버에 대한 중단을 발생시킴이 없이 부적합하게 기능하는 프로세서로 하여금 교체될 수 있게 한다.In addition to load balancing, the shared memory backbone also provides an environment for fault tolerance. In other words, if one of the processors fails to operate properly, the processing previously supported by the malfunctioning processor is redistributed to the other processors. In one embodiment, if processor 406 is not functioning properly and cannot process data streams from sensor 424, processor 404 may be instructed to process data streams from sensor 424. . Thus, the ability to redistribute the workload allows inadequately functioning processors to be replaced without incurring an outage for the entire server.

일 실시형태에서 두가지 유형의 프로세서들이 APECS (400) 내에 존재할 수 있다. 프로세서들의 첫번째 유형은 (프로세서 (404, 406, 또는 408) 와 같은) 세컨더리 프로세서이다. 각각의 세컨더리 프로세서는 대응하는 센서들로부터 수신된 데이터 스트림들을 프로세스하도록 구성된다. 추가로, 각각의 프로세서는 일 실시형태에서, 데이터를 분석하여, 대응하는 센서(들)과 함께 존재할 수 있는 임의의 가능성있는 문제를 식별하도록 구성된다.In one embodiment, two types of processors may exist within the APECS 400. The first type of processors is a secondary processor (such as processor 404, 406, or 408). Each secondary processor is configured to process data streams received from corresponding sensors. In addition, each processor is configured to, in one embodiment, analyze the data to identify any possible problems that may exist with the corresponding sensor (s).

프로세서의 두번째 유형은 프라이머리 프로세서 (402) 로서 알려져 있다. 도 4 는 하나의 프라이머리 프로세서만을 나타내고 있지만, 프라이머리 프로세서의 수는 사용자의 구성에 의존할 수 있다. 다른 실시형태에서, 프라이머리 프로세서는 하나 이상의 센서로부터의 데이터 스트림들을 처리하도록 구성될 수 있다. 일 실시예에서, 센서 (420) 에 의해 수집된 데이터 스트림들은 프로세싱을 위하여 경로 (438) 를 통하여 프라이머리 프로세서 (402) 에 전송된다.The second type of processor is known as the primary processor 402. 4 illustrates only one primary processor, the number of primary processors may depend on the configuration of the user. In another embodiment, the primary processor can be configured to process data streams from one or more sensors. In one embodiment, data streams collected by sensor 420 are sent to primary processor 402 via path 438 for processing.

프라이머리 프로세서에 대한 데이터의 다른 소스는 프로세스 모듈이다. 다시 말해, 프로세스 모듈에 의해 수집된 프로세스 모듈 데이터 및 프로세스 콘텍스트 데이터는 프라이머리 프로세서에 의해 프로세싱될 수 있다. 일 실시예에서, 프로세스 모듈에 의해 수집된 데이터는 경로 (454) 를 통하여 프로세스 제어 버스를 통해 APECS (400) 에 전송된다. 그 후, 데이터는 경로 (446) 를 통하여 프라이머리 프로세서 (402) 로 흐르게 하기 전에 먼저 이더넷 스위치 (418) 를 통하여 트래버스한다.Another source of data for the primary processor is a process module. In other words, process module data and process context data collected by the process module may be processed by the primary processor. In one embodiment, the data collected by the process module is sent to the APECS 400 via the process control bus via the path 454. Thereafter, the data first traverses through the Ethernet switch 418 before flowing through the path 446 to the primary processor 402.

데이터를 프로세싱하는 것에 더하여, 프라이머리 프로세서는 또한, 다수의 소스들로부터의 데이터를 분석하도록 구성된다. 일 실시예에서, 센서 (422 및 424) 로부터의 데이터 스트림들 간의 데이터 상관은 프라이머리 프로세서 (402) 에 의해 수행된다. 다른 실시예에서, 프로세스 모듈로부터의 데이터 스트림들과 하나 이상의 센서들로부터의 데이터 스트림들 간의 데이터 상관은 또한 프라이머리 프로세서 (402) 에 의해 수행된다.In addition to processing the data, the primary processor is also configured to analyze the data from multiple sources. In one embodiment, data correlation between data streams from sensors 422 and 424 is performed by primary processor 402. In another embodiment, data correlation between data streams from the process module and data streams from one or more sensors is also performed by the primary processor 402.

이때 데이터 소스들 각각에 대한 데이터 경로가 대략 유사한 길이로 이루어지기 때문에, 데이터를 상관시키는 것은 종래 기술에서 경험되는 것보다 상당히 적은 도전과제를 부여한다. 일 실시형태에서, (클러스터 툴 제어기 및/또는 팹 호스트와 같은) 다른 서버들을 통하여 진행해야 할 필요 없이 프로세스 모듈로부터 APECS (400) 로 데이터가 흐르기 때문에, 프로세스 모듈로부터의 데이터 스트림은, 도 1 및 도 2 에 설명된 바와 같이 (클러스터 툴 제어기, 팹 호스트 등과 같은) 다른 서버들을 통하여 데이터 스트림들이 전송되어야 할 경우, 발생할 수 있었던 (컴퓨터 드리프트, 네트워크 레이턴시, 네트워크 로딩 등과 같은) 컴퓨터 및/또는 네트워크 상태들로 인한 변화를 경험하지 않는다. 추가로, 이때, 상관 및 분석을 수행하는데 요구되는, 관련 데이터 스트림들 모두를 수신하는 대기 시간은 상당히 감소된다. 따라서, (컴퓨터 드리프트, 네트워크 레이턴시, 네트워크 로딩 등과 같은) 외부 상태들이 상당히 제거되었을 경우, 상이한 소스들로부터의 데이터의 상관이 상당히 단순화된다.Since the data paths for each of the data sources are of approximately similar lengths, correlating the data presents significantly less challenge than experienced in the prior art. In one embodiment, because data flows from the process module to the APECS 400 without having to proceed through other servers (such as cluster tool controllers and / or fab hosts), the data stream from the process module is shown in FIGS. Computer and / or network conditions (such as computer drift, network latency, network loading, etc.) that could occur when data streams had to be transmitted through other servers (such as cluster tool controllers, fab hosts, etc.) as described in FIG. I don't experience the change caused by In addition, the waiting time for receiving all of the relevant data streams required to perform correlation and analysis is then significantly reduced. Thus, when external conditions (such as computer drift, network latency, network loading, etc.) have been significantly removed, the correlation of data from different sources is greatly simplified.

데이터 경로 이외도, 단일의 소스로부터 보다 높은 세분도를 가진 보다 높은 데이터 볼륨이 상관을 수행하는데 보다 많은 데이터 포인트를 제공하기 때문에, 보다 신속하고 보다 정확한 분석을 수행할 수 있다. 종래 기술에서는, 종래 기술의 분석 서버가 과다한 데이터 소스들로부터의 높은 볼륨의 데이터를 처리할 수 없기 때문에 분석에 이용되는 데이터가 통상 불완전하게 되어 데이터 소스들 간의 상관이 통상 어렵게 된다. 종래 기술과 달리, 이때 각각의 분석 서버가 제한된 수의 데이터 소스 (프로세스 모듈 및 프로세서 모듈과 연관된 센서들) 로부터의 데이터만을 분석하는 것을 담당하기 때문에, 데이터 소스의 개수가 상당히 감소된다. 데이터 소스들의 수가 상당히 감소되기 때문에, 분석 서버가 단일의 소스로부터의 더 높은 볼륨의 데이터를 처리하는 능력을 갖게 된다. 보다 세분된 세부 사항이 제공되는 경우, 더 양호한 상관이 여러 소스들의 데이터 스트림들 간에 달성될 수 있다.In addition to the data path, higher data volumes with higher granularity from a single source provide more data points to perform correlation, allowing for faster and more accurate analysis. In the prior art, since the analysis server of the prior art cannot process a high volume of data from an excess of data sources, the data used for analysis is usually incomplete and the correlation between the data sources is usually difficult. Unlike the prior art, the number of data sources is significantly reduced because each analysis server is then responsible for analyzing only data from a limited number of data sources (sensors associated with the process module and processor module). Since the number of data sources is significantly reduced, the analytics server has the ability to process higher volumes of data from a single source. If more finer details are provided, better correlation can be achieved between data streams from different sources.

(제어되지 않은 이벤트와 같은) 문제가 식별되면, 프라이머리 프로세서는 금지 신호를 프로세스 모듈에 전송하도록 구성된다. 일 실시형태에서, 직접 디지털 출력 라인 (456) 을 채택하여 APECS (400) 로부터 프로세스 모듈에 금지 신호를 전송한다. 두개의 디바이스들 간의 직접 디지털 출력 라인을 이용하여, 금지 신호가 전송될 수 있기 전에 금지 신호가 이더넷 메시지로 먼저 변환될 필요가 없다. 따라서, 금지 신호를 적절하게 포맷하고 금지 신호를 되변환하는데 필요한 시간이 상당히 제거된다. 따라서, APECS (400) 는 제어되지 않은 이벤트를 처리하기 위하여 프로세서 모듈에 실시간 금지 신호 또는 근실시간 금지 신호를 제공할 수 있다.If a problem is identified (such as an uncontrolled event), the primary processor is configured to send a prohibition signal to the process module. In one embodiment, a direct digital output line 456 is employed to send a forbidden signal from the APECS 400 to the process module. With a direct digital output line between the two devices, the inhibit signal does not have to be converted first to an Ethernet message before the inhibit signal can be sent. Thus, the time required to properly format the inhibit signal and convert the inhibit signal back is significantly eliminated. Thus, the APECS 400 may provide a real time prohibition signal or a near real time prohibition signal to the processor module to handle an uncontrolled event.

다른 실시형태에서, 프라이머리 프로세서는 또한, 경로 (458) 를 통하여 다른 디바이스들과 상호작용하도록 구성될 수도 있다. 일 실시예에서, 클러스터 툴 제어기가 APECS (400) 에 요청을 전송하면, 요청은 경로 (458) 를 통하여 전송되어 프라이머리 프로세서 (402) 에 의해 처리될 수 있다. 다른 실시예에서, 팹 호스트에의 통지가 경로 (458) 및 클러스터 툴 제어기를 통하여 전송될 수 있다.In another embodiment, the primary processor may also be configured to interact with other devices via path 458. In one embodiment, when the cluster tool controller sends a request to APECS 400, the request can be sent via path 458 and processed by primary processor 402. In another embodiment, notification to the fab host may be sent via path 458 and the cluster tool controller.

본 발명의 하나 이상의 실시형태들로부터 알 수 있는 바와 같이, 프로세스 레벨 중재 아키텍쳐가 제공된다. 분석 서버를 프로세스 모듈 레벨에 국부화시킴으로써, 데이터 세분도가 분석에 제공되어 그 결과 보다 신속하고 보다 정확한 분석을 가져온다. 여러 데이터 센서들에 대하여 유사한 데이터 경로를 이용하여 보다 양호한 상관성이 여러 데이터 스트림들 간에 존재한다. 보다 신속하고 보다 정확한 분석에 의해, 다음 기판이 손상받는 것을 방지하는 정정 액션 뿐만 아니라 작용된 기판에 영향을 주는 제어되지 않은 이벤트를 수정하는 정정 액션을 제공하는 적시의 방식으로 제공된 금지 신호에 의해 보다 적시에 중재가 수행될 수 있고, 이에 의해 작용된 기판이 손상받는 것으로부터 보호된다. 따라서, 소수 개수의 기판만이 폐기되고 프로세싱 챔버 컴포넌트에 대한 손상이 실질적으로 감소될 수 있다.As can be seen from one or more embodiments of the present invention, a process level arbitration architecture is provided. By localizing the analysis server at the process module level, data granularity is provided for analysis, resulting in faster and more accurate analysis. Using similar data paths for different data sensors, better correlation exists between the different data streams. By faster and more accurate analysis, the prohibition signal provided in a timely manner provides corrective action to correct the uncontrolled event affecting the actuated substrate as well as corrective action to prevent the next substrate from being damaged. Intervention can be performed in a timely manner, thereby protecting the worked substrate from damage. Thus, only a small number of substrates can be discarded and damage to processing chamber components can be substantially reduced.

본 발명의 다른 양상에서, 본 발명자는 적시에 신속하고 정확한 분석을 수행할 수 있는 프로세스 레벨 중재 아키텍쳐에 의해 (마이크로 아킹 이벤트, 디처킹 이벤트, 스파이킹 이벤트 등과 같은) 고속 과도 이벤트들의 실시간 인시츄 검출을 식별하여 괸리할 수 있음을 알아냈다. 본 명세서에 설명된 바와 같이, 고속 과도 이벤트들은 기판 프로세싱 동안에 짧은 유지 기간 동안에 통상적이고 빠르게 일어날 수 있는 (마이크로 아킹 이벤트, 디처킹 이벤트, 스파이킹 이벤트 등과 같은) 이벤트를 의미한다. 각각의 이벤트가 지속할 수 있는 짧은 시간 길이와 스피드로 인하여, 고속 과도 이벤트를 식별하는 작업은 통상, 가능한한 전체 기판 로트가 처리된 후 오프라인에서 수행되었다.In another aspect of the present invention, the inventors detect real-time in situ detection of fast transient events (such as micro arcing events, dechucking events, spiking events, etc.) by a process level mediation architecture that can perform timely, fast and accurate analysis. We have found that we can identify and manage them. As described herein, fast transient events refer to events (such as micro arcing events, dechucking events, spiking events, etc.) that can occur conventionally and quickly during a short hold period during substrate processing. Due to the short time lengths and speeds at which each event can persist, identifying fast transient events has typically been done off-line after the entire substrate lot has been processed as much as possible.

일 실시예에서, 하나 이상의 기판들이 예를 들어 광학적 메트롤로지 툴을 이용하여 검사될 수 있다. 그러나, 이 검사는 실시간 검출을 제공하지 못한다. 그 대신, 예를 들어, 마이크로 아킹 이벤트가 기판에서 발생한 것으로서 식별되었을 때까지, 기판은 손상될 뿐만 아니라 기판 로트의 나머지 부분도 또한 손상받을 수 있다. 추가로, 프로세싱 챔버 내의 하드웨어 컴포넌트에의 손상이 또한 발생할 수도 있다.In one embodiment, one or more substrates can be inspected using, for example, an optical metrology tool. However, this test does not provide real time detection. Instead, the substrate can be damaged as well as the rest of the substrate lot, for example, until a microarking event has been identified as occurring in the substrate. In addition, damage to hardware components within the processing chamber may also occur.

최근, 고속 과도 전기 시그너처 (electrical signature)(이 시그너처는 고속 과도 이벤트들의 결과물임) 가 캡쳐되게끔 할 수 있는 고속 과도 센서들이 개발되어 왔다. 그러나, 대부분의 고속 과도 센서들은 전기 시그너처들을 분류하는 능력을 갖고 있지 않다. 즉, 고속 과도 센서들이 데이터를 수집할 수는 있지만, 고속 과도 센서는 통상적으로, 잠재적으로 유해한 이벤트들을 식별하도록 채택될 수 있는 중요한 전기 시그너처들로 데이터를 분류하는 능력을 갖고 있지 않다.Recently, fast transient sensors have been developed that can allow fast transient electrical signatures (which are the result of fast transient events) to be captured. However, most high speed transient sensors do not have the ability to classify electrical signatures. That is, although fast transient sensors can collect data, fast transient sensors typically do not have the ability to classify data into important electrical signatures that can be employed to identify potentially harmful events.

예를 들어, 에치 프로세스 동안에 전기 전하가 축적하여 마이크로 아킹의 발생을 야기할 수 있는 상황을 고려하여 본다. 본 명세서에 설명된 바와 같이, 마이크로 아킹은 전력이 빠르게 소산될 때 발생하는 이벤트를 의미하며, 이 소산은 기판 상의 패턴에 (층의 파괴, 패턴의 파괴, 융용된 층 등과 같은) 손상을 야기한다. VI 프로브를 채택하여, 마이크로 아킹에 대한 데이터를 수집할 수도 있다. 그러나, VI 프로브와 같은 대부분의 고속 과도 센서들은 데이터를 해석하고, 마이크로 아킹 이벤트와 같은 고속 과도 이벤트가 발생하였을 때를 식별하는 인식 능력을 결여한다.For example, consider a situation in which electrical charge may accumulate during the etch process, causing micro arcing to occur. As described herein, micro arcing refers to an event that occurs when power dissipates quickly, which causes damage to the pattern on the substrate (such as breaking the layer, breaking the pattern, melted layer, etc.). . The VI probe can also be employed to collect data on microarking. However, most high-speed transient sensors, such as VI probes, lack the ability to interpret data and identify when high-speed transient events, such as microarcing events, occur.

그 대신에, 고속 과도 센서에 의해 수집된 데이터는 인간과 같은 제삼자에 의해 또는 소프트웨어 프로그램에 의해 분석되어야 할 수 있다. 일 실시예에서, 인간 사용자는 과대한 양의 데이터를 분석해야 할 수 있고 기판 프로세싱 동안에 고속 과도 이벤트가 발생하였는지의 결정을 (자신의 경험에 기초하여) 행해야 할 수 있다. 데이터를 분석하는 작업은 시간마다 또는 주마다 행할 수 있다. 데이터 분석이 소프트웨어 프로그램에 의해 수행되는 경우에도, 수백만개의 데이터 샘플을 분석하는 것은 시간을 필요로 할 수 있다. 문제가 식별되는 시간까지, 하나 이상의 기판 및/또는 프로세싱 챔버의 하드웨어 컴포넌트들에 대한 손상들은 이미 발생하였을 수 있다.Instead, the data collected by the fast transient sensor may have to be analyzed by a third party, such as a human, or by a software program. In one embodiment, a human user may have to analyze an excessive amount of data and make a determination (based on his or her experience) whether a fast transient event has occurred during substrate processing. Analyzing data can be done hourly or weekly. Even if data analysis is performed by a software program, analyzing millions of data samples can take time. By the time the problem is identified, damages to one or more substrates and / or hardware components of the processing chamber may have already occurred.

마이크로 아킹 이벤트들과 같은 고속 과도 이벤트들을 검출하는 것은 마이크로 아킹 이벤트가 통상적으로 예측가능한 현상이 아니기 때문에 어려운 작업일 수 있다. 즉, 예를 들어, 마이크로 아킹이 모든 기판에 대하여 항상 발생하는 것은 아니다. 본 발명의 일 양상에서, 본 발명자는 마이크로 아킹 이벤트의 타이밍이 예측불가능한 경우에도 마이크로 아킹 이벤트의 전기 시그너처는 예측가능하다는 것을 알아냈다. 즉, 각각의 마이크로 아킹 이벤트는 고유의 시그너처에 의해 표현될 수 있다.Detecting fast transient events, such as micro arcing events, can be a difficult task because micro arcing events are not typically predictable. That is, for example, microarking does not always occur for all substrates. In one aspect of the invention, the inventors have found that the electrical signature of the microarking event is predictable even when the timing of the microarking event is unpredictable. That is, each micro arcing event can be represented by a unique signature.

도 5 는 마이크로 아킹 이벤트 (곡선 502) 의 간략도를 나타낸다. 곡선 502 로부터 알 수 있는 바와 같이, 온 웨이퍼 마이크로 아킹 이벤트가 발생하는 경우, 전압 및 전류 신호들이 급격한 강하 (504) 를 동시에 경험한다. 그후, 전압 전류 신호들이 양쪽 모두가 강하하는 포인트와는 상이한 레벨에 있을 수 있는 평탄 영역 (506) 으로 점차적으로 상승하기 때문에 전압 및 전류 신호들은 역 붕괴를 진행한다.5 shows a simplified diagram of a microarking event (curve 502). As can be seen from curve 502, when an on wafer microarking event occurs, the voltage and current signals experience a sharp drop 504 simultaneously. Thereafter, the voltage and current signals undergo reverse decay because the voltage current signals gradually rise to a flat region 506, which may be at a different level than the point at which both drop.

본 발명의 실시형태들에 따르면, 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 마이크로 아킹 이벤트와 같은 고속 과도 이벤트를 처리하기 위한 방법 및 장치가 제공된다. 본 발명의 실시형태는 고속 과도 이벤트 (예를 들어, 마이크로 아킹) 를 검출하는 방법을 포함한다. 본 발명의 실시형태들은 또한, (아크 시그너처와 같은) 알려진 고속 과도 시그너처와의 시그너처 비교를 수행함으로써 고속 과도 전기 시그너처를 분류하는 방법을 포함한다. 본 발명의 실시형태는 고속 과도 이벤트의 심각도 (severity) 를 분류하는 방법을 더 포함한다. 본 발명의 실시형태들은 또한, 실시간 제조 환경 동안에 손상을 최소하기 위하여 고속 과도 이벤트를 관리하는 방법을 더 포함한다.In accordance with embodiments of the present invention, a method and apparatus are provided for processing a fast transient event, such as a microarking event, in a processing chamber of a plasma processing system. Embodiments of the present invention include a method for detecting a fast transient event (eg, microarking). Embodiments of the invention also include a method of classifying a fast transient electrical signature by performing a signature comparison with a known fast transient signature (such as an arc signature). Embodiments of the present invention further include a method of classifying the severity of a fast transient event. Embodiments of the present invention also further include a method of managing fast transient events to minimize damage during a real-time manufacturing environment.

이 공개물에서는, 여러 구현예들이 일례로서 마이크로 아킹을 이용하여 설명될 수 있다. 그러나, 본 발명은 마이크로 아킹으로 제한되지 않고 기판 프로세싱 동안에 발생할 수 있는 임의의 고속 과도 이벤트를 포함할 수 있다. 그 대신에, 본 설명은 일례로서 의미되며, 본 발명은 설명된 실시예들로 제한되지 않는다.In this publication, various embodiments may be described using microarking as an example. However, the present invention is not limited to micro arcing and may include any fast transient events that may occur during substrate processing. Instead, the description is meant as an example, and the invention is not limited to the described embodiments.

본 발명의 실시형태에서, 잠재적인 마이크로 아킹 이벤트를 검출하는 방법 및 장치가 제공된다. 상술한 바와 같이, 고속 샘플링 레이트 (예를 들어, 초당 수백만 또는 수십억의 데이터 포인트들을 수집함) 를 수행할 수 있는 (VI 프로브들과 같은) 고속 과도 센서들을 채택하여, 기판 프로세싱 동안에 데이터를 수집할 수 있다. 일 실시형태에서, 예를 들어, VI 프로브가 기판 프로세싱 동안에 데이터를 수집하는 동안 고속 샘플링 과도 검출 알고리즘이 실행되고 있을 수 있다. 일 실시형태에서, 고속 샘플링 과도 검출 알고리즘은 잠재적인 고속 과도 전기 신호를 정의하는 기준을 포함할 수 있다. 일 실시예에서, 잠재적인 온 웨이퍼 마이크로 아킹 이벤트를 식별하기 위하여, 고속 샘플링 과도 검출 알고리즘은 전압 및 전류 신호 둘다가 동시에 강하하는 이벤트를 탐색할 수 있다. 일 실시예에서, 잠재적인 챔버 마이크로 아킹 이벤트를 식별하기 위하여, 고속 샘플링 과도 검출 알고리즘은 전압 및 전류 신호 둘다가 스파이킹하는 이벤트를 탐색하도록 채택될 수 있다.In an embodiment of the present invention, a method and apparatus for detecting a potential micro arcing event is provided. As described above, high speed transient sensors (such as VI probes) can be employed to perform high sampling rates (eg, collecting millions or billions of data points per second) to collect data during substrate processing. Can be. In one embodiment, for example, a fast sampling transient detection algorithm may be running while the VI probe collects data during substrate processing. In one embodiment, the fast sampling transient detection algorithm may include criteria to define a potential fast transient electrical signal. In one embodiment, to identify potential on wafer microarking events, the fast sampling transient detection algorithm may search for events in which both voltage and current signals drop simultaneously. In one embodiment, to identify potential chamber microarking events, a fast sampling transient detection algorithm may be employed to search for events spiked by both voltage and current signals.

일 실시형태에서, 고속 샘플링 과도 알고리즘은 (VI 프로브 제어기와 같은) 센서 제어기, 및 센서 (예를 들어, VI 프로브) 에 연결되고 센서 (예를 들어, VI 프로브) 에 인터페이스를 제공하고 센서 (예를 들어, VI 프로브) 로부터 데이터를 수신하도록 구성되는 컴퓨팅 모듈에 의해 실행된다. 다른 실시형태에서, 고속 샘플링 과도 알고리즘은 센서 제어기 (예를 들어, VI 프로브 제어기) 와 상호작용하는 컴퓨팅 모듈에 의해 실행된다. 또 다른 실시형태에서, 고속 샘플링 과도 알고리즘은 센서 (예를 들어, VI 프로브) 와 직접 상호작용하는 분석 모듈에 의해 실행된다.In one embodiment, the fast sampling transient algorithm is coupled to a sensor controller (such as a VI probe controller), and a sensor (eg, VI probe) and provides an interface to the sensor (eg, VI probe) and provides a sensor (eg, a VI probe). For example, by a computing module configured to receive data from a VI probe). In another embodiment, the fast sampling transient algorithm is executed by a computing module that interacts with a sensor controller (eg, VI probe controller). In another embodiment, the fast sampling transient algorithm is executed by an analysis module that directly interacts with the sensor (eg, VI probe).

잠재적 마이크로 아크 이벤트가 센서 (예를 들어, VI 프로브) 또는 센서 (예를 들어, VI 프로브) 와 상호 작용하는 컴퓨팅 모듈에 의해 식별되면, 일 실시형태에서, 이벤트 발생 근방에서 발생하는 전압 및 전류 신호들의 파형 (예를 들어, 전기 시그너처) 은 분석을 위하여 프로세스 모듈 레벨 분석 서버 (예를 들어, APECS (314)) 와 같은 분석 모듈에 저장 및 전달될 수 있다. 다시 말하면, 센서 레벨에서의 검출을 수행함으로써, (마이크로 아킹과 같은) 잠재적인 고속 과도 전기 시그너처에 대한 정보만을 추가 분석을 위하여 분석 모듈을 향해 전달한다. 따라서, 분석을 위하여 분석 모듈에 모든 데이터를 전송하는 대신에, 필터링을 수행하여, 데이터 경로를 따라 전송되는 데이터 트래픽의 양을 감소시킬 수 있고, 이에 의해 대역폭 요건을 감소시키고 분석 모듈의 프로세서 능력을 감소시킨다.If a potential micro arc event is identified by a sensor (eg, a VI probe) or a computing module that interacts with the sensor (eg, a VI probe), in one embodiment, the voltage and current signals that occur near the event occurrence. Waveforms (eg, electrical signatures) may be stored and communicated to an analysis module, such as a process module level analysis server (eg, APECS 314) for analysis. In other words, by performing detection at the sensor level, only information about potential high-speed transient electrical signatures (such as microarking) is passed towards the analysis module for further analysis. Thus, instead of sending all the data to the analysis module for analysis, filtering may be performed to reduce the amount of data traffic transmitted along the data path, thereby reducing bandwidth requirements and reducing the processor capability of the analysis module. Decrease.

그러나, 잠재적 마이크로 아킹 이벤트가 센서 (예를 들어, VI 프로브) 와 직접 상호작용하는 분석 모듈에 의해 식별되면, 일 실시형태에서, 데이터 필터링은 요구되지 않는다. 그 대신에, 프로세스 레벨 중재 아키텍쳐의 일부인 (APECS (314) 와 같은) 분석 모듈은 큰 볼륨의 데이터를 처리할 수 있는 고속 프로세서를 가질 수 있다. 고유의 진보된 프로세스 레벨 중재 아키텍쳐가 주어지면, 다른 유형의 분석 아키텍쳐에서 발생할 수 있는 일반적인 데이터 트래픽 혼잡이 실질적으로 제거될 수 있다. 그 결과, 분석 모듈은 수백만개의 데이터 샘플들을 고속으로 그리고 효율적으로 분석할 수 있다.However, if a potential micro arcing event is identified by an analysis module that interacts directly with a sensor (eg, a VI probe), in one embodiment, data filtering is not required. Instead, an analysis module (such as APECS 314) that is part of the process level arbitration architecture may have a high speed processor capable of processing large volumes of data. Given its own advanced process level arbitration architecture, the general data traffic congestion that can occur in other types of analytic architectures can be substantially eliminated. As a result, the analysis module can analyze millions of data samples quickly and efficiently.

본 발명의 일 실시형태에서, 잠재적 고속 과도 전기 시그너처의 분류를 수행할 수 있다. 일 실시예에서, 잠재적 고속 과도 이벤트의 파형이 분석 모듈에 의해 수신되면, 분석 모듈은 (아크 시그너처들의 세트와 같은) 고속 과도 시그너처들의 세트에 대하여 잠재적인 고속 과도 전기 시그너처를 비교할 수 있다. 일 실시형태에서, 마이크로 아킹과 같이 고속 과도 이벤트의 예들일 수 있는 알려진 여러가지 파형들은 라이브러리 내에 저장될 수 있다.In one embodiment of the present invention, classification of potential fast transient electrical signatures may be performed. In one embodiment, once a waveform of a potential fast transient event is received by the analysis module, the analysis module may compare the potential fast transient electrical signature against a set of fast transient signatures (such as a set of arc signatures). In one embodiment, various known waveforms may be stored in the library, which may be examples of fast transient events, such as micro arcing.

일 실시형태에서, 잠재적인 고속 과도 전기 시그너처가 라이브러리 내에 저장된 고속 과도 시그너처들의 세트 중 하나와 매치하면, 고속 과도 이벤트의 심각도가 결정될 수 있다. 일 실시예에서, 고속 과도 이벤트는 프로세싱되는 기판 상에 영향을 거의 또는 전혀 주지 않을 수 있는 이벤트들일 수 있다. 따라서, 이벤트는 낮은 심각도 레벨을 가진 이벤트로서 분류될 수 있다. 다른 실시예에서, 고속 과도 이벤트는 프로세싱되는 현재의 기판 상에 손상을 줄 수 있는 이벤트들일 수 있다. 따라서, 고속 과도 이벤트는 높은 심각도 레벨을 가진 이벤트로 분류될 수 있다.In one embodiment, if the potential fast transient electrical signature matches one of the set of fast transient signatures stored in the library, the severity of the fast transient event can be determined. In one embodiment, fast transient events may be events that may have little or no impact on the substrate being processed. Thus, an event can be classified as an event with a low severity level. In another embodiment, fast transient events may be events that can damage on the current substrate being processed. Thus, a fast transient event can be classified as an event with a high severity level.

고속 과도 이벤트의 심각도를 식별함으로써, 고속 과도 이벤트를 처리하는 최상의 방법에 대한 결정을 행할 수 있다. 본 발명의 일 실시형태에서, 미리 정의된 액션 절차 (course of action) 는 고속 과도 이벤트의 심각도에 의존하여 제공될 수 있다. 일 실시예에서, 낮은 심각도 레벨을 가진 고속 과도 이벤트는 경고를 트리거할 수 있는 반면, 높은 심각도 레벨을 가진 고속 과도 이벤트는 예를 들어 에칭 프로세스의 종료를 가져올 수 있다.By identifying the severity of the fast transient event, one can make a decision about the best way to handle the fast transient event. In one embodiment of the present invention, a predefined course of action may be provided depending on the severity of the fast transient event. In one embodiment, a fast transient event with a low severity level may trigger an alert, while a fast transient event with a high severity level may result in the termination of an etching process, for example.

설명을 용이하게 하기 위하여, 도 6a 는 본 발명의 일 실시형태에서, 프로세싱 환경의 단순 블록도를 나타낸다. 프로세싱 시스템 (600) 은 기판 (604) 이 프로세싱되고 있는 프로세싱 챔버 (602) 를 포함할 수 있다. 기판 프로세싱 동안, 가스 (도시 생략) 가 (매치 박스들의 세트 (608) 를 통하여 RF 생성기들의 세트 (606) 를 통해 제공된) 전력과 상호작용하여 기판을 에칭하기 위한 플라즈마를 생성할 수 있다.For ease of explanation, FIG. 6A shows a simple block diagram of a processing environment in one embodiment of the present invention. The processing system 600 can include a processing chamber 602 in which the substrate 604 is being processed. During substrate processing, a gas (not shown) may interact with the power (provided via the set of RF generators 606 through the set of match boxes 608) to generate a plasma for etching the substrate.

기판 프로세싱 동안에, 축적된 전기 전하는 고속 과도 이벤트를 발생하게 한다면, 데이터는 VI 프로브 (610) 에 의해 수집되고 고속 샘플링 과도 검출 알고리즘 모듈 (616) 에 의해 식별될 수 있다. 일 실시형태에서, 고속 샘플링 과도 검출 알고리즘 모듈 (616) 은 고속 과도 이벤트를 정의하기 위한 기준을 포함할 수 있다. 일 실시형태에서, 고속 샘플링 과도 검출 알고리즘 모듈은 기판 프로세싱 동안에 실행하도록 구성될 수 있다.During substrate processing, if the accumulated electrical charge causes a fast transient event, the data may be collected by the VI probe 610 and identified by the fast sampling transient detection algorithm module 616. In one embodiment, the fast sampling transient detection algorithm module 616 may include criteria for defining a fast transient event. In one embodiment, the fast sampling transient detection algorithm module may be configured to execute during substrate processing.

일 실시형태에서, 수집된 데이터는 경로들 (614) 의 세트를 따라 VI 프로브 제어기 (612) 에 전달될 수 있다. VI 프로브 제어기 (612) 는 적어도 VI 프로브 (610) 를 관리하기 위하여 구성된다. 일 실시형태에서, VI 프로브 제어기 (612) 는 또한, 고속 샘플링 과도 검출 알고리즘 모듈 (616) 을 포함할 수 있다.In one embodiment, the collected data may be passed to the VI probe controller 612 along the set of paths 614. The VI probe controller 612 is configured to manage at least the VI probe 610. In one embodiment, the VI probe controller 612 can also include a fast sampling transient detection algorithm module 616.

다른 실시형태에서, 고속 샘플링 과도 검출 알고리즘 모듈 (616) 은 VI 프로브 제어기 (612) 와 통신하는 독립적인 컴퓨팅 모듈일 수 있다. 즉, VI 프로브 (610) 에 의해 수집된 데이터는 VI 프로브 제어기 (612) 를 통하여 고속 샘플링 과도 검출 알고리즘 모듈 (616) 에 전송될 수 있다. 고속 샘플링 과도 검출 알고리즘 모쥴 (616) 을 독립적인 모듈로 형성함으로써, VI 프로브 제어기 (612) 가 추가적인 프로세싱을 처리할 수 없는 경우에도 VI 프로브 제어기 (612) 가 변경될 필요가 없다.In another embodiment, the fast sampling transient detection algorithm module 616 may be an independent computing module in communication with the VI probe controller 612. That is, data collected by the VI probe 610 may be sent to the fast sampling transient detection algorithm module 616 via the VI probe controller 612. By forming the fast sampling transient detection algorithm module 616 as an independent module, the VI probe controller 612 need not be changed even if the VI probe controller 612 cannot handle further processing.

다른 실시형태에서, 데이터를 VI 프로브 제어기 (612) 에 전송하는 대신에, 데이터는 (도 6b에 도시된 바와 같이) VI 프로브 (610) 로부터 경로 (650) 를 통하여, 고속 샘플링 과도 검출 알고리즘 모듈 (616) 을 하우징할 수 있는 분석 모듈 (618) 에 직접 전송될 수 있다. 데이터를 직접 전송하여 모듈 (618) 을 분석함으로써 VI 프로브 (610) 에 의해 수집된 데이터는 예비 프로세싱될 필요가 없다. 추가로, (VI 프로브 제어기 (612) 와 같은) 컴퓨팅 모듈이 제거되어 부동산의 간접 비용이 감소될 수 있다. 그 대신에, 분석 모듈 (618) 이 잠재적 고속 과도 전기 시그너처를 식별하기 위해 채택될 수 있다.In another embodiment, instead of sending data to VI probe controller 612, the data is routed from VI probe 610 via path 650 (as shown in FIG. 6B) to a fast sampling transient detection algorithm module (as shown in FIG. 6B). 616 can be sent directly to an analysis module 618 that can house it. The data collected by the VI probe 610 need not be preprocessed by sending the data directly to analyze the module 618. In addition, computing modules (such as VI probe controller 612) may be eliminated to reduce indirect costs of real estate. Instead, analysis module 618 may be employed to identify potential high speed transient electrical signatures.

잠재적 고속 과도 전기 시그너처가 미리 정의된 기준에 기초하여 검출되었다면, 잠재적 고속 과도 전기 시그너처는 프로세스 모듈 레벨 분석 서버 (예를 들어, APECS (314)) 와 같은 분석 모듈 (618) 에 의해 분류될 수 있다. 일 실시형태에서, 분석 모듈 (618) 은 아크 시그너처와 같은, 라이브러리 내에 저장된 고속 과도 시그너처들의 세트에 대하여 잠재적 고속 과도 시그너처들을 비교함으로써 시그너처 비교를 수행할 수 있다. 매치가 식별되면, 고속 과도 이벤트가 발생하였던 것으로 간주된다.If a potential fast transient electrical signature was detected based on a predefined criterion, the potential fast transient electrical signature can be classified by an analysis module 618 such as a process module level analysis server (eg, APECS 314). . In one embodiment, analysis module 618 may perform signature comparison by comparing potential fast transient signatures against a set of fast transient signatures stored within a library, such as an arc signature. If a match is identified, it is assumed that a fast transient event has occurred.

일 실시형태에서, 분석 모듈 (618) 은 고속 과도 이벤트의 심각도를 결정하도록 구성된다. 당해 기술 분야의 당업자는 고속 과도 이벤트들이 상이한 심각도 (예를 들어, 세기 (intensity)) 레벨들을 가질 수 있음을 알고 있다. 따라서, 각각의 고속 과도 이벤트의 심각도를 결정하는 알고리즘이 제공된다. 일 실시형태에서, 심각도 레벨/임계 범위는 미리 정의될 수도 있고 사용자 구성가능할 수도 있다. 일 실시예로서, 전류 또는 전압 신호에서의 4dB 보다 큰 강하 및 15 마이크로초 보다 긴 (강하에서 회복까지의 기간으로서 정의되는) 유지 기간은 웨이퍼 상의 손상의 검출을 위한 적절한 임계값들로서 간주될 수 있다.In one embodiment, the analysis module 618 is configured to determine the severity of the fast transient event. One skilled in the art knows that fast transient events can have different severity (eg, intensity) levels. Thus, an algorithm is provided for determining the severity of each fast transient event. In one embodiment, the severity level / critical range may be predefined or user configurable. As an example, a drop greater than 4 dB in the current or voltage signal and a hold period longer than 15 microseconds (defined as the period from drop to recovery) may be considered as appropriate thresholds for detection of damage on the wafer. .

고속 과도 이벤트에 대한 심각도 레벨이 분류되었다면, 액션 절차가 적용될 수 있다. 일 실시형태에서, 액션 절차들은 미리 결정될 수 있고 심각도 레벨/임계 범위와 연관될 수 있다. 일 실시형태에서, 액션 절차는 사용자 구성가능할 수 있다. 일 실시예에서, 작은 전압 및 전류 강하를 갖는 (마이크로 아킹과 같은) 고속 과도 전기 시그너처는 무해한 것으로 간주될 수 있고 단지 통지가 오퍼레이터에게 전송되는 것만이 요구될 수 있다. 다른 예에서, 큰 전압 및 전류 강하를 갖는 고속 과도 전기 시그너처는 높은 심각도 레벨을 갖는 이벤트로서 간주될 수 있고 기판 프로세스의 종료가 트리거될 수 있다.If the severity level for the fast transient event has been classified, an action procedure may be applied. In one embodiment, action procedures may be predetermined and associated with a severity level / critical range. In one embodiment, the action procedure may be user configurable. In one embodiment, fast transient electrical signatures (such as microarking) with small voltage and current drops may be considered harmless and only a notification may be required to be sent to the operator. In another example, a fast transient electrical signature with a large voltage and current drop can be considered as an event with a high severity level and termination of the substrate process can be triggered.

도 7 은 본 발명의 일 실시형태에서 고속 샘플링 과도 검출 알고리즘이 분석 모듈의 일부가 아닌 제조 환경 내의 실시간 고속 과도 이벤트를 검출하는 방법을 설명하는 단순 플로우 차트를 나타낸다.FIG. 7 shows a simple flow chart illustrating how in one embodiment of the present invention a fast sampling transient detection algorithm detects a real time fast transient event in a manufacturing environment that is not part of an analysis module.

제 1 단계 702 에서, 기판 프로세싱이 개시된다. 예를 들어, 기판 (604) 이 프로세싱 챔버 (602) 내에서 프로세싱되고 있는 상황을 고려하여 본다.In a first step 702, substrate processing begins. For example, consider the situation where the substrate 604 is being processed within the processing chamber 602.

다음 단계 704 에서, 프로세싱 챔버 내의 기판 프로세싱이 모니터링된다. 단계 704a 에서, VI 프로브들과 같은 고속 과도 센서들이 전기적 파라미터들 (예를 들어, 상이한 위상, 기본 주파수 및 고주파들에서의 전압 및 전류 신호들) 을 모니터링할 수 있다. 대략 동일한 시간에, 단계 704b 에서, 고속 샘플링 과도 검출 알고리즘이 실행될 수 있다.In a next step 704, substrate processing in the processing chamber is monitored. In step 704a, fast transient sensors, such as VI probes, can monitor electrical parameters (eg, voltage and current signals at different phases, fundamental frequencies, and high frequencies). At about the same time, in step 704b, a fast sampling transient detection algorithm may be executed.

다음 단계 706 에서, 잠재적 고속 과도 이벤트에 대한 결정이 행해진다. 다시 말하면, 고속 샘플링 과도 검출 알고리즘은 예를 들어, 마이크로 아킹과 같은, 잠재적 고속 과도 이벤트를 정의하는 기준을 포함할 수 있다. VI 프로브에 의해 수집된 데이터가 고속 샘플링 과도 검출 알고리즘에 의해 정의된 기준을 충족시키지 않으면, 잠재적 고속 과도 이벤트가 발생하지 않은 것이며 VI 프로브는 기판 프로세스를 모니터링하는 것을 계속한다 (단계 704).In a next step 706, a determination is made of potential fast transient events. In other words, the fast sampling transient detection algorithm may include criteria to define potential fast transient events, such as, for example, microarking. If the data collected by the VI probe does not meet the criteria defined by the fast sampling transient detection algorithm, no potential fast transient event has occurred and the VI probe continues to monitor the substrate process (step 704).

그러나, 잠재적 고속 과도 이벤트가 식별되면, 단계 708 에서, 잠재적 고속 과도 이벤트의 발생 근방에서 전압 및 전류 파형이 저장될 수 있다.However, once a potential fast transient event is identified, at step 708, the voltage and current waveforms may be stored near the occurrence of the potential fast transient event.

다음 단계 710 에서, 저장된 파형은 분석 모듈에 전송된다. 일 실시형태에서, 잠재적 고속 과도 이벤트의 발생과 관련된 데이터만이 저장되고 전송될 수 있다. 잠재적 고속 과도 전기 시그너처만을 전송함으로써, 자원 누출이 최소화될 수 있다. 추가로, 예비 프로세싱이 (VI 프로브 제어기와 같은) 센서 제어기에 의해서만 수행되었기 때문에, 분석 모듈은 데이터를 분석하고 잠재적 고속 과도 이벤트에 대한 액션 절차를 신속하게 분류 및 결정하기 위하여 고속 프로세서를 포함하는 것이 필요없을 수 있다.In a next step 710, the stored waveform is sent to the analysis module. In one embodiment, only data related to the occurrence of a potential fast transient event may be stored and transmitted. By sending only potential high-speed transient electrical signatures, resource leakage can be minimized. In addition, since preliminary processing was performed only by a sensor controller (such as a VI probe controller), the analysis module includes a high speed processor to analyze the data and to quickly classify and determine action procedures for potential fast transient events. It may not be necessary.

다음 단계 712 에서, 시그너처 비교가 분석 모듈에 의해 수행된다. 일 실시형태에서, 분석 모듈은 고속 과도 시그너처들의 세트에 대하여 잠재적 고속 과도 전기 시그너처를 비교할 수 있다. 일 실시형태에서, 고속 과도 시그너처들의 세트가 라이브러리 내에 저장될 수 있다. 일 실시형태에서, 라이브러리는 또한 상관이 수행되도록 하게 하는 비고속 과도 시그너처를 포함할 수 있다.In a next step 712, signature comparison is performed by the analysis module. In one embodiment, the analysis module can compare the potential fast transient electrical signatures against the set of fast transient signatures. In one embodiment, a set of fast transient signatures can be stored in a library. In one embodiment, the library may also include non-fast transient signatures that allow correlation to be performed.

다음 단계 714 에서, 잠재적 고속 과도 전기 시그너처의 분류에 대한 결정이 행해진다. 시그너처 비교가 식별되는 매치가 없다는 결과를 가져오면, 잠재적 고속 과도 전기 시그너처를 대상이 되는 고속 과도 전기 시그너처로 분류하지 않는다 (단계 716). 일 실시형태에서, 잠재적 고속 과도 전기 시그너처는 폐기될 수 있다. 다른 실시형태에서, 잠재적 고속 과도 전기 시그너처는 새로운 고속 과도 전기 시그너처로서 라이브러리에 추가될 수 있다 (단계 718).At a next step 714, a determination is made of the classification of the potential fast transient electrical signature. If the signature comparison results in no match being identified, then the potential fast transient electrical signature is not classified as the targeted fast transient electrical signature (step 716). In one embodiment, the potential high speed transient electrical signature may be discarded. In another embodiment, a potential high speed transient electrical signature may be added to the library as a new high speed transient electrical signature (step 718).

그러나, 시그너처 비교가 고속 과도 전기 시그너처가 식별된다는 결과를 가져오면, 다음 단계 720 에서, 고속 과도 이벤트의 심각도가 결정된다. 일 실시예에서, 심각도는 낮은 값에서 높은 값까지의 범위일 수 있다. 일 실시형태에서, 심각도는 임계 범위들의 미리 정의된 세트에 기초할 수 있다. 일 실시형태에서, 고속 과도 전기 시그너처가 라이브러리에 추가될 수도 있다 (단계 718). 단계 718 는 선택적 단계이며, 실시간 고속 과도 이벤트들을 검출하는데 있어 요구되지 않는다.However, if the signature comparison results in that a fast transient electrical signature is identified, then at step 720, the severity of the fast transient event is determined. In one embodiment, the severity can range from a low value to a high value. In one embodiment, the severity may be based on a predefined set of threshold ranges. In one embodiment, a fast transient electrical signature may be added to the library (step 718). Step 718 is an optional step and is not required to detect real time fast transient events.

다음 단계 722 에서, 액션 절차가 결정된다. 심각도 레벨이 결정되었다면, 액션 절차가 실행될 수 있다. 일 실시형태에서, 액션 절차는 미리 정의될 수 있다. 일 실시예에서, 낮은 심각도 레벨을 가진 고속 과도 전기 시그너처는 오퍼레이터에게 통지를 트리거할 수 있다. 다른 실시예에서, 중간 심각도 레벨을 가진 고속 과도 전기 시그너처는 알람을 트리거할 수 있다. 또 다른 실시예에서, 높은 심각도 레벨을 가진 고속 과도 전기 시그너처는 기판 프로세스의 종료를 트리거할 수 있다. 상술한 설명으로부터 알 수 있는 바와 같이, 심각도 레벨 및 심각도 레벨과 관련된 액션 절차는 사용자 구성가능할 수 있다.In a next step 722, an action procedure is determined. Once the severity level has been determined, an action procedure can be executed. In one embodiment, the action procedure may be predefined. In one embodiment, a fast transient electrical signature with a low severity level may trigger a notification to the operator. In another embodiment, a fast transient electrical signature with a medium severity level can trigger an alarm. In yet another embodiment, a fast transient electrical signature with a high severity level can trigger termination of the substrate process. As can be seen from the above description, the severity level and the action procedure associated with the severity level can be user configurable.

도 7 은 제조 환경 내에서 실시간 고속 과도 이벤트를 검출하기 위한 방법을 구현하는 단지 실시형태만을 나타낸다. 다른 실시예에서, 본 방법은 또한, 일 실시형태에서 고속 샘플링 과도 검출 알고리즘이 분석 모듈의 일부인 실시간 고속 과도 이벤트를 검출하는데 적용될 수 있다. 이러한 유형의 환경에서, 고속 샘플링 과도 검출 알고리즘의 실행은 VI 프로브 제어기 대신에 (APECS (314) 와 같은) 분석 모듈에 의해 수행될 수 있다. 일 실시형태에서, 분석 모듈은 높은 볼륨의 데이터를 처리할 수 있는 고속 프로세싱 컴퓨팅 모듈이다. 일 실시형태에서, 분석 모듈은 센서와 직접 연결된다. 따라서 데이터는 센서에 의해 수집되고, 분석 모듈에 직접 전송된다.7 shows only an embodiment implementing a method for detecting real time fast transient events within a manufacturing environment. In another embodiment, the method may also be applied to detect a real time fast transient event in one embodiment where a fast sampling transient detection algorithm is part of the analysis module. In this type of environment, the execution of the fast sampling transient detection algorithm may be performed by an analysis module (such as APECS 314) instead of the VI probe controller. In one embodiment, the analysis module is a high speed processing computing module capable of processing high volumes of data. In one embodiment, the analysis module is directly connected with the sensor. The data is thus collected by the sensor and sent directly to the analysis module.

상기 설명으로부터 알 수 있는 바와 같이, 인시츄 실시간 고속 과도 이벤트 (in-situ fast transient event) 를 검출하기 위한 장치 및 방법이 제공된다. 종래 기술에서, 고속 과도 이벤트의 검출은 통상적으로, 기판 프로세싱이 기판 로트에 대해 완료된 후 수행된다. 추가로, 복잡한 메트롤로지 툴이 고속 과도 이벤트의 존재를 결정하는데 요구될 수도 있다. 고속 과도 이벤트의 존재는 예측불가능하기 때문에, 기판 로트 내의 각각의 기판이 발생될 수 있는 잠재적 손상을 결정하기 위하여 측정될 필요가 있을 수 있다.As can be seen from the above description, an apparatus and method are provided for detecting an in-situ fast transient event. In the prior art, detection of fast transient events is typically performed after substrate processing is complete for a substrate lot. In addition, complex metrology tools may be required to determine the presence of fast transient events. Since the presence of a fast transient event is unpredictable, each substrate in the substrate lot may need to be measured to determine the potential damage that can occur.

종래 기술과 대조적으로, 본 발명의 실시형태들은 실시간으로 기판 프로세싱 동안에 고속 과도 이벤트의 검출을 제공하며, 이에 의해 나머지 기판 로트 및/또는 프로세싱 챔버에 대한 손상을 최소화한다. 추가로, 종래 기술과 달리, 검출 프로세스는 인간의 간섭을 거의 또는 전혀 필요로 하지 않는 자동화된 프로세스이다. 그 대신에, 사용자 구성가능 조건/기준/임계값들이 정의되었다면, 시스템은 고속 과도 이벤트를 자동으로 검출하도록 구성된다.In contrast to the prior art, embodiments of the present invention provide for detection of fast transient events during substrate processing in real time, thereby minimizing damage to the remaining substrate lot and / or processing chamber. In addition, unlike the prior art, the detection process is an automated process that requires little or no human interference. Instead, the system is configured to automatically detect fast transient events if user configurable conditions / criteria / thresholds have been defined.

(마이크로 아킹 이벤트와 같은) 고속 과도 이벤트가 제조 환경 내에서 실시간으로 식별될 수 있는 경우, 실제 발생과 발생을 관리하기 위해 취해진 액션 절차 사이의 레이턴시가 감소될 수 있다. 종래 기술에서는 레이턴시가 수시간 심지어 수 주 걸릴 수도 있다. 그러나, 본 명세서에 설명된 방법 및/또는 장치에 의해, 레이턴시는 단지 밀리초로 감소될 수 있고 이에 의해 전체적인 소유 비용을 감소시킬 수 있다.If a fast transient event (such as a microarking event) can be identified in real time within the manufacturing environment, the latency between the actual occurrence and the action procedure taken to manage the occurrence can be reduced. In the prior art, latency may take hours or even weeks. However, with the methods and / or apparatus described herein, the latency can be reduced to only milliseconds, thereby reducing the overall cost of ownership.

본 발명이 수개의 바람직한 실시형태에 의해 설명되어 있지만, 본 발명의 범위 내에 있는 변경, 수정, 교체 및 그 등가물이 존재한다. 여러 실시예들이 본 명세서에 제공되지만, 이들 실시예는 예시적인 것일 뿐 본 발명을 제한하려 하는 것으로 의도되지 않는다.Although the present invention has been described in terms of several preferred embodiments, there are variations, modifications, substitutions and equivalents thereof that fall within the scope of the present invention. While various embodiments are provided herein, these embodiments are illustrative only and are not intended to limit the present invention.

또한, 본 명세서에서는 편의를 위하여 제목 및 요약이 제공되며 이들이 본 명세서의 청구범위를 구속하는 것으로 이용되지 않아야 한다. 추가로, 매우 축약된 형태로 요약이 쓰여져 있고 여기서는 편의를 위하여 제공된 것일 뿐, 청구범위에 설명된 전체적인 발명을 구속 또는 제한하는 것으로 채택되지 않아야 한다. 용어 "세트"가 본 명세서에 설명되어 있지만, 이러한 용어는 0, 1, 1 보다 큰 부재를 포함하는 수학적 의미로 일반적으로 이해되는 것으로 의도된다. 또한, 본 발명의 장치 및 방법을 구현하는 많은 변경 방식이 존재함을 또한 주지해야 한다. 따라서, 다음의 첨부된 청구범위는 이러한 모든 변경, 대체, 및 그 등가물 모두가 본 발명의 범위 및 사상에 드는 것으로서 해석되는 것으로 의도된다.Further, headings and summaries are provided herein for convenience and should not be used as binding the claims herein. In addition, the summary is written in a very short form and is provided here for convenience only and should not be taken as a limitation or limitation on the overall invention described in the claims. Although the term “set” is described herein, such term is intended to be generally understood in a mathematical sense including members greater than 0, 1, 1. It should also be noted that there are many variations of implementations of the apparatus and methods of the present invention. Accordingly, the following appended claims are intended to be interpreted as all such alterations, substitutions, and equivalents as falling within the scope and spirit of the present invention.

Claims (20)

기판 프로세싱 동안 플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 인시츄 고속 과도 이벤트 (in-situ fast transient event) 를 검출하는 방법으로서,
센서들의 세트에 의해 수집된 제 1 데이터 세트를 분석하는 단계로서, 상기 분석하는 단계는 상기 제 1 데이터 세트가 잠재적 인시츄 고속 과도 이벤트를 포함하는지를 결정하는 기준 세트에 상기 제 1 데이터 세트를 비교하는 단계를 포함하며, 상기 기준 세트는 인시츄 고속 과도 이벤트들의 세트를 정의하는, 상기 분석하는 단계;
상기 제 1 데이터 세트가 상기 잠재적 인시츄 고속 과도 이벤트를 포함하면, 상기 잠재적 인시츄 고속 과도 이벤트가 발생하는 기간에 발생하는 전기 시그너처 (electrical signature) 를 저장하는 단계;
저장된 아크 시그너처들의 세트에 대하여 상기 전기 시그너처를 비교하는 단계;
매치가 결정되면, 상기 전기 시그너처를 제 1 인시츄 고속 과도 이벤트로서 분류하는 단계; 및
임계 범위들의 미리 정의된 세트에 기초하여 상기 제 1 인시츄 고속 과도 이벤트에 대한 심각도 레벨 (severity level) 을 결정하는 단계를 포함하는, 인시츄 고속 과도 이벤트를 검출하는 방법.
A method of detecting in-situ fast transient events in a processing chamber of a plasma processing system during substrate processing, the method comprising:
Analyzing the first data set collected by the set of sensors, wherein the analyzing comprises comparing the first data set to a reference set that determines whether the first data set includes a potential in-situ fast transient event. And analyzing, the set of criteria defining a set of in-situ fast transient events;
If the first data set includes the potential in situ fast transient event, storing an electrical signature that occurs during a period in which the potential in situ fast transient event occurs;
Comparing the electrical signature against a set of stored arc signatures;
If a match is determined, classifying the electrical signature as a first incidence fast transient event; And
Determining a severity level for the first in situ fast transient event based on a predefined set of threshold ranges.
제 1 항에 있어서,
상기 제 1 데이터 세트를 분석하는 단계는 고속 샘플링 과도 알고리즘을 수행하는 단계를 포함하는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 1,
Analyzing the first data set comprises performing a fast sampling transient algorithm.
제 2 항에 있어서,
상기 고속 샘플링 과도 알고리즘은 센서 제어기에 의해 실행되는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 2,
And the fast sampling transient algorithm is executed by a sensor controller.
제 2 항에 있어서,
상기 고속 샘플링 과도 알고리즘은 컴퓨팅 모듈에 의해 실행되며, 상기 컴퓨팅 모듈은 적어도 센서 및 센서 제어기 중 하나에 연결되도록 구성되는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 2,
The fast sampling transient algorithm is executed by a computing module, the computing module configured to be coupled to at least one of a sensor and a sensor controller.
제 2 항에 있어서,
상기 고속 샘플링 과도 알고리즘은 상기 센서들의 세트 중 한 센서와 직접 상호작용하도록 구성되는 분석 모듈에 의해 실행되는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 2,
And the fast sampling transient algorithm is executed by an analysis module configured to directly interact with one of the set of sensors.
제 5 항에 있어서,
상기 분석 모듈은 각각의 프로세스 모듈 및 상기 각각의 프로세스 모듈과 연관된 센서들의 세트에 대한 분석을 수행하도록 구성되는 프로세스 모듈 레벨 분석 서버인, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 5, wherein
The analysis module is a process module level analysis server configured to perform analysis on each process module and a set of sensors associated with each process module.
제 1 항에 있어서,
상기 제 1 인시츄 고속 과도 이벤트의 상기 심각도 레벨에 기초하여 액션 절차 (course of action) 를 결정하는 단계를 더 포함하는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 1,
And determining a course of action based on the severity level of the first in-situ fast transient event.
제 1 항에 있어서,
상기 제 1 인시츄 고속 과도 이벤트는 마이크로 아킹 이벤트 (micro-arcing event) 인, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 1,
And the first in-situ fast transient event is a micro-arcing event.
제 1 항에 있어서,
상기 제 1 데이터 세트는 높은 샘플링 레이트를 수행할 수 있는 고속 과도 센서에 의해 수집되고 있는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 1,
And wherein said first data set is being collected by a fast transient sensor capable of performing a high sampling rate.
제 1 항에 있어서,
상기 전기 시그너처가 상기 저장된 아크 시그너처들의 세트 중 하나와 매치하지 않으면, 상기 전기 시그너처는 비고속 과도 이벤트 시그너처로서 라이브러리에 추가되는, 인시츄 고속 과도 이벤트를 검출하는 방법.
The method of claim 1,
If the electrical signature does not match one of the set of stored arc signatures, the electrical signature is added to the library as a non-fast transient event signature.
플라즈마 프로세싱 시스템의 프로세싱 챔버 내의 인시츄 고속 과도 이벤트를 검출하는 장치로서,
상기 프로세싱 챔버는 기판 프로세싱 동안 데이터를 수집하기 위하여 구성되는 복수의 센서들을 포함하며,
상기 장치는,
기준 세트에 대하여 상기 데이터를 비교하고 상기 데이터로부터 전기 시그너처를 추출하기 위하여 구성되는 고속 샘플링 과도 알고리즘 모듈로서, 상기 기준 세트는 미리 정의된 인시츄 고속 과도 이벤트들의 세트를 정의하는, 상기 고속 샘플링 과도 알고리즘 모듈; 및
상기 고속 샘플링 과도 알고리즘 모듈과 직접 통신하는 분석 모듈을 포함하고,
상기 분석 모듈은 적어도,
상기 전기 시그너처를 수신하는 것,
저장된 아크 시그너처들의 세트에 대하여 상기 전기 시그너처를 비교하는 것,
매치가 발생하면 상기 전기 시그너처를 고속 과도 이벤트로서 분류하는 것, 및
임계 범위들의 미리 정의된 세트에 기초하여 상기 고속 과도 이벤트에 대한 심각도 레벨을 결정하는 것을 수행하기 위하여 구성되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
An apparatus for detecting an in-situ fast transient event in a processing chamber of a plasma processing system,
The processing chamber includes a plurality of sensors configured to collect data during substrate processing,
The device,
A fast sampling transient algorithm module configured to compare the data against a reference set and extract an electrical signature from the data, wherein the reference set defines a set of predefined in-situ fast transient events. module; And
An analysis module in direct communication with the fast sampling transient algorithm module,
The analysis module at least,
Receiving the electrical signature,
Comparing the electrical signature against a set of stored arc signatures,
Classifying the electrical signature as a fast transient event if a match occurs, and
And determine to determine a severity level for the fast transient event based on a predefined set of threshold ranges.
제 11 항에 있어서,
상기 저장된 아크 시그너처들의 세트를 저장하기 위하여 구성된 라이브러리를 더 포함하는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And a library configured to store the set of stored arc signatures.
제 12 항에 있어서,
상기 라이브러리는 비고속 과도 시그너처를 저장하기 위하여 구성되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 12,
And the library is configured to store a non-fast transient signature.
제 11 항에 있어서,
상기 분석 모듈은 상기 고속 과도 이벤트가 상기 기판 프로세싱 동안 식별된 경우 상기 프로세스 모듈 제어기에 액션 절차를 직접 전송하기 위하여 구성되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the analyzing module is configured to send an action procedure directly to the process module controller when the fast transient event is identified during the substrate processing.
제 11 항에 있어서,
상기 분석 모듈은 또한, 상기 고속 과도 이벤트의 상기 심각도 레벨에 기초하여 액션 절차를 결정하기 위하여 구성되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the analysis module is further configured to determine an action procedure based on the severity level of the fast transient event.
제 11 항에 있어서,
상기 고속 과도 이벤트는 마이크로 아킹 이벤트인, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the fast transient event is a micro arcing event.
제 11 항에 있어서,
상기 고속 샘플링 과도 알고리즘 모듈은 복수의 센서들과 직접 상호작용하도록 구성되는 분석 모듈에 의해 제어되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the fast sampling transient algorithm module is controlled by an analysis module configured to directly interact with a plurality of sensors.
제 11 항에 있어서,
상기 분석 모듈은 각각의 프로세스 모듈 및 상기 각각의 프로세스 모듈과 연관된 센서들의 세트에 대한 분석을 수행하도록 구성되는 프로세스 모듈 레벨 분석 서버인, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the analysis module is a process module level analysis server configured to perform an analysis on each process module and a set of sensors associated with each process module.
제 11 항에 있어서,
상기 고속 샘플링 과도 알고리즘 모듈은 센서 제어기에 의해 제어되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the fast sampling transient algorithm module is controlled by a sensor controller.
제 11 항에 있어서,
상기 고속 샘플링 과도 알고리즘 모듈은 컴퓨팅 모듈에 의해 제어되며, 상기 컴퓨팅 모듈은 적어도 센서 및 센서 제어기 중 하나에 연결되도록 구성되는, 인시츄 고속 과도 이벤트를 검출하는 장치.
The method of claim 11,
And the fast sampling transient algorithm module is controlled by a computing module, the computing module configured to be coupled to at least one of a sensor and a sensor controller.
KR1020117031592A 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof KR101741274B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22202409P 2009-06-30 2009-06-30
US22210209P 2009-06-30 2009-06-30
US61/222,024 2009-06-30
US61/222,102 2009-06-30
US12/555,674 US8983631B2 (en) 2009-06-30 2009-09-08 Arrangement for identifying uncontrolled events at the process module level and methods thereof
US12/555,674 2009-09-08
PCT/US2010/040478 WO2011002811A2 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof

Publications (2)

Publication Number Publication Date
KR20120037421A true KR20120037421A (en) 2012-04-19
KR101741274B1 KR101741274B1 (en) 2017-05-29

Family

ID=43411705

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020117031592A KR101741274B1 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof
KR1020117031561A KR101741271B1 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm
KR1020117031573A KR101708078B1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
KR1020117031499A KR101708077B1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers
KR1020117031574A KR101741272B1 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020117031561A KR101741271B1 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm
KR1020117031573A KR101708078B1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
KR1020117031499A KR101708077B1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers
KR1020117031574A KR101741272B1 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools

Country Status (6)

Country Link
JP (5) JP2012532464A (en)
KR (5) KR101741274B1 (en)
CN (5) CN102804929B (en)
SG (5) SG176147A1 (en)
TW (5) TWI484435B (en)
WO (5) WO2011002804A2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332383B (en) * 2011-09-23 2014-12-10 中微半导体设备(上海)有限公司 End point monitoring method for plasma etching process
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI677264B (en) * 2013-12-13 2019-11-11 美商蘭姆研究公司 Rf impedance model based fault detection
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN108847381A (en) * 2018-05-25 2018-11-20 深圳市华星光电半导体显示技术有限公司 The method for testing substrate and extended testing system substrate service life
US10651097B2 (en) 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
DE102019209110A1 (en) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrial plant, in particular plant in the metal-producing industry or the aluminum or steel industry, and method for operating an industrial plant, in particular a plant in the metal-producing industry or the aluminum or steel industry
JP7289992B1 (en) * 2021-07-13 2023-06-12 株式会社日立ハイテク Diagnostic apparatus and diagnostic method, plasma processing apparatus and semiconductor device manufacturing system
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230260767A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Process control knob estimation

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272872A (en) * 1992-11-25 1993-12-28 Ford Motor Company Method and apparatus of on-board catalytic converter efficiency monitoring
JP3301238B2 (en) * 1994-10-25 2002-07-15 三菱電機株式会社 Etching method
JPH08148474A (en) * 1994-11-16 1996-06-07 Sony Corp Dry etching end point detecting method and device
JPH09306894A (en) * 1996-05-17 1997-11-28 Sony Corp Optimum emission spectrum automatic detecting system
JP3630931B2 (en) * 1996-08-29 2005-03-23 富士通株式会社 Plasma processing apparatus, process monitoring method, and semiconductor device manufacturing method
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
EP1025276A1 (en) * 1997-09-17 2000-08-09 Tokyo Electron Limited Device and method for detecting and preventing arcing in rf plasma systems
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2001338856A (en) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd Process controller for semiconductor manufacturing system
JP4554037B2 (en) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 Consumable consumption level prediction method and deposited film thickness prediction method
US6567718B1 (en) * 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
JP2003151955A (en) * 2001-11-19 2003-05-23 Nec Kansai Ltd Plasma etching method
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6825050B2 (en) * 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US20040031052A1 (en) * 2002-08-12 2004-02-12 Liberate Technologies Information platform
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
EP1546827A1 (en) * 2002-09-30 2005-06-29 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
CN100440665C (en) * 2002-10-25 2008-12-03 S&C电力公司 Method and apparatus for control of an electric power distribution system in response to circuit abnormalities
JP4365109B2 (en) * 2003-01-29 2009-11-18 株式会社日立ハイテクノロジーズ Plasma processing equipment
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
JP2004295348A (en) * 2003-03-26 2004-10-21 Mori Seiki Co Ltd Maintenance management system of machine tool
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
JP2004335841A (en) * 2003-05-09 2004-11-25 Tokyo Electron Ltd Prediction system and prediction method for plasma treatment apparatus
WO2004102642A2 (en) * 2003-05-09 2004-11-25 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4043408B2 (en) * 2003-06-16 2008-02-06 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
KR100567745B1 (en) * 2003-09-25 2006-04-05 동부아남반도체 주식회사 Life predictive apparatus for a target of sputtering equipment and its operating method
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7930053B2 (en) * 2003-12-23 2011-04-19 Beacons Pharmaceuticals Pte Ltd Virtual platform to facilitate automated production
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
JP2006004992A (en) * 2004-06-15 2006-01-05 Seiko Epson Corp Polishing device managing system, managing device, control program thereof and control method thereof
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4972277B2 (en) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 Substrate processing apparatus recovery method, apparatus recovery program, and substrate processing apparatus
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
JP4707421B2 (en) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 Processing apparatus, consumable part management method for processing apparatus, processing system, and consumable part management method for processing system
JP2006328510A (en) * 2005-05-30 2006-12-07 Ulvac Japan Ltd Plasma treatment method and device
TWI338321B (en) * 2005-06-16 2011-03-01 Unaxis Usa Inc Process change detection through the use of evolutionary algorithms
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR20080006750A (en) * 2006-07-13 2008-01-17 삼성전자주식회사 Plasma doping system for fabrication of semiconductor device
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
CN100587902C (en) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 On-line predication method for maintaining etching apparatus
JP2008158769A (en) * 2006-12-22 2008-07-10 Tokyo Electron Ltd Substrate processing system, controller, setting information monitoring method, and storage medium with setting information monitoring program stored
US7548830B2 (en) * 2007-02-23 2009-06-16 General Electric Company System and method for equipment remaining life estimation
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008311338A (en) * 2007-06-13 2008-12-25 Harada Sangyo Kk Vacuum treatment apparatus and abnormal discharge precognition device used therefor, and control method of vacuum treatment apparatus
KR100892248B1 (en) * 2007-07-24 2009-04-09 주식회사 디엠에스 Endpoint detection device for realizing real-time control of a plasma reactor and the plasma reactor comprising the endpoint detection device and the endpoint detection method
US20090106290A1 (en) * 2007-10-17 2009-04-23 Rivard James P Method of analyzing manufacturing process data
JP4983575B2 (en) * 2007-11-30 2012-07-25 パナソニック株式会社 Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
CN102473631A (en) 2012-05-23
TWI536193B (en) 2016-06-01
JP2012532461A (en) 2012-12-13
SG176566A1 (en) 2012-01-30
CN102473590B (en) 2014-11-26
KR20120047871A (en) 2012-05-14
JP2012532460A (en) 2012-12-13
WO2011002800A3 (en) 2011-04-07
CN102474968A (en) 2012-05-23
WO2011002800A2 (en) 2011-01-06
CN102473590A (en) 2012-05-23
SG176565A1 (en) 2012-01-30
TWI480917B (en) 2015-04-11
CN102804929A (en) 2012-11-28
TW201115288A (en) 2011-05-01
WO2011002810A2 (en) 2011-01-06
TWI484435B (en) 2015-05-11
TWI509375B (en) 2015-11-21
JP5599882B2 (en) 2014-10-01
WO2011002810A3 (en) 2011-04-14
SG176564A1 (en) 2012-01-30
TW201112302A (en) 2011-04-01
JP5629770B2 (en) 2014-11-26
TW201129936A (en) 2011-09-01
CN102474968B (en) 2015-09-02
WO2011002803A2 (en) 2011-01-06
TW201108022A (en) 2011-03-01
KR101741274B1 (en) 2017-05-29
JP2012532462A (en) 2012-12-13
JP2012532463A (en) 2012-12-13
KR101741271B1 (en) 2017-05-29
KR20120037420A (en) 2012-04-19
WO2011002810A4 (en) 2011-06-03
KR101708078B1 (en) 2017-02-17
KR101741272B1 (en) 2017-05-29
CN102804353B (en) 2015-04-15
JP5624618B2 (en) 2014-11-12
WO2011002811A3 (en) 2011-02-24
WO2011002804A2 (en) 2011-01-06
TW201129884A (en) 2011-09-01
SG176567A1 (en) 2012-01-30
TWI495970B (en) 2015-08-11
SG176147A1 (en) 2011-12-29
JP5693573B2 (en) 2015-04-01
WO2011002804A3 (en) 2011-03-03
CN102804929B (en) 2015-11-25
WO2011002811A2 (en) 2011-01-06
KR20120037419A (en) 2012-04-19
JP2012532464A (en) 2012-12-13
CN102804353A (en) 2012-11-28
KR101708077B1 (en) 2017-02-17
KR20120101293A (en) 2012-09-13
CN102473631B (en) 2014-11-26
WO2011002803A3 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
KR101741274B1 (en) Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8618807B2 (en) Arrangement for identifying uncontrolled events at the process module level and methods thereof
CN100376024C (en) Integrated stepwise statistical process control in a plasma processing system
US20080082653A1 (en) Targeted data collection architecture
KR101476081B1 (en) Network event management
KR101738182B1 (en) Arrangement for identifying uncontrolled events at the process module level and methods thereof
WO2019087858A1 (en) Attack communication detection device, attack communication detection method, and program
CN112333163B (en) Inter-container flow monitoring method and flow monitoring management system
EP3460769B1 (en) System and method for managing alerts using a state machine
CN109218050B (en) Domain name system fault processing method and system
JP2018182594A (en) Packet analysis program, packet analysis device and packet analysis method
US11929869B2 (en) Scalable and real-time anomaly detection
JP4169725B2 (en) Packet discard location search method and apparatus
US11316770B2 (en) Abnormality detection apparatus, abnormality detection method, and abnormality detection program
US8788735B2 (en) Interrupt control apparatus, interrupt control system, interrupt control method, and interrupt control program
JP2016091179A (en) Central monitoring control system, server device, method for creating detection information, and detection information creation program
TWI545415B (en) Process-level troubleshooting architecture (plta) and system for performing evaluation
WO2014061529A1 (en) Information processing device, information processing method, and program
US20240113955A1 (en) Measuring apparatus, method for measuring, and recorded program medium
JP7280109B2 (en) Anomaly monitoring device
EP3086514A1 (en) Communication apparatus, packet monitoring method, and computer program
JP5293433B2 (en) Communication relay device, communication relay method, and communication relay program

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant