JP2012532462A - Method and apparatus for predicting etch rate uniformity for plasma chamber verification - Google Patents

Method and apparatus for predicting etch rate uniformity for plasma chamber verification Download PDF

Info

Publication number
JP2012532462A
JP2012532462A JP2012518586A JP2012518586A JP2012532462A JP 2012532462 A JP2012532462 A JP 2012532462A JP 2012518586 A JP2012518586 A JP 2012518586A JP 2012518586 A JP2012518586 A JP 2012518586A JP 2012532462 A JP2012532462 A JP 2012532462A
Authority
JP
Japan
Prior art keywords
data
group
processing
prediction model
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012518586A
Other languages
Japanese (ja)
Other versions
JP5629770B2 (en
JP2012532462A5 (en
Inventor
チョイ・ブライアン・ディー.
ユン・グンス
ベヌゴパル・ビジャヤクマー・シー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/555,674 external-priority patent/US8983631B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012532462A publication Critical patent/JP2012532462A/en
Publication of JP2012532462A5 publication Critical patent/JP2012532462A5/en
Application granted granted Critical
Publication of JP5629770B2 publication Critical patent/JP5629770B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

【解決手段】基板処理をする際の処理チャンバの正常性を検証するために、エッチング速度の均一性を予測する方法を提供する。この方法は、レシピを実行し、第1のセンサー群から処理データを受信する。この方法は、また、サブシステム正常性チェック予測モデルを用いて、処理データを解析してエッチング速度データ及び均一性データの少なくとも一方を含む計算データを求める。フィルム基板群からの測定データを、非フィルム基板群の同様の処理の際に集めた処理データで補正することにより、サブシステム正常性チェック予測モデルが構築される。この方法は、さらに、求めた計算データを、サブシステム正常性チェック予測モデルにより規定されるような制御限界群と比較する。この方法は、また、計算データが制御限界群の範囲外の場合には、警告を生成する。
【選択図】図2
A method for predicting etch rate uniformity is provided to verify the normality of a processing chamber during substrate processing. The method executes a recipe and receives process data from a first sensor group. The method also uses a subsystem normality check prediction model to analyze the processing data to obtain calculated data including at least one of etch rate data and uniformity data. The subsystem normality check prediction model is constructed by correcting the measurement data from the film substrate group with the processing data collected during the same processing of the non-film substrate group. The method further compares the calculated data obtained with a control limit group as defined by the subsystem normality check prediction model. This method also generates a warning if the calculated data is outside the control limit group.
[Selection] Figure 2

Description

プラズマ処理の進歩により、半導体産業が発展してきた。今日の競争の激しい市場において、無駄を最小限に抑え、高品質の半導体デバイスを生産することが、デバイス製造メーカーの競争力強化につながる。したがって、基板処理において実績を挙げるためには、一般に、処理環境の厳密な制御が必要になる。   Advances in plasma processing have led to the development of the semiconductor industry. In today's highly competitive market, minimizing waste and producing high-quality semiconductor devices will help enhance device manufacturers' competitiveness. Therefore, in order to obtain a track record in substrate processing, generally, strict control of the processing environment is required.

当業者には周知のように、処理チャンバの状態が、生産される半導体デバイスの品質に影響を与える可能性がある。したがって、処理チャンバを正確に検証することができれば、処理ツールの所有コスト(コストオブオーナーシップ)を削減し、無駄を抑制することができる。たとえば、処理チャンバを正確に検証することにより、チャンバの状態を考慮してレシピ(処理法)を調整することができる。別の例として、処理チャンバを正確に検証することにより、処理チャンバを良好な動作状態に維持でき、その結果、チャンバの寿命を延ばして、無駄の可能性を抑制することができる。本明細書において、「処理チャンバを検証する」という用語は、処理チャンバの条件を特定する工程及び/又はチャンバを適合させるのに必要なステップを意味する。   As is well known to those skilled in the art, the state of the processing chamber can affect the quality of the semiconductor device produced. Therefore, if the processing chamber can be accurately verified, the cost of ownership of the processing tool (cost of ownership) can be reduced and waste can be suppressed. For example, by accurately verifying the processing chamber, the recipe (processing method) can be adjusted in consideration of the state of the chamber. As another example, by accurately verifying the processing chamber, the processing chamber can be maintained in good operating condition, thereby extending the life of the chamber and reducing the potential for waste. As used herein, the term “verify the processing chamber” refers to the process of identifying the conditions of the processing chamber and / or the steps necessary to adapt the chamber.

計測法を用いて、処理チャンバを適合させることができる。計測法では、実際の計測ツールを用いて、基板のフィルムの厚み又は限界寸法(CD)等を測定するようにしてもよい。このような測定を行なうことができる市販の計器の例としては、KLA−テンカー株式会社から市販されているASET−F5x薄膜測定システムが挙げられる。基板処理の前後に測定を行なうようにしてもよい。測定データを収集した後、基板に対するエッチング速度及び/又はCDバイアス値(限界寸法バイアス値)を求めるようにしてもよい。エッチング速度測定値及び/又はCDバイアス値の空間マップから、均一性を算出できる。本明細書で説明するように、エッチング速度及び/又はCDバイアス値の標準偏差を取ることにより、均一性を算出できる。   Metrology can be used to adapt the processing chamber. In the measurement method, the thickness or critical dimension (CD) of the substrate film may be measured using an actual measurement tool. As an example of a commercially available instrument capable of performing such measurement, there is an ASET-F5x thin film measuring system commercially available from KLA-Tenker Co., Ltd. The measurement may be performed before and after the substrate processing. After collecting the measurement data, the etching rate and / or CD bias value (critical dimension bias value) for the substrate may be obtained. Uniformity can be calculated from a spatial map of measured etch rate values and / or CD bias values. As described herein, uniformity can be calculated by taking the standard deviation of the etch rate and / or CD bias value.

計測法は、処理チャンバを検証する正確な方法ではあるが、費用も時間もかかる。たとえば、たった1つの基板のCDバイアスの測定に最大1時間かかることもある。このため、基板処理の最中ではなく、基板ロット全体の処理が終わった後に測定が行われることが多い。これでは、問題が特定される以前に、基板ロット全体が損なわれる恐れがある。   Metrology is an accurate way to verify the processing chamber, but is expensive and time consuming. For example, measuring the CD bias of just one substrate can take up to an hour. For this reason, the measurement is often performed after the processing of the entire substrate lot is completed, not during the substrate processing. This can damage the entire substrate lot before the problem is identified.

本発明を、以下、添付の図面に従って説明するが、これらは単に例示に過ぎず、何ら本発明を限定するものではない。以下の説明において、同じ構成要素は同じ符号で示す。   The present invention will be described below with reference to the accompanying drawings, which are merely illustrative and do not limit the present invention. In the following description, the same components are denoted by the same reference numerals.

処理チャンバを検証するための予測エッチング速度モデルを構築する方法の概略を示すフローチャート。6 is a flowchart outlining a method for building a predictive etch rate model for validating a processing chamber.

本発明の実施形態において、処理チャンバを検証するためのサブシステム正常性チェック(SSHC)予測モデルを構築する方法の概要を示す図。FIG. 2 is a diagram illustrating an overview of a method for building a subsystem health check (SSHC) prediction model for validating a processing chamber in an embodiment of the present invention.

本発明の実施形態において、SSHC予測モデルを構築する実施工程を示す図。The figure which shows the implementation process which builds an SSHC prediction model in embodiment of this invention.

基板の時局スキャンを示す図。The figure which shows the local scan of a board | substrate.

本発明の実施形態において、基板測定ポイントを分割する同心円を用いてエッチング速度の均一性を示す概略図。Schematic which shows the uniformity of an etching rate using the concentric circle which divides | segments a board | substrate measurement point in embodiment of this invention.

本発明の実施形態において、SSHC予測モデルを用いて、処理チャンバを検証する方法の概略を示すフローチャート。6 is a flowchart illustrating an outline of a method for verifying a processing chamber using an SSHC prediction model in an embodiment of the present invention.

以下、本発明を、添付の図面に示す実施形態を参照して、詳細に説明する。以下で説明する数多くの詳細は、本発明を完全に理解する目的のものである。当業者には自明のように、このような詳細の一部又は全部を省略しても、本発明は実施可能である。また、本発明の特徴を不要に分かりにくくしないように、周知の処理ステップ及び/又は構造は詳細には説明しない。   Hereinafter, the present invention will be described in detail with reference to embodiments shown in the accompanying drawings. Numerous details described below are for the purpose of fully understanding the present invention. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of these details. In other instances, well known process steps and / or structures have not been described in detail in order not to unnecessarily obscure the features of the present invention.

以下、方法及び手法を含む様々な実施形態を説明する。また、本発明の技術を実施するためのコンピュータ読み取り可能な命令が格納されたコンピュータ読み取り可能な媒体を含む製品として本発明を構成することも可能である。コンピュータ読み取り可能な媒体には、たとえば、半導体媒体、磁気媒体、光磁気媒体、光媒体やその他コンピュータ読み取り可能なコードを記憶可能なコンピュータ読み取り可能な媒体が含まれる。さらに、本発明の実施形態を実行する装置として本発明を構成することも可能である。このような装置は、本発明の実施形態に関するタスクを実施する専用及び/又はプログラム可能な回路を備えるものでもよい。このような装置の例としては、必要に応じてプログラミングされた汎用コンピュータ及び/又は専用計算装置が挙げられ、本発明の実施形態に関連する様々なタスクに適した専用/プログラム可能な回路とコンピュータ/計算装置の組み合わせでもよい。   Various embodiments including methods and techniques are described below. In addition, the present invention can be configured as a product including a computer-readable medium storing computer-readable instructions for implementing the technique of the present invention. Computer-readable media include, for example, semiconductor media, magnetic media, magneto-optical media, optical media, and other computer-readable media that can store computer-readable code. Furthermore, the present invention can be configured as an apparatus for executing an embodiment of the present invention. Such an apparatus may comprise dedicated and / or programmable circuitry that performs the tasks associated with embodiments of the present invention. Examples of such devices include general purpose computers and / or dedicated computing devices that are programmed as needed, with dedicated / programmable circuits and computers suitable for various tasks associated with embodiments of the present invention. / A combination of computing devices may be used.

仮想的計測法(virtual metrology)を用いて、処理チャンバを検証することができる。現在の仮想的計測法は、たとえば、所定の処理チャンバに対する予測モデルに基づくものである。予測モデルを構築する際には、フィルム基板群の処理時に集めたデータを、同じフィルム基板群に関する前処理測定データ及び後処理測定データに基づいて計算可能なエッチング速度及び/又はCDバイアス(限界寸法バイアス)データの空間マップ等のオンウエハ測定値群に相関させるようにしてもよい。   Virtual metrology can be used to verify the processing chamber. Current virtual metrology is based on, for example, a predictive model for a given processing chamber. In constructing the predictive model, the data collected during processing of the film substrate group is used to calculate the etching rate and / or CD bias (critical dimension) that can be calculated based on pre-processing measurement data and post-processing measurement data for the same film substrate group. Bias) data may be correlated to a group of on-wafer measurement values such as a spatial map.

議論を簡単にするために、図1のフローチャートに、処理チャンバを検証するための予測エッチング速度モデルを構築する方法の概要を示す。   For ease of discussion, the flowchart of FIG. 1 outlines a method for building a predictive etch rate model for validating a processing chamber.

最初のステップ100で、予測モデルを構築する工程が開始される。予測モデルは、湿式洗浄サイクルのいずれの段階でも開始できる。   In the first step 100, the process of building a prediction model is started. The predictive model can be initiated at any stage of the wet cleaning cycle.

次のステップ102で、基板群に関する前処理測定データを取得する。予測モデルを構築するために、試験基板群を用いる。試験基板群として、通常は、フィルム基板群、たとえば、SensArrayウエハ群、を用いる。フィルム基板は、通常、フィルム層を備える非パターン化基板である。基板群を処理する前に、各フィルム基板上のデータポイント群に関して前処理測定データを取得する。たとえば、各フィルム基板の厚みを測定する。   In the next step 102, preprocessing measurement data relating to the substrate group is acquired. A test board group is used to construct a prediction model. Usually, a film substrate group, for example, a SensArray wafer group is used as the test substrate group. A film substrate is typically an unpatterned substrate with a film layer. Prior to processing a group of substrates, pre-processing measurement data is acquired for a group of data points on each film substrate. For example, the thickness of each film substrate is measured.

次のステップ104で、フィルムウエハ群を処理する。実際のレシピ(処理法)を用いて製品ウエハを処理する代わりに、レシピの修正バージョンを用いるようにしてもよい。修正レシピは、生産レシピの簡略バージョンであって、生産レシピと同様のエッチング挙動を与えるものでもよい。処理時に、(光学発光センサー、圧力測定センサー、温度測定センサー、ガス測定センサー等の)センサー群を用いて、処理データを収集する。   In the next step 104, the film wafer group is processed. Instead of processing the product wafer using an actual recipe (processing method), a modified version of the recipe may be used. The modified recipe is a simplified version of the production recipe and may give the same etching behavior as the production recipe. During processing, processing data is collected using a sensor group (such as an optical emission sensor, a pressure measurement sensor, a temperature measurement sensor, and a gas measurement sensor).

基板処理の終了後、次のステップ106で、処理した試験基板に関して、後処理測定データを取得する。   After the substrate processing is completed, in the next step 106, post-processing measurement data is acquired for the processed test substrate.

次のステップ108で、各データポイントに関して前処理測定データと後処理測定データ(エッチング深さ)との間の差を計算して、各フィルム基板に対する平均エッチング速度を求めるようにしてもよい。   In the next step 108, the difference between the pre-process measurement data and the post-process measurement data (etch depth) for each data point may be calculated to determine the average etch rate for each film substrate.

次のステップ110で、予測モデルを構築する。予測モデルは、エッチング速度の空間マップ測定値とセンサーにより収集された処理データとに基づくものでもよい。たとえば、平均エッチング速度の計算値を予測モデルの目標エッチング速度値として設定する。次に、処理データを目標エッチング速度値と相関させて、予測モデルを構築する。ただし、予測モデルを構築した後も、持続的なアップデート(更新)が必要な場合もある。定期的な保守(メンテナンス)サイクルの過程において処理チャンバの状態が変動することによりアップデートが生じる場合もある。   In the next step 110, a prediction model is constructed. The predictive model may be based on etch rate spatial map measurements and process data collected by sensors. For example, the calculated value of the average etching rate is set as the target etching rate value of the prediction model. Next, a prediction model is constructed by correlating the processing data with the target etch rate value. However, there are cases where a continuous update (update) is required even after the prediction model is constructed. Updates may occur due to changes in the state of the processing chamber during the regular maintenance cycle.

たとえば、チャンバ状態の変動、センサー上への堆積等によりドリフトが生じることがある。ドリフトを考慮にいれるために、所定の既知のドリフト値群に基づいて、予測モデルを正規化するようにしてもよい。たとえば、湿式洗浄後に、処理チャンバが、ドリフトが生じない理想的な状態になったと仮定する。しかし、基板処理の数週間後には、ガス分配サブシステムには数パーセントのドリフトが生じる可能性がある。このようなドリフトを考慮にいれるために、予測モデルをこれに従って調整するようにしてもよい。   For example, drift may occur due to variations in chamber conditions, deposition on sensors, and the like. In order to take into account drift, the prediction model may be normalized based on a predetermined set of drift values. For example, assume that after wet cleaning, the processing chamber is in an ideal state where no drift occurs. However, several weeks of drift may occur in the gas distribution subsystem after weeks of substrate processing. In order to take into account such drift, the prediction model may be adjusted accordingly.

別の例として、湿式洗浄の一部として、チャンバ壁を洗浄し、擦り、腐食したハードウェア部品を交換したと仮定する。処理チャンバが「きれいではない」状態で最初に予測モデルを構築した場合には、「新しい」チャンバ状態を考慮にいれて、予測モデルを調整する必要がある。   As another example, assume that as part of a wet clean, the chamber walls were cleaned, rubbed, and corroded hardware parts were replaced. If the prediction model is first built with the process chamber being “not clean”, the prediction model needs to be adjusted to take into account the “new” chamber state.

チャンバ状態の変動に応じて、補償または移動窓モデルを準備して(ステップ112)予測モデルをアップデートするようにしてもよい。すなわち、新しいフィルム基板群に対して、ステップ102〜108を繰り返すようにしてもよい。新しい試験運転(テストラン)の結果を用いて、予測モデルをアップデートするようにしてもよい。   Depending on chamber state variations, a compensation or moving window model may be prepared (step 112) to update the prediction model. That is, steps 102 to 108 may be repeated for a new film substrate group. The prediction model may be updated using the result of the new test operation (test run).

予測モデルを仮想的計測法により構築することもできるが、現在の仮想的計測法にはいくつかの問題がある。   Although a prediction model can be constructed by a virtual measurement method, there are several problems with the current virtual measurement method.

第一に、現在の仮想的計測法では、予測モデルから均一性を求めることができないため、処理チャンバを検証する正確な方法が提供できない。たとえば、予測モデルが、基板の平均エッチング速度及び/又はCDバイアスを正確に予測できるとしても、予測モデルによって与えられる数は平均の数に過ぎない。当業者には自明のことであるが、実際のエッチング速度及び/又はCDバイアス値は、基板表面全体にわたって変動する可能性がある。このため、たとえば、平均エッチング速度は、基板表面全体にわたる実際のエッチング速度を反映していない可能性がある。したがって、均一性を求めることができず、結果として、予測モデルは、常に処理チャンバを正確に検証しているとは言えない。   First, current virtual metrology methods do not provide an accurate method for validating a processing chamber because uniformity cannot be determined from a predictive model. For example, even though the predictive model can accurately predict the average etch rate and / or CD bias of the substrate, the number given by the predictive model is only an average number. As will be apparent to those skilled in the art, the actual etch rate and / or CD bias value can vary across the substrate surface. Thus, for example, the average etch rate may not reflect the actual etch rate across the substrate surface. Therefore, uniformity cannot be determined, and as a result, the predictive model cannot always accurately verify the processing chamber.

別の問題として、多くの場合、予測モデルのロバスト性は、センサーによって収集される処理データの粒度に依存していることが挙げられる。処理ツールの大部分は、ロバストな予測モデルを構築するために必要であると考えられる必要なデータ粒度を与えることができないセンサーを備える。予測モデルを構築するために必要な高い忠実性(フィデリティー)データをセンサーが与えることができるとしても、大部分の処理ツールは解析能力を持たない。結果として、大部分の予測モデルは、許容レベルより大きな誤差を与えることになる。   Another problem is that often the robustness of the prediction model depends on the granularity of the processing data collected by the sensor. Most of the processing tools include sensors that cannot provide the necessary data granularity that is considered necessary to build a robust prediction model. Even though the sensor can provide the high fidelity data needed to build a predictive model, most processing tools do not have analytical capabilities. As a result, most prediction models give an error that is greater than an acceptable level.

上述した問題に加えて、予測モデルの構築及びメンテナンスのコストが非常に高くつくという問題もある。たとえば、典型的な予測モデルの場合、構築及びメンテナンスにかかるコストは約数十万ドルである。このようなコストの一部は、高価なフィルム基板を用いることに起因するものである。予測モデルの構築後にも、予測モデルのアップデートが必要となるたびに、追加のコストが発生する。より安価な基板も利用できるが、デバイス製造メーカーが予測モデルを用いて処理チャンバを検証することを選択する場合には、生産環境において、より高価なフィルム基板を用い続ける必要がある。   In addition to the problems described above, there is also a problem that the cost of constructing and maintaining a prediction model is very high. For example, for a typical predictive model, the cost of construction and maintenance is about hundreds of thousands of dollars. Part of this cost is due to the use of expensive film substrates. Even after the prediction model is constructed, an additional cost is incurred each time the prediction model needs to be updated. Although cheaper substrates can be used, if the device manufacturer chooses to validate the processing chamber using a predictive model, it is necessary to continue to use more expensive film substrates in the production environment.

本発明の実施形態において、処理チャンバを検証するためのサブシステム正常性チェック(subsystem helath check:SSHC)予測モデルを生成する方法を提供する。本発明の実施形態は、多くの場合有限回数再利用可能な(非フィルム基板等)より安価な基板を適用可能なSSHC予測モデルを構築する方法を提供する。また、本発明の実施形態は、均一性に基づいて処理チャンバを検証する方法を提供する。さらに、本発明の実施形態は、生産環境においてSSHC予測モデルを実行する方法を提供する。   In an embodiment of the present invention, a method for generating a subsystem health check (SSHC) prediction model for validating a processing chamber is provided. Embodiments of the present invention provide a method for building an SSHC prediction model that is applicable to cheaper substrates that are often reusable a finite number of times (such as non-film substrates). Embodiments of the present invention also provide a method for validating a processing chamber based on uniformity. Furthermore, embodiments of the present invention provide a method for executing an SSHC prediction model in a production environment.

本明細書において、エッチング速度を用いる様々な実施形態を説明するが、本発明は、エッチング速度に限定されるものではなく、CDバイアス等、他の処理パラメータにも適用可能である。以下の説明は例示に過ぎず、何ら本発明をこれらの例示に限定するものではない。   Although various embodiments using etch rates are described herein, the present invention is not limited to etch rates and is applicable to other process parameters such as CD bias. The following description is merely an example, and the present invention is not limited to these examples.

本発明の実施形態において、少なくとも2つの異なる種類の基板に関するデータを用いて、SSHC予測モデルを構築する方法を提供する。たとえば、フィルム基板に関するオンウエハ測定値を、非フィルム基板群の同様の処理時に収集されたデータと相関させるようにしてもよい。本発明の一態様において、2つのデータ群の間に関係が構築されれば、1つの種類の基板から得られた測定データを第2の種類の基板から得られたセンサーデータに相関させることができることを、発明者らは見出した。   In an embodiment of the present invention, a method for building an SSHC prediction model using data on at least two different types of substrates is provided. For example, on-wafer measurements for film substrates may be correlated with data collected during similar processing for non-film substrate groups. In one aspect of the present invention, if a relationship is established between two data groups, measurement data obtained from one type of substrate can be correlated with sensor data obtained from a second type of substrate. The inventors have found that this is possible.

たとえば、フィルム基板群に関する計測データと、同じフィルム基板群に関するセンサーデータとの間に或る関係が存在すると仮定する。従来技術では、予測モデルの基礎として、この関係を用いる。同じ修正レシピを非フィルム基板群(たとえば、より安価なベアシリコン基板)に適用すれば、データは同じ処理環境で収集されるため、2つのセンサーデータ群の間に相関関係を構築できる。置き換えを行なうことにより、フィルム基板群の測定データと非フィルム基板群のセンサーデータとの間に相関関係を構築できる。この相関関係に基づいて、処理チャンバを検証するためのSSHC予測モデルを、非フィルム基板に関して収集したセンサーデータから構築することができる。   For example, it is assumed that there is a relationship between measurement data relating to a film substrate group and sensor data relating to the same film substrate group. In the prior art, this relationship is used as the basis of the prediction model. If the same modified recipe is applied to a non-film substrate group (eg, a cheaper bare silicon substrate), the data is collected in the same processing environment, so a correlation can be established between the two sensor data groups. By performing the replacement, a correlation can be established between the measurement data of the film substrate group and the sensor data of the non-film substrate group. Based on this correlation, an SSHC prediction model for validating the processing chamber can be constructed from sensor data collected for non-film substrates.

さらに、SSHC予測モデルにおけるドリフト及び/又はノイズを削除するために、湿式洗浄サイクルの異なる期間にデータを収集するようにしてもよい。たとえば、湿式洗浄サイクルの開始時、湿式洗浄サイクルの途中及び湿式洗浄サイクルの終了間際に収集したデータ群に基づいて、SSHC予測モデルを構築するようにしてもよい。したがって、(従来の予測モデルと異なり)SSHC予測モデルの場合、処理チャンバの湿式洗浄を考慮に入れて構築されているため、処理チャンバに湿式洗浄を施すたびにアップデートする必要はない。さらに、同じハードウェア構成を備える別々のチャンバ間で得られた同様のデータ群を用いて、(据え付けやセンサー間の変動等による)チャンバ間の変動を確認して、排除することができる。   In addition, data may be collected during different periods of the wet cleaning cycle to eliminate drift and / or noise in the SSHC prediction model. For example, the SSHC prediction model may be constructed based on data collected at the start of the wet cleaning cycle, during the wet cleaning cycle, and just before the end of the wet cleaning cycle. Therefore, the SSHC prediction model (unlike the conventional prediction model) is constructed taking into account wet cleaning of the processing chamber, so there is no need to update each time the processing chamber is wet cleaned. Furthermore, using similar data groups obtained between different chambers with the same hardware configuration, variations between chambers (due to installation, variations between sensors, etc.) can be identified and eliminated.

前述したように、従来の予測モデルの問題点の一つは、予測モデルが、一般的に粒度が足りない可能性のあるデータに基づく、ことである。ロバストなSSHC予測モデルを構築するために必要なデータを提供するために、粒度が高いデータを収集可能なセンサーを用いるようにしてもよい。このようなセンサーの例としては、以下に限定されるものではないが、VIプローブセンサー、OESセンサー、圧力センサー等が挙げられる。   As described above, one of the problems with the conventional prediction model is that the prediction model is generally based on data that may have insufficient granularity. In order to provide data necessary for constructing a robust SSHC prediction model, a sensor capable of collecting highly granular data may be used. Examples of such sensors include, but are not limited to, VI probe sensors, OES sensors, pressure sensors, and the like.

より高い粒度でよりデータ量の多いロバストなデータ解析モジュールを用いて、データ処理を行ない、SSHC予測モデルを構築するようにしてもよい。一実施形態において、ロバストなデータ解析モジュールは、大量のデータを扱うように構成可能な高速処理計算エンジンである。さらに、生産設備ホストコントローラーを介してデータを中継する代わりに、あるいは、処理モジュールコントローラーを介してデータを中継する代わりに、センサーから直接処理データを受信するように、ロバストなデータ解析モジュールを構成してもよい。Huangらにより2009年9月8日に出願された出願No.12/555,674に、解析を実行するのに適した解析コンピュータの例が記載されている。   The SSHC prediction model may be constructed by performing data processing using a robust data analysis module with a higher granularity and a larger amount of data. In one embodiment, the robust data analysis module is a fast processing computing engine that can be configured to handle large amounts of data. In addition, a robust data analysis module is configured to receive process data directly from the sensor instead of relaying data via the production equipment host controller or relaying data via the process module controller. May be. Application No. 12 / 555,674 filed September 8, 2009 by Huang et al. Describes an example of an analysis computer suitable for performing the analysis.

一実施形態において、SSHC予測モデルを用いて、均一性の予測を行なうようにしてもよい。当業者には自明のことであるが、基板表面全体にわたってエッチング速度が均一であるとは限らない。多くの要因が均一性に影響を与える。たとえば、処理チャンバ内にガスを分配する角度が均一性に影響を与える可能性がある。また別の例として、処理チャンバ内での通電が均一性に影響を与える可能性もある。   In one embodiment, uniformity may be predicted using an SSHC prediction model. Those skilled in the art will appreciate that the etch rate is not always uniform across the entire substrate surface. Many factors affect uniformity. For example, the angle at which the gas is distributed within the processing chamber can affect uniformity. As another example, energization within the processing chamber can affect uniformity.

エッチング速度は基板表面全体で均一ではないかもしれないが、経験的証拠から、基板の所定領域ではエッチング速度がほぼ同じであることがわかっている。本発明の一実施形態において、(抽象的な意味で)基板を3つの同心円に分割し、各同心円内の領域は経験的に同じ均一性を備えると仮定する。一実施形態において、均一性は、処理された基板のエッチング速度から計算することができる。最初に、各同心円における平均エッチング速度を求める。各平均エッチング速度に、同心円内で測定を行なったデータポイントの数を掛ける(計測測定値を持たない非フィルム基板の場合には、計測ツールによって仮定される測定ポイント(「仮想的」ポイント)の数を掛ける(図4参照))。3つの同心円すべてに関する値を加算して、基板の平均エッチング速度を計算することができる。次に、基板の全平均エッチング速度に対する各同心円の平均エッチング速度の標準偏差を算出することにより、均一性を求める。次に、すべての実際の又は「仮想的な」エッチング深さの標準偏差を計算して、平均エッチング速度に対する割合を算出することにより、基板全体の均一性を求める。   Although the etch rate may not be uniform across the substrate surface, empirical evidence has shown that the etch rate is approximately the same for a given area of the substrate. In one embodiment of the present invention, it is assumed that (in an abstract sense) the substrate is divided into three concentric circles, and the regions within each concentric circle are empirically provided with the same uniformity. In one embodiment, the uniformity can be calculated from the etch rate of the processed substrate. First, the average etching rate in each concentric circle is obtained. Multiply each average etch rate by the number of data points measured in concentric circles (in the case of non-film substrates that do not have measurement measurements, the measurement points assumed by the metrology tool ("virtual" points)) Multiply the numbers (see Figure 4)). The values for all three concentric circles can be added to calculate the average etch rate of the substrate. Next, the uniformity is obtained by calculating the standard deviation of the average etching rate of each concentric circle with respect to the total average etching rate of the substrate. Next, the uniformity of the entire substrate is determined by calculating the standard deviation of all actual or “virtual” etch depths and calculating the ratio to the average etch rate.

SSHC予測モデルを構築した後、SSHC予測モデルを生産工程に移動させるようにしてもよい。SSHC予測モデルは、部分的に非フィルム基板から収集したデータに基づいて構築されるため、生産環境でSSHC予測モデルを実施するコストは、従来の予測モデルと比べて大幅に少ない。大幅なコスト削減の理由の一つとして、SSHC予測モデルは、より安価な非フィルム基板から収集した処理データに適用できることが挙げられる。さらに、生産条件を満たすために必要な計測ツールの数が少ないことに起因する測定要求数の減少もコスト削減につながる。チャンバ検証の応答時間(ターンアラウンドタイム)が短いことから、処理チャンバに生じた問題をより早く検出できるため、問題のある可能性のある生産ウエハの処理数を減少させることができる。したがって、SSHC予測モデルは、処理チャンバを検証するための有効なモデルを与えると共に、所有コスト(コストオブオーナーシップ)を効果的に抑制することができる。   After the SSHC prediction model is constructed, the SSHC prediction model may be moved to the production process. Since the SSHC prediction model is constructed based in part on data collected from non-film substrates, the cost of implementing the SSHC prediction model in a production environment is significantly lower than conventional prediction models. One reason for significant cost reduction is that the SSHC prediction model can be applied to process data collected from cheaper non-film substrates. Furthermore, the reduction in the number of measurement requests due to the small number of measurement tools required to satisfy the production conditions also leads to cost reduction. Since the chamber verification response time (turnaround time) is short, problems occurring in the processing chamber can be detected more quickly, and the number of production wafers that may be problematic can be reduced. Therefore, the SSHC prediction model provides an effective model for verifying the processing chamber and can effectively suppress the cost of ownership (cost of ownership).

本発明の特徴及び効果は、以下の図面を参照した説明により、さらに理解できるであろう。   The features and advantages of the present invention will be further understood from the following description with reference to the drawings.

図2に、本発明の実施形態において、処理チャンバを検証するためのサブシステム正常性チェック(SSHC)予測モデルを構築する方法の概要を示す。   FIG. 2 outlines a method for building a subsystem health check (SSHC) prediction model for validating a processing chamber in an embodiment of the present invention.

最初のステップ202で、フィルム基板群に対して前処理測定を行なう。従来技術と同様に、(KLA−テンカー社の薄膜計測ツール等の)計測ツールを用いて、基板の厚みを測定する等の測定を行なうようにしてもよい。基板の厚みは、基板全体にわたって変動する可能性があるため、基板上の異なるデータポイントで測定を行なうようにしてもよい(たとえば、図4Aに示す基板402では49個のデータポイントで磁極スキャンを行なう)。   In the first step 202, a pre-processing measurement is performed on the film substrate group. Similar to the prior art, a measurement tool (such as a KLA-Tenker thin film measurement tool) may be used to perform measurements such as measuring the thickness of the substrate. Since the thickness of the substrate may vary across the substrate, measurements may be taken at different data points on the substrate (eg, a magnetic pole scan is performed at 49 data points on the substrate 402 shown in FIG. 4A). Do).

次のステップ204で、フィルム基板群を処理する。従来技術と同様に、試験環境では修正レシピを用いるようにしてもよい。修正レシピは、生産レシピの簡略化バージョンであって、生産レシピのエッチング挙動をシミュレーションするものである。   In the next step 204, the film substrate group is processed. Similar to the prior art, a modified recipe may be used in the test environment. The modified recipe is a simplified version of the production recipe and simulates the etching behavior of the production recipe.

当業者には周知のように、パラメータの変動が、基板の平均エッチング速度及び/又は均一性に影響を与える可能性がある。したがって、処理チャンバを正確に検証するためには、SSHC予測モデルの構築に際してパラメータの変動を考慮に入れる必要がある。また、モデルのロバスト性を向上させるためには、生産環境の変動に対するシステム応答を評価する。1つ又は複数の試験基板を処理する際に、レシピのパラメータを変化させるようにしてもよい。たとえば、最初の数個の基板(たとえば、3個の基板)を基本的な修正レシピで実行し、第4及び第5の基板では、圧力値を変化させて、処理チャンバ内で生じる可能性のある圧力レベルの変動を考慮に入れる。別の例では、(基板の端部近傍でみられるような)チャンバの消耗品の腐食により生じる可能性のある端部エッチング速度のわずかな上昇を相殺するように、基板中心に向かうガス流量を増やすように、次の4個の基板に対するガス流分配を調整するようにしてもよい。   As is well known to those skilled in the art, parameter variations can affect the average etch rate and / or uniformity of the substrate. Therefore, in order to accurately verify the processing chamber, it is necessary to take into account parameter variations when constructing the SSHC prediction model. In order to improve the robustness of the model, the system response to changes in the production environment is evaluated. Recipe parameters may be varied when processing one or more test substrates. For example, the first few substrates (e.g., three substrates) may be run with a basic modification recipe, and the fourth and fifth substrates may change pressure values and occur in the processing chamber. Take into account some pressure level fluctuations. In another example, the gas flow rate toward the center of the substrate can be adjusted to offset the slight increase in edge etch rate that can be caused by corrosion of chamber consumables (as seen near the edge of the substrate). The gas flow distribution for the next four substrates may be adjusted to increase.

フィルム基板群を処理した後、次のステップ206で、フィルム基板群に適用したものと同じ修正レシピを用いて、非フィルム基板群(たとえば、ベアシリコン基板群)を処理するようにしてもよい。たとえば、第4のフィルム基板に対して圧力値が上昇した場合には、第4の非フィルム基板に対しても同じ圧力値を適用する。非フィルム基板群を処理する間に、センサーが処理データを収集するようにしてもよい。一実施形態において、フィルム基板群と非フィルム基板群の処理の順序は特に限定されるものではない。言い換えると、ステップ204とステップ206のいずれを先に実施してもよい。   After processing the film substrate group, the next step 206 may process the non-film substrate group (eg, bare silicon substrate group) using the same modified recipe as applied to the film substrate group. For example, when the pressure value rises with respect to the fourth film substrate, the same pressure value is applied to the fourth non-film substrate. A sensor may collect processing data while processing a non-film substrate group. In one embodiment, the order of processing of the film substrate group and the non-film substrate group is not particularly limited. In other words, either step 204 or step 206 may be performed first.

従来技術で述べたように、従来の方法の問題の一つは、収集されるデータの粒度によるものであった。一実施形態において、本明細書で説明する方法を、粒度の高いデータを収集することができるセンサー(たとえば、VIプローブセンサー、OESセンサー、圧力センサー等)を保持する処理ツールに適用する。さらに、高速処理計算解析モジュールを実装して、データを高速で処理及び解析するようにしてもよい。一実施形態において、高速処理計算解析モジュールは、高度処理及び機器制御システム(advanced process and equipmen control system:APECS)でもよい。複数のデータを(その場で:in situで)高速で解析するように、APECSモジュールを構成してもよい。また、APECSモジュールが処理チャンバの処理モジュール(processing module:PM)制御部にフィードバックを行なって、PM制御部が、次に投入される基板に関するエッチング速度及び/又は均一性の予測を行なえるようにしてもよい。Huangらにより2009年9月8日に出願された出願No.12/555,674に、解析を実行するのに適した解析コンピュータの例が記載されている。   As mentioned in the prior art, one of the problems with conventional methods has been due to the granularity of the data collected. In one embodiment, the methods described herein are applied to processing tools that hold sensors (eg, VI probe sensors, OES sensors, pressure sensors, etc.) that can collect highly granular data. Furthermore, a high-speed processing calculation analysis module may be mounted to process and analyze data at high speed. In one embodiment, the high-speed processing calculation analysis module may be an advanced process and equipment control system (APECS). The APECS module may be configured to analyze multiple data at high speed (in situ). Also, the APECS module provides feedback to the processing module (PM) controller of the processing chamber so that the PM controller can predict the etch rate and / or uniformity for the next substrate to be loaded. May be. Application No. 12 / 555,674 filed September 8, 2009 by Huang et al. Describes an example of an analysis computer suitable for performing the analysis.

基板処理の完了後、次のステップ208で、後処理測定データを取得する。たとえば、処理された各フィルム基板に関して、(たとえば、図4Aに示すような)同じデータポイント群で、後処理測定データを収集するようにしてもよい。   After completion of the substrate processing, post-processing measurement data is acquired in the next step 208. For example, post-processing measurement data may be collected at the same set of data points (eg, as shown in FIG. 4A) for each processed film substrate.

次のステップ210で、前処理測定データと後処理測定データとの間の差を算出して、平均エッチング速度及び/又は均一性を各フィルム基板に関して計算するようにしてもよい。均一性に関する詳細は図3及び図4を参照して後述する。   In the next step 210, the difference between the pre-processing measurement data and the post-processing measurement data may be calculated so that the average etch rate and / or uniformity is calculated for each film substrate. Details regarding the uniformity will be described later with reference to FIGS.

一実施形態において、ノイズ及び/又はドリフトをさらに削除するために、SSHC予測モデルを構築するステップ(202〜210)を少なくとも2回繰り返すようにしてもよい。一実施形態において、これらのステップを、湿式洗浄サイクルの開始時(すなわち、処理チャンバのメンテナンスを実施後)と湿式洗浄サイクルの終了間際(すなわち、次のメンテナンスが実施される前の期間)に実施するようにしてもよい。さらに、湿式洗浄サイクルの途中でもデータを収集するようにしてもよい。   In one embodiment, the steps of building the SSHC prediction model (202-210) may be repeated at least twice to further eliminate noise and / or drift. In one embodiment, these steps are performed at the beginning of the wet cleaning cycle (ie, after performing maintenance on the processing chamber) and just before the end of the wet cleaning cycle (ie, before the next maintenance is performed). You may make it do. Furthermore, data may be collected even during the wet cleaning cycle.

データを収集後、次のステップ212で、センサー処理データと計測データとを相関させて、処理チャンバを検証するためのSSHC予測モデルを構築するようにしてもよい。一実施形態において、SSHC予測モデルは、部分最小二乗モデルに基づくものでもよい。部分最小二乗モデルは、2つのデータ群の間の関係を見つける手法である。部分最小二乗モデルは、最小二乗線形フィッティング法と同様の目的で用いられるが、通常、複数の独立変数(入力行列X)と場合により複数の従属変数(入力行列Y)とが存在する場合に用いられる。部分最小二乗モデルでは、Y変数は連続ではなく、独立の離散値又は離散クラス群から構成される。解析を行なって、入力データを離散クラス群の1つに分類するのに用いられるX変数の線形結合を見つける。   After collecting the data, the next step 212 may correlate the sensor process data with the measurement data to build an SSHC prediction model for validating the process chamber. In one embodiment, the SSHC prediction model may be based on a partial least square model. The partial least square model is a technique for finding a relationship between two data groups. The partial least square model is used for the same purpose as the least square linear fitting method, but is usually used when there are a plurality of independent variables (input matrix X) and possibly a plurality of dependent variables (input matrix Y). It is done. In the partial least square model, the Y variable is not continuous but is composed of independent discrete values or discrete classes. An analysis is performed to find a linear combination of X variables used to classify the input data into one of the discrete classes.

図2からわかるように、湿式洗浄サイクル中の異なる期間における処理チャンバの状態を考慮して、SSHC予測モデルを構築する方法を提供する。図2で説明したように、SSHC予測モデルの構築にかかる時間が(図1に示す方法と比べて)長いとしても、SSHC予測モデルの場合、構築後は、(従来法では必要であった)頻繁なアップデートをする必要はない。したがって、SSHC予測モデルの構築に必要なリソースは、通常一時的なコストであり、(従来法では必要であった)継続的な費用は必要ではない。さらに、SSHC予測モデルは、非フィルム基板から収集したデータに適用できるため、デバイス製造メーカーは、SSHC予測モデルを有効に適用するために生産環境においてより高価なフィルム基板を継続的に用いる必要がなく、所有コスト(コストオブオーナーシップ)を大幅に削減することができる。   As can be seen from FIG. 2, a method is provided for building an SSHC prediction model taking into account the state of the processing chamber at different periods during the wet cleaning cycle. As described in FIG. 2, even if the time taken to construct the SSHC prediction model is long (compared to the method shown in FIG. 1), in the case of the SSHC prediction model, after the construction (which was necessary in the conventional method) There is no need for frequent updates. Thus, the resources required to build an SSHC prediction model are usually temporary costs and do not require ongoing expenses (which were necessary with conventional methods). In addition, since SSHC prediction models can be applied to data collected from non-film substrates, device manufacturers do not have to continually use more expensive film substrates in production environments to effectively apply SSHC prediction models. The cost of ownership (cost of ownership) can be greatly reduced.

図3に、本発明の実施形態において、SSHC予測モデルを構築する実施工程を示す。   FIG. 3 shows an implementation process for constructing an SSHC prediction model in the embodiment of the present invention.

最初のステップ302で、第1のデータ群を収集する。一実施形態において、(処理チャンバのメンテナンスを実施した後)湿式洗浄サイクルの開始時に、第1のデータ群を収集する。第1のデータ群には、非フィルム基板群に関してセンサーにより収集された処理データと、第1のフィルム基板群に関して収集された計測データとが含まれるものでもよい。すなわち、非フィルム基板群と第1のフィルム基板群とに対して、図2のステップ202〜210を実行する。一実施形態において、第1のフィルム基板群と非フィルム基板群の基板数は同じ数でもよい。   In a first step 302, a first data group is collected. In one embodiment, a first set of data is collected at the beginning of a wet cleaning cycle (after performing processing chamber maintenance). The first data group may include processing data collected by the sensor with respect to the non-film substrate group and measurement data collected with respect to the first film substrate group. That is, steps 202 to 210 in FIG. 2 are executed for the non-film substrate group and the first film substrate group. In one embodiment, the first film substrate group and the non-film substrate group may have the same number of substrates.

次のステップ304で、第2のデータ群を収集する。一実施形態において、ステップ304は必要に応じて実施される。ステップ304で収集したデータを検証データとして用いるようにしてもよい。生じ得るドリフトを考慮するために、ステップ304は、通常、湿式洗浄サイクルの途中で実行される。たとえば、(ガス分配システム等の)ハードウェア構成要素の一部で、生産工程(プロダクションラン)を複数回実行後にドリフトが生じる可能性がある。   In the next step 304, a second data group is collected. In one embodiment, step 304 is performed as needed. The data collected in step 304 may be used as verification data. In order to account for possible drift, step 304 is typically performed in the middle of a wet cleaning cycle. For example, some hardware components (such as a gas distribution system) may experience drift after multiple production runs (production runs).

フィルム基板とは異なり、非フィルム基板は複数回処理するようにしてもよい。当業者には周知のように、(ベアシリコン基板等の)非フィルム基板の場合には、さらなる処理に耐えられなくなるまで、少なくとも約10〜15回まで処理可能である。したがって、ステップ302でエッチングしたものと同じ非フィルム基板群を、ステップ304で、さらに、ステップ306で処理することができる。   Unlike film substrates, non-film substrates may be processed multiple times. As is well known to those skilled in the art, in the case of a non-film substrate (such as a bare silicon substrate), it can be processed at least about 10-15 times until it cannot withstand further processing. Thus, the same non-film substrate group that was etched in step 302 can be processed in step 304 and further in step 306.

次のステップ306で、第3のデータ群を収集する。一実施形態において、第3のデータ群は、湿式洗浄サイクルの終了間際に収集される。第3のデータ群は、非フィルム基板群(ステップ304の非フィルム基板群と同じものでもよい)に関してセンサーで収集された処理データと、第3のフィルム基板群に関して収集された計測データと、を含む。   In the next step 306, a third data group is collected. In one embodiment, the third set of data is collected just before the end of the wet cleaning cycle. The third data group includes processing data collected by the sensor with respect to the non-film substrate group (which may be the same as the non-film substrate group in step 304) and measurement data collected with respect to the third film substrate group. Including.

湿式洗浄サイクル間の異なる期間でデータを収取することにより、SSHC予測モデルにより、通常の湿式洗浄サイクルを通した処理チャンバの挙動を捕捉することが可能になる。   By collecting data at different periods between wet cleaning cycles, the SSHC predictive model makes it possible to capture the behavior of the processing chamber through a normal wet cleaning cycle.

次のステップ308で、システムは、十分なモデル設定データが収集されたか否かの判定チェックを行なう。上述したように、モデル設定データは、ステップ302及びステップ306で収集されたデータを意味する。   In the next step 308, the system performs a check to determine if sufficient model setting data has been collected. As described above, the model setting data means the data collected in step 302 and step 306.

十分なモデル設定データが収集されていない場合には、次のステップ310で、解析を開始する前に、さらなるデータ収集を行なう。たとえば、処理されたフィルム基板の数が不十分な場合に、十分なデータが収集されない。別の例として、センサーにより収集された処理データの一部が許容できないと判定され、SSHC予測モデルの構築に利用できない場合もある。   If sufficient model setting data has not been collected, in the next step 310, further data collection is performed before starting the analysis. For example, not enough data is collected if the number of processed film substrates is insufficient. As another example, it may be determined that some of the processing data collected by the sensor is unacceptable and cannot be used to build an SSHC prediction model.

一方、十分なモデル設定データが収集された場合には、次のステップ312で、システムは、制御限界が規定されているか否かのチェックを行なうようにしてもよい。一実施形態において、制御限界は、ユーザーが許容可能な誤差範囲である。SSHC予測モデルは、高い粒度のデータに基づいて構築されているため、制御限界は、たとえば、2〜3%という低い値に設定される。   On the other hand, if sufficient model setting data has been collected, in the next step 312, the system may check whether a control limit is defined. In one embodiment, the control limit is a user-acceptable error range. Since the SSHC prediction model is constructed based on high granularity data, the control limit is set to a low value of 2 to 3%, for example.

制御限界が設定されていない場合には、システムは、次のステップ314で、制御限界を規定するように求める。   If the control limit has not been set, the system asks to define the control limit in the next step 314.

一方、制御限界が規定されている場合には、次のステップ316で、システムは、SSHC予測モデルの構築工程を開始する。SSHC予測モデルは、センサー処理データに相関させた目標エッチング速度として平均エッチング速度を含むものでもよい。   On the other hand, if the control limit is defined, in the next step 316, the system starts the SSHC prediction model construction process. The SSHC prediction model may include an average etch rate as a target etch rate correlated to sensor process data.

目標エッチング速度をモデル設定計測データを用いて計算するようにしてもよい。たとえば、ある基板位置における前処理測定値が約500nmであったと仮定する。基板の処理後、同じ基板位置における基板の厚みは375nmである。エッチング深さを前処理測定値と後処理測定値との差であると規定した場合には、所定のデータポイント(たとえば、0度の方向で、115mmの半径)におけるエッチング深さは125nmである。基板の処理時間が2分であったとすると、そのデータポイント(基板位置)におけるエッチング速度は、毎分62.5nmになる。エッチング速度を求めた後、基板上の各データポイントをエッチング速度に関連付けることができる。   The target etching rate may be calculated using model setting measurement data. For example, assume that the pretreatment measurement at a substrate position was about 500 nm. After processing the substrate, the thickness of the substrate at the same substrate position is 375 nm. If the etching depth is defined as the difference between the pre-processing measurement value and the post-processing measurement value, the etching depth at a predetermined data point (for example, a radius of 115 mm in the direction of 0 degree) is 125 nm. . If the processing time of the substrate is 2 minutes, the etching rate at the data point (substrate position) is 62.5 nm per minute. After determining the etch rate, each data point on the substrate can be associated with an etch rate.

従来技術と異なり、すべてのエッチング速度値を総計して、合計エッチング速度値をデータポイントの数で割るという方法により、基板の平均エッチング速度を求めていない。代わりに、基板の平均エッチング速度は、エッチング速度は基板全体にわたって同じではないという考えに基づいている。経験的テストによれば、(図4Bの同心円450、440及び430に示すように)基板を3つの同心円に分割すると、1つの同心円内の各データポイントにおけるエッチング速度は同じであると考えることができる。   Unlike the prior art, the average etch rate of the substrate is not determined by summing all the etch rate values and dividing the total etch rate value by the number of data points. Instead, the average etch rate of the substrate is based on the idea that the etch rate is not the same across the substrate. Empirical testing suggests that when the substrate is divided into three concentric circles (as shown in concentric circles 450, 440 and 430 in FIG. 4B), the etch rate at each data point within a concentric circle is the same. it can.

議論を容易にするために、同心円450(ER1)、同心円440(ER2)及び同心円430(ER3)における平均エッチング速度を、それぞれ、62.5nm/分、72.5nm/分及び82.5nm/分と仮定する。3つの平均エッチング速度を求めた後、各平均エッチング速度を正規化するようにしてもよい。たとえば、同心円450には9個のデータポイントが存在する。したがって、平均エッチング速度(62.5nm/分)に9を掛ける。平均エッチング速度を正規化した後、3つの正規化平均エッチング速度を総計して、総和をデータポイント数(この例では、49個のデータポイントで測定値を得た)で割ることにより、全平均エッチング速度を計算する。この例では、基板の全平均エッチング速度は、75.6nm/分になる。   For ease of discussion, the average etch rates in concentric circle 450 (ER1), concentric circle 440 (ER2) and concentric circle 430 (ER3) are 62.5 nm / min, 72.5 nm / min and 82.5 nm / min, respectively. Assume that After obtaining the three average etching rates, each average etching rate may be normalized. For example, there are nine data points in the concentric circle 450. Therefore, multiply the average etch rate (62.5 nm / min) by 9. After normalizing the average etch rate, the three normalized average etch rates are summed together and the total sum is divided by the number of data points (in this example, 49 data points were taken). Calculate the etch rate. In this example, the overall average etch rate of the substrate is 75.6 nm / min.

平均エッチング速度を計算した後、均一性を計算するようにしてもよい。上述したように、基板のエッチング速度値を3つの同心円に分割することができる。すなわち、一つの同心円内のデータポイントにおけるエッチング速度は実質的に同じである。このため、一つの同心円内では、基板は実質的に均一になる。したがって、基板の全平均エッチング速度に対する一つの同心円の平均エッチング速度の標準偏差を計算することにより、同心円の均一性を求めることができる。   After calculating the average etching rate, the uniformity may be calculated. As described above, the etching rate value of the substrate can be divided into three concentric circles. That is, the etch rate at data points within one concentric circle is substantially the same. For this reason, the substrate is substantially uniform within one concentric circle. Accordingly, the uniformity of the concentric circles can be determined by calculating the standard deviation of the average etching rate of one concentric circle with respect to the total average etching rate of the substrate.

次のステップ318で、収集したセンサー処理データを用いて、モデル予測エッチング速度と均一性とを計算するようにしてもよい。センサーデータと計測情報との間に先に構築された相関関係(すなわち、部分最小二乗モデリング)を用いて、非フィルム基板に関するエッチング速度と均一性とを予測できる。   In the next step 318, the model predicted etch rate and uniformity may be calculated using the collected sensor processing data. The correlation established previously between sensor data and measurement information (ie, partial least square modeling) can be used to predict etch rate and uniformity for non-film substrates.

次のステップ320で、システムは、検証データが収集されたか否かの判定チェックを行なう。上述したように、検証データは、ステップ304で収集されるものでもよい。検証データが収集された場合には、次のステップ322で、検証データに基づいて、平均エッチング速度と均一性とを計算する。   In the next step 320, the system checks to determine if verification data has been collected. As described above, the verification data may be collected at step 304. If verification data is collected, the next step 322 calculates an average etch rate and uniformity based on the verification data.

次のステップ324で、検証データ群に関してSSHCモデルが予測したエッチング速度及び均一性を実際の計測測定値と比較する。このステップを用いて、SSHC予測モデルの妥当性を検証することができる。   In the next step 324, the etching rate and uniformity predicted by the SSHC model for the validation data set are compared with the actual measured measurements. This step can be used to verify the validity of the SSHC prediction model.

比較を行なった後、システムは、次のステップ326に進む。また、検証データが存在しない場合にも、システムは、次のステップ326に進む。   After making the comparison, the system proceeds to the next step 326. If the verification data does not exist, the system proceeds to the next step 326.

次のステップ326で、システムは、予測誤差率を制御限界と比較する。たとえば、制御限界が3%であれば、予測誤差率は3%以下でなければならない。   In the next step 326, the system compares the predicted error rate to the control limit. For example, if the control limit is 3%, the prediction error rate must be 3% or less.

予測誤差が許容可能範囲外であれば、次のステップ328で、警告を発して、ユーザーにSSHC予測モデルの調整が必要であることを通知する。   If the prediction error is outside the allowable range, in the next step 328, a warning is issued to inform the user that the SSHC prediction model needs to be adjusted.

一方、予測誤差が許容可能範囲内であれば、次のステップ330で、SSHC予測モデルを生産工程に移動させて、処理チャンバの検証に利用するようにしてもよい。   On the other hand, if the prediction error is within an allowable range, in the next step 330, the SSHC prediction model may be moved to the production process and used for verification of the processing chamber.

図5のフローチャートに、一実施形態において、SSHC予測モデルを適用して、処理チャンバを検証する方法の概略を示す。生産工程(プロダクションラン)の前に、サブシステム正常性チェック試験を実行するようにしてもよい。すなわち、SSHC予測モデルを試験基板から収集したデータに適用して、処理チャンバの正常性状態を判定するようにしてもよい。   The flowchart of FIG. 5 outlines a method for validating a processing chamber by applying an SSHC prediction model in one embodiment. A subsystem normality check test may be performed before the production process (production run). That is, the SSHC prediction model may be applied to data collected from the test substrate to determine the normal state of the processing chamber.

最初のステップ504で、ユーザーはSSHC予測モデルを起動させる。ユーザー仕様(レシピ、ファイル名等)を入力するようにしてもよい。この情報をライブラリ502から引き出すようにしてもよい。ライブラリ502は、レシピ別のパラメータ(制御上限、制御下限、目標エッチング速度、均一性等)を含むものでもよい。   In an initial step 504, the user activates the SSHC prediction model. User specifications (recipe, file name, etc.) may be input. This information may be extracted from the library 502. The library 502 may include recipe-specific parameters (control upper limit, control lower limit, target etching rate, uniformity, etc.).

次のステップ506で、システムは、予備評価を実行するようにしてもよい。予備評価により、処理チャンバの準備状態を判定するようにしてもよい。   In the next step 506, the system may perform a preliminary assessment. The preparation state of the processing chamber may be determined by preliminary evaluation.

(所定の閾値範囲外である等)予備評価に失敗した場合には、システムは、次のステップ526で、警告(失敗)の原因を判定するようにしてもよい。たとえば、警告の原因としては、接続性、周波数の変動、及び/又は、温度変化が考えられる(ステップ528)。   If the preliminary evaluation fails (eg, out of a predetermined threshold range), the system may determine the cause of the warning (failure) at the next step 526. For example, the cause of the warning may be connectivity, frequency variation, and / or temperature change (step 528).

警告の原因が接続性にある場合には、1つ又は複数のセンサーが正しく接続されていない可能性がある。センサーが正しく接続されていなければ、センサーは処理データを収集できない。   If the cause of the warning is connectivity, one or more sensors may not be connected correctly. If the sensor is not properly connected, the sensor cannot collect processing data.

警告の他の原因として、周波数の大きな変動が挙げられる。たとえば、(VIプローブセンサー等の)電気的プローブにより記録される周波数と発電機により記録される周波数とを比較する。2つの周波数間の差が閾値以上である(たとえば、非常に大きい)場合、VIプローブセンサーに問題が生じている可能性がある。たとえば、VIプローブセンサーの温度が高すぎる、あるいは、VIプローブセンサーが機能していない、等である。   Another cause of warning is a large variation in frequency. For example, the frequency recorded by an electrical probe (such as a VI probe sensor) is compared with the frequency recorded by a generator. If the difference between the two frequencies is greater than or equal to a threshold (eg, very large), there may be a problem with the VI probe sensor. For example, the temperature of the VI probe sensor is too high, or the VI probe sensor is not functioning.

警告の別の原因として、処理チャンバ内の現在の温度と所望の設定温度(すなわち、レシピ温度)との間の大きな温度変化挙げられる。たとえば、処理ツールのスイッチを最初に入れた際に、処理チャンバ内の温度が所望の設定温度に到達するまで数分が必要な場合がある。システムは、処理が開始される前に、処理チャンバ内の温度が所望の閾値内であることを確認するためのチェックを行なう。   Another source of warning is a large temperature change between the current temperature in the processing chamber and the desired set temperature (ie, recipe temperature). For example, when the processing tool is first switched on, it may take several minutes for the temperature in the processing chamber to reach the desired set temperature. The system performs a check to ensure that the temperature in the processing chamber is within a desired threshold before processing begins.

予備評価に合格した場合には、システムは、次のステップ508で、SSHC予測モデルが存在するか否かの判定チェックを行なう。   If the preliminary evaluation is passed, the system checks at next step 508 whether a SSHC prediction model exists.

SSHC予測モデルが存在しなければ、次のステップ522で、(図2及び/又は図3で記載したように)SSHC予測モデルを構築する。   If no SSHC prediction model exists, the next step 522 builds the SSHC prediction model (as described in FIGS. 2 and / or 3).

一方、SSHC予測モデルが存在する場合には、基板を処理して、処理データを収集する。たとえば、SSHC予測モデルを処理データに適用して、現在の基板に関して、エッチング速度及び/又は均一性(計算データ)を予測する(ステップ510)。   On the other hand, when the SSHC prediction model exists, the substrate is processed and processing data is collected. For example, an SSHC prediction model is applied to the process data to predict etch rate and / or uniformity (calculated data) for the current substrate (step 510).

次のステップ512で、システムは、エッチング速度と均一性が制御限界内であるか否かの判定チェックを行なう。エッチング速度及び/又は均一性が制御限界内になければ、次のステップ514で、不合格通知を発する。不合格通知により、処理チャンバ内に存在する可能性のある問題に関する詳細を知らせるようにしてもよい。たとえば、不合格通知に、処理チャンバ内のドリフトを考慮してレシピを調整する必要があることを示すようにしてもよい。別の例として、不合格通知に、チャンバの温度を制御する冷却材が不十分であったこと等を理由に、基板処理の間に突然温度が上昇したことを示すようにしてもよい。   In the next step 512, the system checks to see if the etch rate and uniformity are within control limits. If the etch rate and / or uniformity is not within the control limits, a failure notification is issued at the next step 514. A failure notification may provide details regarding problems that may exist in the processing chamber. For example, the failure notice may indicate that the recipe needs to be adjusted to account for drift in the processing chamber. As another example, a failure notice may indicate that the temperature has suddenly increased during substrate processing, such as because there is insufficient coolant to control the chamber temperature.

エッチング速度及び均一性が制御限界以内である場合には、次のステップ516で、システムは、SSHC検証度合いが満たされたか否かの判定チェックを行なう。たとえば、ユーザーは、3つの連続した基板に関するエッチング速度及び均一性が制御限界以内であれば、処理チャンバが良好な作動状態である、ことを示す限界を設定するようにしてもよい。   If the etch rate and uniformity are within control limits, in the next step 516, the system checks to see if the SSHC verification degree has been met. For example, the user may set a limit that indicates that the processing chamber is in good working condition if the etch rate and uniformity for three consecutive substrates are within control limits.

SSHC検証度合いが満たされない場合には、次のステップ518で、システムは次の基板を用いて処理を続ける(ステップ510に戻る)。すなわち、ステップ510〜518を繰り返す。一実施形態において、SSHC検証度合いが満たされるまで、ステップ510〜518を繰り返すようにしてもよい。別の実施形態において、所定数の基板でSSHC検証度合いを満たすことができない場合には、ユーザーに不合格通知を発して、システム内に存在する可能性のある問題に関してユーザーに通知するようにしてもよい。   If the SSHC verification degree is not met, at the next step 518, the system continues processing with the next substrate (returns to step 510). That is, steps 510 to 518 are repeated. In one embodiment, steps 510-518 may be repeated until the SSHC verification degree is met. In another embodiment, if the SSHC verification degree cannot be met with a predetermined number of boards, a failure notification is issued to the user to inform the user about a problem that may exist in the system. Also good.

一方、SSHC検証度合いが満たされる場合には、次のステップ520で、処理チャンバはサブシステム正常性チェックに合格し、生産工程(プロダクションラン)を開始できる。   On the other hand, if the SSHC verification degree is satisfied, in the next step 520, the processing chamber passes the subsystem normality check and can start the production process (production run).

以上の説明から理解できるように、様々な方法で、処理チャンバを検証することができる。湿式洗浄サイクルの間に2回以上の試験運転(テストラン)から得られたデータを外挿することにより、湿式洗浄サイクルにおいて生じる可能性のある変動を考慮したSSHC予測モデルを構築することができる。ロバストなSSHC予測モデルは、処理チャンバを検証する有効なモデルになると共に、所有コスト(コストオブオーナーシップ)を削減できる。   As can be appreciated from the above description, the processing chamber can be verified in various ways. By extrapolating data from two or more test runs (test runs) during a wet cleaning cycle, an SSHC prediction model can be constructed that takes into account the possible variations that may occur in the wet cleaning cycle . The robust SSHC prediction model becomes an effective model for verifying the processing chamber and can reduce the cost of ownership (cost of ownership).

以上、本発明をいくつかの好適な実施形態に従って説明してきたが、本発明の要旨の範囲内で、様々に変更、変形、置換等が可能である。上述の様々な実施形態や実施例は例示に過ぎず、何ら本発明を限定するものではない。   Although the present invention has been described according to some preferred embodiments, various changes, modifications, substitutions, and the like are possible within the scope of the gist of the present invention. The various embodiments and examples described above are merely examples and do not limit the present invention.

本発明の名称及び概要は、便宜上のものであり、特許請求の範囲を解釈するためのものではない。さらに、発明の要約は、非常に簡略化した形態を記載したものであり、便宜上のものであるため、特許請求の範囲に記載される本発明全体を解釈したり限定したりするものではない。本明細書で用いた「群」という用語は、普通に理解される数学的な意味で用いるものであり、0、1又は2以上を含む。本発明の方法及び装置は、様々に変形して実施可能である。以下に記載する特許請求の範囲には、本発明の要旨の範囲内における様々な変形、置換、及びそれらに等価のものも含まれる。   The names and summary of the invention are for convenience only and are not intended to interpret the claims. Further, the summary of the invention describes a very simplified form and is for convenience, and does not interpret or limit the entire invention described in the claims. As used herein, the term “group” is used in its commonly understood mathematical sense and includes 0, 1 or 2 or more. The method and apparatus of the present invention can be implemented with various modifications. The scope of the claims set forth below includes various modifications, substitutions, and equivalents within the scope of the present invention.

Claims (20)

基板群を基板処理する際の処理チャンバの正常性を検証するために、エッチング速度の均一性を予測する方法であって、
前記基板群の第1の基板に関してレシピを実行する工程と、
前記レシピを実行する際に、第1のセンサー群から処理データを受ける工程と、
サブシステム正常性チェック予測モデルを用いて前記処理データを解析して計算データを求める工程であって、前記計算データがエッチング速度データと均一性データの少なくとも一方を含み、前記サブシステム正常性チェック予測モデルは第1のデータ群と第2のデータ群とを相関させることにより構築され、前記第1のデータ群はフィルム基板群からの測定データを含み、前記第2のデータ群は非フィルム基板群を同様に処理した際に集められた処理データを含む、工程と、
前記第1の基板に関する前記計算データと、前記サブシステム正常性チェック予測モデルにより規定される制御限界群と、の比較を実行する工程と、
前記計算データが前記制御限界群の範囲外である場合に、警告を生成する工程と、
を備える方法。
A method for predicting the uniformity of an etching rate in order to verify the normality of a processing chamber when processing a substrate group.
Performing a recipe on a first substrate of the substrate group;
Receiving the processing data from the first sensor group when executing the recipe;
Analyzing the processing data using a subsystem normality check prediction model to obtain calculation data, wherein the calculation data includes at least one of etching rate data and uniformity data, and the subsystem normality check prediction The model is constructed by correlating a first data group and a second data group, the first data group including measurement data from a film substrate group, and the second data group is a non-film substrate group. Including process data collected when processing
Performing a comparison between the calculated data relating to the first substrate and a control limit group defined by the subsystem normality check prediction model;
Generating a warning if the calculated data is outside the range of the control limit group;
A method comprising:
請求項1に記載の方法であって、さらに、
予備評価を実行して、前記処理チャンバの準備状態を判定する工程を備える、方法。
The method of claim 1, further comprising:
Performing a preliminary assessment to determine a readiness state of the processing chamber.
請求項2に記載の方法であって、さらに、
前記予備評価が所定の閾値の範囲外である場合に、問題の原因を判断する工程を備える、方法。
The method of claim 2, further comprising:
A method comprising determining a cause of a problem when the preliminary evaluation is outside a predetermined threshold range.
請求項1に記載の方法であって、さらに、
データをライブラリから引き出して前記サブシステム正常性チェック予測モデルをサポートする工程を備える、方法。
The method of claim 1, further comprising:
Extracting data from the library to support the subsystem health check prediction model.
請求項1に記載の方法であって、
前記制御限界群はユーザー設定可能である、方法。
The method of claim 1, comprising:
The method, wherein the control limit group is user-configurable.
請求項1に記載の方法であって、さらに、
前記計算データが前記制御限界群の範囲内である場合には、検証度合いの妥当性を確認して、所定数の基板が、前記計算データと前記制御限界群との前記比較に合格したか否かを判定する工程を備える、方法。
The method of claim 1, further comprising:
When the calculated data is within the range of the control limit group, the validity of the verification degree is confirmed, and whether a predetermined number of boards have passed the comparison between the calculated data and the control limit group. A method comprising the step of determining whether or not.
請求項1に記載の方法であって、
前記サブシステム正常性チェック予測モデルは、或る期間に集めたデータから構築されており、前記或る期間は、湿式サイクルの開始時、湿式サイクルの間、及び、湿式サイクルの終了時のうちのいずれか1つである、方法。
The method of claim 1, comprising:
The subsystem health check prediction model is constructed from data collected over a period of time, which is determined at the beginning of the wet cycle, during the wet cycle, and at the end of the wet cycle. A method that is any one.
請求項7に記載の方法であって、
前記サブシステム正常性チェック予測モデルは、1つの期間に集めたデータから構築されている、方法。
The method of claim 7, comprising:
The method, wherein the subsystem health check prediction model is constructed from data collected over a period of time.
請求項7に記載の方法であって、
前記サブシステム正常性チェック予測モデルは、少なくとも2つの期間に集めたデータから構築されている、方法。
The method of claim 7, comprising:
The method, wherein the subsystem health check prediction model is constructed from data collected over at least two time periods.
プラズマ処理システムの処理チャンバを検証するための処理チャンバ正常性チェック装置であって、
前記処理チャンバの準備状態を判定する予備評価モジュールと、
レシピとレシピパラメータの少なくとも一方を格納するライブラリと、
サブシステム正常性チェック予測モデルであって、
基板処理の際に第1のセンサー群から処理データを受け取り、
前記処理データを解析して、エッチング速度データと均一性データの少なくとも一方を含む計算データ群を求め、
前記計算データ群を所定の制御限界群と比較し、
前記計算データ群が前記所定の制御限界群の範囲外にある場合に、警告を生成するように構成されるサブシステム正常性チェック予測モデルと、
を備える、処理チャンバ正常性チェック装置。
A processing chamber health check device for verifying a processing chamber of a plasma processing system, comprising:
A preliminary evaluation module for determining a preparation state of the processing chamber;
A library for storing at least one of recipes and recipe parameters;
A subsystem health check prediction model,
Receive processing data from the first sensor group during substrate processing,
Analyzing the processing data to obtain a calculation data group including at least one of etching rate data and uniformity data;
Comparing the calculated data group with a predetermined control limit group;
A subsystem health check prediction model configured to generate a warning if the calculated data group is outside the range of the predetermined control limit group;
A processing chamber normality check apparatus comprising:
請求項10に記載の処理チャンバ正常性チェック装置であって、
前記サブシステム正常性チェック予測モデルが、さらに、前記計算データが前記制御限界群の範囲内である場合には、検証度合いの妥当性を確認して、所定数の基板が、前記計算データと前記制御限界群との前記比較に合格したか否かを判定するように構成される、処理チャンバ正常性チェック装置。
The processing chamber normality check apparatus according to claim 10,
In the subsystem normality check prediction model, if the calculation data is within the range of the control limit group, the validity of the degree of verification is confirmed, and a predetermined number of boards are connected to the calculation data and the calculation data. A processing chamber normality check device configured to determine whether the comparison with a control limit group has been passed.
請求項10に記載の処理チャンバ正常性チェック装置であって、
前記サブシステム正常性チェック予測モデルは、
第1の基板群から第1のデータ群を取得し、
第2の基板群から第2のデータ群を集めて、
前記第1のデータ群を前記第2のデータ群と相関させることにより、構築されており、
前記第1の基板群はフィルム基板群であり、前記第1のデータ群は前処理測定データと後処理測定データとを含み、前記後処理測定データは前記第1の基板群に対してレシピを実行後に集められたものであり、
前記第2のデータ群は前記レシピと同様のものを実行した際にセンサー群から集められたものである、処理チャンバ正常性チェック装置。
The processing chamber normality check apparatus according to claim 10,
The subsystem health check prediction model is
Obtaining a first data group from a first substrate group;
Collect the second data group from the second substrate group,
Constructed by correlating the first data group with the second data group;
The first substrate group is a film substrate group, the first data group includes pre-processing measurement data and post-processing measurement data, and the post-processing measurement data is a recipe for the first substrate group. Collected after execution,
The processing chamber normality check apparatus, wherein the second data group is collected from a sensor group when the same data as the recipe is executed.
請求項10に記載の処理チャンバ正常性チェック装置であって、
前記サブシステム正常性チェック予測モデルは、或る期間に集めたデータから構築され、前記或る期間は、湿式サイクルの開始時、湿式サイクルの間、及び、湿式サイクルの終了時のうちのいずれか1つである、処理チャンバ正常性チェック装置。
The processing chamber normality check apparatus according to claim 10,
The subsystem health check prediction model is constructed from data collected over a period of time, which can be any of the start of the wet cycle, during the wet cycle, and at the end of the wet cycle. One is a processing chamber normality check apparatus.
請求項13に記載の処理チャンバ正常性チェック装置であって、
前記サブシステム正常性チェック予測モデルは、1つの期間に集めたデータから構築されている、処理チャンバ正常性チェック装置。
The processing chamber normality checking apparatus according to claim 13,
The processing chamber health check device, wherein the subsystem health check prediction model is constructed from data collected in one period.
請求項13に記載の処理チャンバ正常性チェック装置であって、
前記サブシステム正常性チェック予測モデルは、少なくとも2つの期間に集めたデータから構築されている、処理チャンバ正常性チェック装置。
The processing chamber normality checking apparatus according to claim 13,
The processing chamber health check apparatus, wherein the subsystem health check prediction model is constructed from data collected in at least two periods.
コンピュータ読み取り可能なコードが格納されたプログラム記憶媒体を備える製品であって、
前記コンピュータ読み取り可能なコードが、基板群を基板処理する際の処理チャンバの正常性を検証するために、エッチング速度の均一性を予測するように構成され、
前記基板群の第1の基板に関してレシピを実行するコードと、
前記レシピを実行する際に、第1のセンサー群から処理データを受信するコードと、
サブシステム正常性チェック予測モデルを用いて前記処理データを解析して計算データを求める工程であって、前記計算データがエッチング速度データと均一性データの少なくとも一方を含み、前記サブシステム正常性チェック予測モデルは第1のデータ群と第2のデータ群とを相関させることにより構築され、前記第1のデータ群はフィルム基板群からの測定データを含み、前記第2のデータ群は非フィルム基板群を同様に処理した際に集められた処理データを含む、コードと、
前記第1の基板に関する前記計算データと、前記サブシステム正常性チェック予測モデルにより規定される制御限界群と、の比較を実行するコードと、
前記計算データが前記制御限界群の範囲外である場合に、警告を生成するコードと、を備える、製品。
A product comprising a program storage medium storing a computer-readable code,
The computer readable code is configured to predict etch rate uniformity to verify the normality of a processing chamber when processing substrates;
Code for executing a recipe on the first substrate of the substrate group;
A code for receiving processing data from the first sensor group when executing the recipe;
Analyzing the processing data using a subsystem normality check prediction model to obtain calculation data, wherein the calculation data includes at least one of etching rate data and uniformity data, and the subsystem normality check prediction The model is constructed by correlating a first data group and a second data group, the first data group including measurement data from a film substrate group, and the second data group is a non-film substrate group. Code containing processing data collected when processing
A code for performing a comparison between the calculation data relating to the first substrate and a control limit group defined by the subsystem normality check prediction model;
A code for generating a warning when the calculated data is outside the range of the control limit group.
請求項16に記載の製品であって、さらに、
予備評価を実行して、前記処理チャンバの準備状態を判定するコードを備える、製品。
The product of claim 16, further comprising:
A product comprising code for performing a preliminary assessment to determine the readiness of the processing chamber.
請求項16に記載の製品であって、さらに、
データをライブラリから引き出して前記サブシステム正常性チェック予測モデルをサポートするコードを備える、製品。
The product of claim 16, further comprising:
A product comprising code that pulls data from a library to support the subsystem health check prediction model.
請求項16に記載の製品であって、さらに、
前記計算データが前記制御限界群の範囲内である場合には、検証度合いの妥当性を確認して、所定数の基板が、前記計算データと前記制御限界群との前記比較に合格したか否かを判定するコードを備える、製品。
The product of claim 16, further comprising:
When the calculated data is within the range of the control limit group, the validity of the verification degree is confirmed, and whether a predetermined number of boards have passed the comparison between the calculated data and the control limit group. A product with code to determine whether
請求項16に記載の製品であって、
前記サブシステム正常性チェック予測モデルは、或る期間に集めたデータから構築されており、前記或る期間は、湿式サイクルの開始時、湿式サイクルの間、及び、湿式サイクルの終了時のうちのいずれか1つである、製品。
The product of claim 16, comprising:
The subsystem health check prediction model is constructed from data collected over a period of time, which is determined at the beginning of the wet cycle, during the wet cycle, and at the end of the wet cycle. A product that is one of them.
JP2012518586A 2009-06-30 2010-06-29 Method, apparatus and program storage medium for predicting etch rate uniformity for plasma chamber verification Active JP5629770B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22210209P 2009-06-30 2009-06-30
US22202409P 2009-06-30 2009-06-30
US61/222,102 2009-06-30
US61/222,024 2009-06-30
US12/555,674 US8983631B2 (en) 2009-06-30 2009-09-08 Arrangement for identifying uncontrolled events at the process module level and methods thereof
US12/555,674 2009-09-08
PCT/US2010/040468 WO2011002804A2 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber

Publications (3)

Publication Number Publication Date
JP2012532462A true JP2012532462A (en) 2012-12-13
JP2012532462A5 JP2012532462A5 (en) 2013-08-15
JP5629770B2 JP5629770B2 (en) 2014-11-26

Family

ID=43411705

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2012518588A Active JP5693573B2 (en) 2009-06-30 2010-06-29 How to build an optimal endpoint algorithm
JP2012518586A Active JP5629770B2 (en) 2009-06-30 2010-06-29 Method, apparatus and program storage medium for predicting etch rate uniformity for plasma chamber verification
JP2012518582A Active JP5624618B2 (en) 2009-06-30 2010-06-29 Method and configuration for in situ process monitoring and control for plasma processing tools
JP2012518589A Pending JP2012532464A (en) 2009-06-30 2010-06-29 Configuration and method for identifying uncontrollable events at the process module level
JP2012518584A Active JP5599882B2 (en) 2009-06-30 2010-06-29 Method and apparatus for predictive preventive maintenance of processing chambers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012518588A Active JP5693573B2 (en) 2009-06-30 2010-06-29 How to build an optimal endpoint algorithm

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2012518582A Active JP5624618B2 (en) 2009-06-30 2010-06-29 Method and configuration for in situ process monitoring and control for plasma processing tools
JP2012518589A Pending JP2012532464A (en) 2009-06-30 2010-06-29 Configuration and method for identifying uncontrollable events at the process module level
JP2012518584A Active JP5599882B2 (en) 2009-06-30 2010-06-29 Method and apparatus for predictive preventive maintenance of processing chambers

Country Status (6)

Country Link
JP (5) JP5693573B2 (en)
KR (5) KR101741272B1 (en)
CN (5) CN102474968B (en)
SG (5) SG176565A1 (en)
TW (5) TWI495970B (en)
WO (5) WO2011002804A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018026558A (en) * 2016-08-03 2018-02-15 ラム リサーチ コーポレーションLam Research Corporation Methods and systems for monitoring plasma processing systems and advanced process and tool control
WO2023121893A1 (en) * 2021-12-21 2023-06-29 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332383B (en) * 2011-09-23 2014-12-10 中微半导体设备(上海)有限公司 End point monitoring method for plasma etching process
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI677264B (en) * 2013-12-13 2019-11-11 美商蘭姆研究公司 Rf impedance model based fault detection
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN108847381A (en) * 2018-05-25 2018-11-20 深圳市华星光电半导体显示技术有限公司 The method for testing substrate and extended testing system substrate service life
US10651097B2 (en) 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
DE102019209110A1 (en) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrial plant, in particular plant in the metal-producing industry or the aluminum or steel industry, and method for operating an industrial plant, in particular a plant in the metal-producing industry or the aluminum or steel industry
JP7289992B1 (en) * 2021-07-13 2023-06-12 株式会社日立ハイテク Diagnostic apparatus and diagnostic method, plasma processing apparatus and semiconductor device manufacturing system
US20230260767A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Process control knob estimation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125660A (en) * 1996-08-29 1998-05-15 Fujitsu Ltd Plasma processor, process monitoring method and fabrication of semiconductor device
JP2004235312A (en) * 2003-01-29 2004-08-19 Hitachi High-Technologies Corp Plasma processing apparatus and method therefor
JP2006140237A (en) * 2004-11-10 2006-06-01 Tokyo Electron Ltd Substrate-treating device and method and program for restoring the same

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272872A (en) * 1992-11-25 1993-12-28 Ford Motor Company Method and apparatus of on-board catalytic converter efficiency monitoring
JP3301238B2 (en) * 1994-10-25 2002-07-15 三菱電機株式会社 Etching method
JPH08148474A (en) * 1994-11-16 1996-06-07 Sony Corp Dry etching end point detecting method and device
JPH09306894A (en) * 1996-05-17 1997-11-28 Sony Corp Optimum emission spectrum automatic detecting system
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
JP2001516940A (en) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 Apparatus and method for detecting and preventing arcing in RF plasma systems
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2001338856A (en) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd Process controller for semiconductor manufacturing system
JP4554037B2 (en) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 Consumable consumption level prediction method and deposited film thickness prediction method
US6567718B1 (en) * 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
JP2003151955A (en) * 2001-11-19 2003-05-23 Nec Kansai Ltd Plasma etching method
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6825050B2 (en) * 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US20040031052A1 (en) 2002-08-12 2004-02-12 Liberate Technologies Information platform
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
TWI233008B (en) * 2002-09-30 2005-05-21 Tokyo Electron Ltd Method and apparatus for the monitoring and control of a semiconductor manufacturing process
EP1556936B1 (en) * 2002-10-25 2016-12-07 S & C Electric Company Method and apparatus for control of an electric power system in response to circuit abnormalities
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
JP2004295348A (en) * 2003-03-26 2004-10-21 Mori Seiki Co Ltd Maintenance management system of machine tool
JP2004335841A (en) * 2003-05-09 2004-11-25 Tokyo Electron Ltd Prediction system and prediction method for plasma treatment apparatus
ATE415702T1 (en) * 2003-05-09 2008-12-15 Unaxis Usa Inc ENDPOINT DETECTION IN A TIME MULTIPLEXED METHOD USING AN ENVELOPE ALGORITHM
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4043408B2 (en) * 2003-06-16 2008-02-06 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
KR100567745B1 (en) * 2003-09-25 2006-04-05 동부아남반도체 주식회사 Life predictive apparatus for a target of sputtering equipment and its operating method
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7930053B2 (en) * 2003-12-23 2011-04-19 Beacons Pharmaceuticals Pte Ltd Virtual platform to facilitate automated production
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
JP2006004992A (en) * 2004-06-15 2006-01-05 Seiko Epson Corp Polishing device managing system, managing device, control program thereof and control method thereof
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
JP4707421B2 (en) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 Processing apparatus, consumable part management method for processing apparatus, processing system, and consumable part management method for processing system
JP2006328510A (en) * 2005-05-30 2006-12-07 Ulvac Japan Ltd Plasma treatment method and device
TWI338321B (en) * 2005-06-16 2011-03-01 Unaxis Usa Inc Process change detection through the use of evolutionary algorithms
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR20080006750A (en) * 2006-07-13 2008-01-17 삼성전자주식회사 Plasma doping system for fabrication of semiconductor device
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
CN100587902C (en) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 On-line predication method for maintaining etching apparatus
JP2008158769A (en) * 2006-12-22 2008-07-10 Tokyo Electron Ltd Substrate processing system, controller, setting information monitoring method, and storage medium with setting information monitoring program stored
US7548830B2 (en) * 2007-02-23 2009-06-16 General Electric Company System and method for equipment remaining life estimation
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008311338A (en) * 2007-06-13 2008-12-25 Harada Sangyo Kk Vacuum treatment apparatus and abnormal discharge precognition device used therefor, and control method of vacuum treatment apparatus
KR100892248B1 (en) * 2007-07-24 2009-04-09 주식회사 디엠에스 Endpoint detection device for realizing real-time control of a plasma reactor and the plasma reactor comprising the endpoint detection device and the endpoint detection method
US20090106290A1 (en) * 2007-10-17 2009-04-23 Rivard James P Method of analyzing manufacturing process data
JP4983575B2 (en) * 2007-11-30 2012-07-25 パナソニック株式会社 Plasma processing apparatus and plasma processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125660A (en) * 1996-08-29 1998-05-15 Fujitsu Ltd Plasma processor, process monitoring method and fabrication of semiconductor device
JP2004235312A (en) * 2003-01-29 2004-08-19 Hitachi High-Technologies Corp Plasma processing apparatus and method therefor
JP2006140237A (en) * 2004-11-10 2006-06-01 Tokyo Electron Ltd Substrate-treating device and method and program for restoring the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018026558A (en) * 2016-08-03 2018-02-15 ラム リサーチ コーポレーションLam Research Corporation Methods and systems for monitoring plasma processing systems and advanced process and tool control
JP7068784B2 (en) 2016-08-03 2022-05-17 ラム リサーチ コーポレーション Methods and systems for monitoring plasma processing systems, as well as advanced process and tool control
WO2023121893A1 (en) * 2021-12-21 2023-06-29 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models

Also Published As

Publication number Publication date
JP2012532464A (en) 2012-12-13
CN102473590A (en) 2012-05-23
SG176147A1 (en) 2011-12-29
WO2011002810A3 (en) 2011-04-14
CN102804353B (en) 2015-04-15
JP5693573B2 (en) 2015-04-01
KR20120037420A (en) 2012-04-19
WO2011002811A3 (en) 2011-02-24
CN102804929B (en) 2015-11-25
KR101741272B1 (en) 2017-05-29
CN102473590B (en) 2014-11-26
WO2011002800A3 (en) 2011-04-07
JP2012532461A (en) 2012-12-13
KR20120047871A (en) 2012-05-14
TW201115288A (en) 2011-05-01
KR101708078B1 (en) 2017-02-17
SG176564A1 (en) 2012-01-30
JP2012532460A (en) 2012-12-13
TWI480917B (en) 2015-04-11
KR20120101293A (en) 2012-09-13
CN102473631A (en) 2012-05-23
JP5599882B2 (en) 2014-10-01
WO2011002810A4 (en) 2011-06-03
TWI509375B (en) 2015-11-21
CN102473631B (en) 2014-11-26
SG176567A1 (en) 2012-01-30
TWI484435B (en) 2015-05-11
TW201129884A (en) 2011-09-01
KR20120037421A (en) 2012-04-19
WO2011002803A3 (en) 2011-03-03
WO2011002803A2 (en) 2011-01-06
JP5629770B2 (en) 2014-11-26
KR101741274B1 (en) 2017-05-29
WO2011002804A3 (en) 2011-03-03
KR20120037419A (en) 2012-04-19
JP2012532463A (en) 2012-12-13
CN102474968B (en) 2015-09-02
CN102804353A (en) 2012-11-28
WO2011002800A2 (en) 2011-01-06
WO2011002804A2 (en) 2011-01-06
TW201129936A (en) 2011-09-01
WO2011002810A2 (en) 2011-01-06
TW201108022A (en) 2011-03-01
TWI495970B (en) 2015-08-11
KR101708077B1 (en) 2017-02-17
CN102804929A (en) 2012-11-28
WO2011002811A2 (en) 2011-01-06
JP5624618B2 (en) 2014-11-12
TW201112302A (en) 2011-04-01
SG176566A1 (en) 2012-01-30
SG176565A1 (en) 2012-01-30
CN102474968A (en) 2012-05-23
TWI536193B (en) 2016-06-01
KR101741271B1 (en) 2017-05-29

Similar Documents

Publication Publication Date Title
JP5629770B2 (en) Method, apparatus and program storage medium for predicting etch rate uniformity for plasma chamber verification
US8295966B2 (en) Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8473089B2 (en) Methods and apparatus for predictive preventive maintenance of processing chambers
US10734261B2 (en) Search apparatus and search method
KR102568074B1 (en) Systems and methods for predicting defects and critical dimensions using deep learning in semiconductor manufacturing processes
TWI723255B (en) Exploration device and exploration method
JP7137943B2 (en) SEARCHING DEVICE, SEARCHING METHOD AND PLASMA PROCESSING DEVICE
KR102120522B1 (en) Selection and use of representative target subsets
EP3189542B1 (en) Breakdown analysis of geometry induced overlay and utilization of breakdown analysis for improved overlay control
JP2020061575A (en) System for determining critical parameters using high-dimensional variable selection model
TW201945967A (en) Process simulation model calibration using CD-SEM
WO2016089786A1 (en) Predicting and controlling critical dimension issues and pattern defectivity in wafers using interferometry
JP6525044B1 (en) Monitoring system, learning apparatus, learning method, monitoring apparatus and monitoring method
WO2020152889A1 (en) Device diagnosis device, plasma processing device, and device diagnosis method
US20090144692A1 (en) Method and apparatus for monitoring optical proximity correction performance
JP6754878B2 (en) Search device and search method
TW202240735A (en) Adaptive model training for process control of semiconductor manufacturing equipment
Solecky Metrology tool fleet management: a comprehensive discussion of requirements and solutions
Ward et al. Metrology data cleaning and statistical assessment flow for modeling applications

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130625

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140812

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140909

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141006

R150 Certificate of patent or registration of utility model

Ref document number: 5629770

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250