KR20110126675A - 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스 - Google Patents

플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스 Download PDF

Info

Publication number
KR20110126675A
KR20110126675A KR1020117021120A KR20117021120A KR20110126675A KR 20110126675 A KR20110126675 A KR 20110126675A KR 1020117021120 A KR1020117021120 A KR 1020117021120A KR 20117021120 A KR20117021120 A KR 20117021120A KR 20110126675 A KR20110126675 A KR 20110126675A
Authority
KR
South Korea
Prior art keywords
plasma chamber
bus conductor
input
power
coupling
Prior art date
Application number
KR1020117021120A
Other languages
English (en)
Other versions
KR101617781B1 (ko
Inventor
칼 에이. 소렌센
요제프 쿠델라
로빈 엘. 티너
수하일 앤워
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110126675A publication Critical patent/KR20110126675A/ko
Application granted granted Critical
Publication of KR101617781B1 publication Critical patent/KR101617781B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

플라즈마 챔버의 RF 입력(40)으로부터 플라즈마 챔버의 내부(11)로 RF 전력을 커플링하기 위해, RF 버스 도체(43, 44)가 상기 RF 입력과 플라즈마 챔버 전극(20-26) 사이에 연결된다. 일 실시예에서, RF 리턴 버스 도체(53, 54)는 상기 챔버의 전기적으로 접지된 벽(14-18)에 연결되며, 상기 RF 버스 도체 및 상기 RF 리턴 버스 도체는 서로 평행하며 마주보는 개별적인 표면들을 갖는다. 다른 실시예에서, 상기 RF 버스 도체는 상기 RF 버스 도체에 최근접한 상기 플라즈마 챔버 전극의 표면에 수직 배향된 최장 치수를 갖는 횡단면을 갖는다.

Description

플라즈마 챔버 전극을 위한 RF 버스 및 RF 리턴 버스{RF BUS AND RF RETURN BUS FOR PLASMA CHAMBER ELECTRODE}
본 발명은 일반적으로 반도체들, 디스플레이들, 태양 전지들 및 고체 상태 발광 디바이스들과 같은 전자 디바이스들을 제조하도록 이용된 플라즈마 챔버의 전극에 RF 전력을 커플링하는 것에 관한 것이다. 본 발명은 더 구체적으로 상기 전극에 연결된 RF 버스 및 RF 리턴 버스 도체들, 및 상기 전극에 관한 상기 RF 버스 도체의 배향에 관한 것이다. 본 발명은 상기 챔버 내의 플라즈마에 RF 전력을 커플링하는 효율성을 개선한다.
플라즈마 챔버들은 공통으로 반도체들, 디스플레이들 및 태양 전지들과 같은 전자 디바이스들을 제조하기 위한 프로세스들을 수행하도록 사용된다. 그와 같은 플라즈마 제조 프로세스들은 제품의 표면상에 반도체, 도체 또는 유전층들의 화학 기상 증착, 또는 상기 제품 표면상의 상기 층들의 선택부분들의 에칭을 포함한다.
도 1은 종래의 플라즈마 챔버를 도시한다. 상기 제품(10)은 상기 챔버 내의 서셉터(12) 상에 지지된다. 상기 제품상에 플라즈마 프로세스를 수행하는데 있어서, 하나 이상의 프로세스 가스들이 가스 주입구 매니폴드(20-26)를 통해 상기 챔버에 제공된다. 상기 가스 주입구 매니폴드는 매니폴드 뒷벽(back wall)(20), 샤워헤드(22)(또한 가스 분배 플레이트 또는 확산기) 및 서스펜션(24)을 포함하며, 이들 모두는 집합적으로 상기 가스 주입구 매니폴드의 내부(26)인 체적을 둘러싼다.
가스 주입구 도관(28)은 상기 매니폴드 뒷벽(20)의 중심을 통해 연장한다. 도시되지 않은 가스 소스는 상기 가스 주입구 도관의 상단에 프로세스 가스들을 공급한다. 상기 프로세스 가스들은 상기 가스 주입구 도관으로부터 상기 가스 주입구 매니폴드의 내부(26)로 흐르며, 그 후에 상기 샤워헤드(22)의 수많은 가스 통로들을 통해 상기 플라즈마 챔버의 내부(11)로 공급된다.
상기 가스 주입구 매니폴드(20-26)는 또한 상기 샤워헤드와 서셉터 사이의 상기 플라즈마 챔버 내부(11)의 플라즈마에 RF 전력 공급원으로부터의 RF 전력을 커플링하도록 전극으로서 기능한다. 상기 매니폴드 뒷벽(20), 샤워헤드(22), 서스펜션(24) 및 가스 주입구 도관(28)은 전기적으로 도전성이다. 제 1 RF 케이블(36)은 RF 전력 공급원(32)의 출력으로부터 임피던스 정합망(34)으로 RF 전력을 커플링한다. 제 2 RF 케이블(30)은 상기 임피던스 정합망(34)으로부터 상기 플라즈마 챔버의 RF 입력(40)으로서 기능하는 상기 가스 주입구 도관(28)에 RF 전력을 커플링한다.
상기 가스 주입구 도관(28)은 상기 매니폴드 뒷벽의 중심에 전기적으로 연결된다. RF 전력은 상기 매니폴드 뒷벽의 중심에서의 가스 주입구 도관으로부터 상기 매니폴드 뒷벽을 통해 외측 방사상으로 상기 매니폴드 뒷벽의 4개 측면들의 각각에서의 4개 서스펜션 벽들(24)로 흐르며, 그 후에 상기 4개 서스펜션 벽들을 통해 상기 샤워헤드(22)의 4개 측면들로 흐른다. 상기 RF 전력은 상기 샤워헤드로부터 상기 샤워헤드와 서셉터(12) 사이의 플라즈마 챔버 내부(11)의 플라즈마로 커플링된다.
상기 종래 RF 전력 연결 설계의 단점은 상기 RF 입력(40)에 나타난 전기적 부하의 복합 임피던스가(즉, 상기 제 2 RF 케이블(30)이 상기 가스 주입구 도관(28)에 전기적으로 연결하는 경우) 전형적으로 그 저항 성분보다 상당히 큰 유도성 성분을 갖는다는 것인데, 이는 상기 가스 주입구 매니폴드(20-26), 상기 임피던스 정합망(34) 및 그들 사이에 연결된 RF 회로에서의 높은 피크 전압들을 생성한다. 그와 같은 높은 피크 전압은 대기에 노출되는 상기 RF 회로의 일부분들에서 대기의 아크(즉, 전기적 방전)를 야기할 수 있기 때문에 바람직하지 않다.
본 발명은 플라즈마 챔버의 RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치 및 방법이다. 본 발명은 상기 RF 입력과 플라즈마 챔버 전극 사이에 연결된 RF 버스 도체를 포함한다.
본 발명의 일 양상은 상기 챔버의 전기적으로 접지된 벽에 연결된 RF 리턴 버스 도체를 더 포함한다. 상기 RF 버스 도체 및 상기 RF 리턴 버스 도체는 병렬이며 서로 마주하는 개별적인 표면들을 갖는다.
유용하게, 상기 병렬 RF 버스 및 RF 리턴 버스 도체들은 상기 RF 입력과 상기 플라즈마 챔버 전극 사이의 전기적 연결의 인덕턴스를 감소시킨다. 상기 감소된 인덕턴스는 상기 RF 회로 내의 피크 전압들을 유용하게 감소시킨다. 이에 의해 대기에 노출되는 RF 회로의 일부분들에서의 대기의 아크(즉, 전기적 방전)의 위험이 감소하게 되며, 상기 RF 회로 내의 캐패시터들의 실패 위험이 감소하게 된다.
본 발명의 다른 양상에서, 상기 RF 버스 도체는 상기 RF 버스 도체에 최근접한 플라즈마 챔버 전극의 표면에 수직으로 배향된 최장 치수를 갖는 횡단면을 갖는다. 유용하게, 상기 RF 버스 도체의 상기 배향은 상기 전극에의 전기적 연결이 의도되는 위치들과 다른 전극의 영역들에의 RF 전력의 기생 용량성 커플링을 감소시킨다.
바람직하게는 상기 플라즈마 챔버 전극은 가스 주입구 도관이 연장하는 매니폴드 뒷벽, 상기 뒷벽 아래에 위치된 샤워헤드 및 뒷벽과 상기 샤워헤드 사이에 연결된 샤워헤드 서스펜션 또는 다른 전기적 도체를 포함하며, 상기 RF 버스 도체는 상기 뒷벽에 연결된다.
도 1은 상기 매니폴드 뒷벽의 중심에 연결된 가스 주입구 도관에서 RF 입력을 갖는 종래의 플라즈마 챔버의 부분적인 개략적 측단면도이다.
도 2는 본 발명에 따른 플라즈마 챔버의 부분적인 개략적 측단면도이다. 상기 단면은 상기 플라즈마 챔버의 중심을 통해 취해진다.
도 3은 도 2의 플라즈마 챔버의 RF 버스 도체, RF 리턴 버스 도체 및 최상부 벽의 부분적인 개략적 상단면도이다.
도 4는 도 2의 플라즈마 챔버의 상기 RF 버스 도체 및 최상위 벽의 부분적인 개략적 측단면도이다. 상기 단면은 상기 RF 버스 도체의 U-형상 윤곽을 통해 취해진다.
도 5는 상기 RF 리턴 버스 도체의 U-형상 윤곽을 통해 단면이 취해지는 것을 제외하고 도 4와 유사한 도면이다.
도 6은 일 단에 RF 입력을 갖는 2개의 RF 버스 도체들을 갖는 제 2 실시예의 RF 버스 도체들, RF 리턴 버스 도체들 및 최상부 벽의 부분적인 개략적 상단면도이다.
도 7은 도 6의 플라즈마 챔버의 RF 버스 도체들 및 최상부 벽의 부분적인 개략적 측단면도이다. 상기 단면은 상기 RF 버스 도체들 중 하나의 U-형상 윤곽을 통해 취해진다.
도 8은 도 7에 도시된 RF 버스 도체에 인접한 RF 리턴 버스 도체의 U-형상 윤곽을 통해 구획이 취해지는 것을 제외하고 도 7과 유사한 도면이다.
도 9는 상기 플라즈마 챔버의 매니폴드 뒷벽과 상기 최상부 벽 사이에 상기 RF 버스 도체들 및 RF 리턴 버스 도체들이 있는 제 3 실시예의 상기 RF 버스 도체들, RF 리턴 버스 도체들 및 매니폴드 뒷벽의 부분적인 개략적 상단면도이다.
도 10은 도 9의 플라즈마 챔버의 상기 RF 버스 도체들 및 매니폴드 뒷벽의 부분적으로 개략적인 측단면도이다. 상기 부분은 상기 RF 버스 도체들 중 하나의 U-형상 윤곽을 통해 취해진다.
도 11은 도 10에 도시된 RF 버스 도체에 인접한 상기 RF 리턴 버스 도체의 U-형상 윤곽을 통해 구획이 이루어지는 것을 제외하고 도 10과 유사한 도면이다.
1. 플라즈마 챔버 개관
도 2는 본 발명의 일 실시예를 포함하는 플라즈마 챔버를 도시한다. 본 발명을 설명하기 전에, 상기 플라즈마 챔버의 종래의 특징들이 설명될 것이다.
제품(10)은 상기 플라즈마 챔버 내부(11) 내의 서셉터(12) 상에 지지된다. 상기 플라즈마 챔버는 반도체 디바이스들, 디스플레이들, 태양 전지들 또는 고체 상태 발광 디바이스들과 같은 상기 제품 전자 디바이스들 상에 제조하기 위한 플라즈마 프로세스 단계에 상기 제품을 종속시키려는 것이다. 상기 플라즈마 챔버 내에 프로세싱되는 제품(10)의 예들은 평면 패널 디스플레이들이 제조되는 직사각 유리 기판 또는 집적 회로들이 제조되는 원형 반도체 웨이퍼를 포함한다.
상기 플라즈마 챔버는 상기 챔버 내부(11)에 대한 진공 밀봉을 제공하는, 전기적으로 도전성인 챔버 벽(14-18), 바람직하게는 알루미늄을 갖는다. 예시적인 실시예에서, 상기 챔버 측벽(14) 및 챔버 바닥벽(16)은 통합된 벽으로서 구현된다. 상기 챔버 벽은 또한 챔버 덮개 또는 최상부 커버로서 지칭되는 최상부 벽(18)을 포함한다. 상기 챔버 벽의 모든 부분들은 함께 전기적으로 연결되며 전기적으로 접지된다.
상기 제품상에 플라즈마 프로세스를 수행하는데 있어서, 하나 이상의 프로세스 가스들이 가스 주입구 매니폴드(20-26)를 통해 상기 챔버에 공급된다. 상기 가스 주입구 매니폴드는 매니폴드 뒷벽(20), 샤워헤드(22)(또한 가스 분배 플레이트 또는 확산기라 칭함) 및 서스펜션(24)을 포함하며, 이들 모두는 상기 가스 주입구 매니폴드의 내부(26)를 구성하는 체적을 집합적으로 둘러싼다.
가스 주입구 도관(28)은 상기 매니폴드 뒷벽(20)의 중심을 통해 연장한다. 도시되지 않은 가스 소스는 프로세스 가스들을 상기 가스 주입구 도관의 상단에 공급한다. 상기 프로세스 가스들은 상기 가스 주입구 도관으로부터 상기 가스 주입구 매니폴드의 내부(26)로 흐르며, 상기 샤워헤드(22)의 수많은 가스 통로들을 통해, 상기 샤워헤드와 상기 서셉터(12) 사이의 플라즈마 챔버의 내부(11)로 공급된다.
상기 샤워헤드의 중량은 상기 서스펜션(24)에 의해 지지되고, 상기 서스펜션(24)은 상기 매니폴드 뒷벽(20)에 의해 지지되며, 상기 매니폴드 뒷벽(20)은 상기 챔버 측벽(14)에 의해 지지된다. 상기 서스펜션(24)은 바람직하게는 상기 샤워헤드의 온도가 상승하고 하강함에 따라 상기 샤워헤드의 방사상 팽창 및 수축을 수용하도록 플렉서블하다. 상기 서스펜션(24)은 상기 매니폴드 뒷벽(20)에 부착된 상단 및 상기 샤워헤드(22) 주변의 테두리에 부착된 하단을 갖는다. 상기 후자의 부착은 고정되거나 슬라이딩일 수 있다. 예를 들어, 슬라이딩 부착은 상기 서스펜션의 하단 상에 상기 샤워헤드 테두리를 받침으로써 구현될 수 있다.
상기 샤워헤드가 예시된 실시예에서와 같이 직사각형인 경우, 상기 서스펜션(24)의 수직 연장부는 바람직하게는 상기 직사각 샤워헤드(22)의 4개 측면들에 개별적으로 부착된 4개의 플렉서블 시트들로 이루어진다. 각 시트는 상기 직사각 샤워헤드의 일 측과 상기 직사각형 매니폴드 뒷벽(20)의 대응 측 사이에 수직으로 연장한다.
상기 가스 주입구 매니폴드(20-26)는 또한 RF 전력을 상기 챔버 내의 플라즈마에 용량적으로 커플링하도록 전극으로서 기능한다. 상기 매니폴드 뒷벽(20), 샤워헤드(22) 및 서스펜션(24)은 전기적으로 도전성이며, 바람직하게는 알루미늄이다. 유전성 라이너들(19)은 상기 전기적으로 접지된 챔버 벽(14-18)으로부터 상기 가스 주입구 매니폴드의 RF 전력 컴포넌트들(20-24)을 전기적으로 그리고 기계적으로 분리한다.
제 1 RF 케이블(36)은 RF 전력 공급원(32)의 출력으로부터 임피던스 정합망(34)으로 RF 전력을 커플링한다. 제 2 RF 케이블(30)은 상기 임피던스 정합망(34)으로부터 상기 플라즈마 챔버의 RF 입력(40)에 RF 전력을 커플링한다. (상기 RF 입력은 본 발명의 신규한 양상들에 관한 것이며, 따라서 본 설명의 다음 섹션 "2. 본 발명의 기본 원리들"에서 설명될 것이다.)
상기 제 1 RF 케이블(36)은 전형적으로 상기 RF 전력 공급원의 출력 임피던스를 정합하는 특성 임피던스를 갖는 동축 RF 케이블이다. 상기 임피던스 정합망(34)이 전형적으로 상기 챔버 최상부 벽(18) 상에 또는 그에 가깝게 실장되기 때문에, 상기 제 2 RF 케이블(30)은 전형적으로 그 길이가 상기 RF 전력의 1/10 파장보다 작은 짧은 도체이며, 따라서 상기 부하 임피던스에 매칭된 특성 임피던스를 갖도록 설계하는데 장점이 없다. 막 설명된 전형적인 케이블들에 관계없이, 본 특허 명세서 전체를 통해, 상기 용어 "케이블"은 단일 도체 또는 복수의 도체들을 갖는 전송 라인을 포함하는 임의의 도체를 널리 망라하도록 이용된다.
RF 전력은 상기 RF 전력 공급원(32)의 출력으로부터 상기 임피던스 매핑 네트워크(34)의 입력으로, 그 후에 상기 임피던스 정합망의 출력으로부터 상기 플라즈마 챔버의 RF 입력(40)으로, 그 후에 상기 매니폴드 뒷벽(20)로, 그 후에 상기 매니폴드 뒷벽의 4개 측면들의 각각에서의 4개의 서스펜션 벽들(24)로, 그 후에 상기 4개의 서스펜션 벽들을 통해 상기 샤워헤드(22)의 4개 측면들로 흐른다. 상기 RF 전력은 상기 샤워헤드로부터 상기 샤워헤드와 서셉터 사이의 플라즈마 챔버의 내부 영역(11)의 플라즈마로 커플링된다. 리턴 RF 전력은 상기 플라즈마로부터 상기 전기적으로 접지된 챔버 벽들(14-18)로, 그 후에 상기 임피던스 정합망(34)의 전기적 접지로, 및 그 후에 상기 RF 전력 공급원(32)의 전기적 접지로 흐른다.
상기 임피던스 정합망(34)의 컴포넌트들은 물리적으로 분산될 수 있다. 예를 들어, 상기 임피던스 정합망은 상기 RF 전력 공급원에 물리적으로 인접하거나 상기 공급원 내에 실장된 하나 이상의 리액턴스들(즉, 커패시터들 및 인덕터들) 및 상기 가스 주입구 매니폴드(20-26)에 물리적으로 인접하거나 그에 직접 실장된 하나 이상의 추가적인 리액턴스들을 포함할 수 있다.
막 설명된 실시예에서, 상기 알루미늄 서스펜션(24)은 상기 샤워헤드(22)의 중량을 지원하는 기계적 기능 및 상기 매니폴드 뒷벽(20)로부터 상기 샤워헤드로 RF 전력을 유도하는 전기적 기능 둘 다를 수행한다. 대안적으로, 이들 기계적 및 전기적 기능들은 개별적인 컴포넌트들에 의해 수행될 수 있다. 예를 들어, 08/25/2005의 화이트 등에 의한 미국 특허 출원 공보 no. 2005-0183827은 도 2 및 5에서 유전성 벽에 의해 기계적으로 지지되는 샤워헤드를 개시한다. 상기 샤워헤드의 기계적 지지를 제공하지 않는 전기적 도체는 RF 전력을 상기 가스 주입구 매니폴드의 뒷벽로부터 상기 샤워헤드로 전도한다. 구체적으로, 상기 전기적 도체는 상기 매니폴드 뒷벽의 하부 표면의 주변의 지점에 연결된 상단 및 상기 샤워헤드의 상부 표면 주변의 지점에 연결된 하단을 갖는다.
2. 인덕턴스 감소
상기 플라즈마 챔버는 RF 전력이 상술한 바와 같은 RF 전력 공급원(32) 및 정합망(34)에 의해 공급되는 RF 입력(40)을 포함한다. 본 발명의 신규한 특징은 상기 플라즈마 챔버의 RF 입력(40)으로부터 상기 플라즈마 챔버의 전극(20-26) 상의 하나 이상의 RF 연결 지점들(41, 42)에 RF 전력을 커플링하는 하나 이상의 RF 버스 도체들(43, 44)이다. 상기 전극은 상기 제품(10)을 지지하는 서셉터(12)와 상기 전극 사이의 플라즈마 챔버 내부(11)의 플라즈마에 상기 RF 전력을 용량적으로 커플링한다.
도시된 실시예에서, 상기 플라즈마 챔버 전극은 가스 주입구 도관(28)이 상기 뒷벽 아래에 매달린 샤워헤드(22) 및 뒷벽과 상기 샤워헤드 사이에 연결된 샤워헤드 서스펜션(24)을 연장하는 매니폴드 뒷벽(20)을 포함하는 가스 주입구 매니폴드(20-26)이다. 상기 RF 연결 지점들(41, 42)은 상기 뒷벽 상에 있다. 그러나, 본 발명의 범위는 상기 전극으로부터 상기 플라즈마 챔버 내부(11)의 플라즈마로 RF 전력을 용량적으로 커플링하도록 위치되거나 구성되는 임의의 다른 타입의 전극을 포함한다.
도시된 실시예들의 각각에서, 상기 플라즈마 챔버 전극 상의 RF 연결 지점들(41, 42)의 수는 2개이다. 그러나, 본 발명의 범위는 단 하나의 RF 연결 지점을 포함하여, 상기 하나 이상의 RF 버스 도체들과 전극 사이의 임의의 수의 RF 연결 지점들을 포함한다.
도 2-5는 상기 챔버의 최상부 벽 위에 및 U-형상 둘 다인 RF 버스 도체(43) 및 RF 리턴 버스 도체(53)를 갖는 본 발명의 제 1 실시예에 따른 플라즈마 챔버를 도시한다. 상기 플라즈마 챔버의 RF 입력(40)은 상기 RF 버스 도체의 중심에서의 연결 지점이다. 도 6-8은 일단에 상기 RF 입력을 갖는, 상기 일단에 연결된 2개의 U-형상 RF 버스 도체들(43, 44)을 갖는 제 2 실시예를 도시한다. 도 9-11은 상기 제 2 실시예와 유사하지만, 상기 챔버의 최상부 벽 아래에 상기 RF 버스 도체들 및 RF 리턴 버스 도체들을 갖는 제 3 실시예를 도시한다.
(도 2를 간략화하기 위해, 상기 RF 버스 도체(43) 및 상기 RF 리턴 버스 도체(53)는 그들의 형상들을 도시하지 않고, 도 2에 개략적으로만 도시된다. 상기 RF 버스 도체(43) 및 상기 RF 리턴 버스 도체(53)의 형상들은 도 3-11에 도시된다. 또한, 상기 가스 주입구 도관(28)은 도시된 실시예들의 각각에서의 동일한 위치를 점유하지만, 상기 가스 주입구 도관은 도 3-11을 간략화하기 위해 도 2에서만 도시된다.)
본 특허출원의 이전의 "배경기술" 부분에서 설명된 바와 같이, 종래 설계들의 단점은 상기 플라즈마 챔버의 상기 RF 입력(40)에 나타난 전기적 부하의 복합 임피던스가 전형적으로 그 저항 성분보다 상당히 큰 유도성 성분을 갖는 것이며, 이는 상기 가스 주입구 매니폴드(20-26), 상기 임피던스 정합망(34) 및 그들 사이에 연결된 RF 회로에 높은 피크 전압들을 생성한다. 그와 같은 높은 피크 전압은 대기에 노출되는 상기 RF 회로의 일부분들에 대기의 아크(전기적 방전)를 야기할 수 있기 때문에 그리고 상기 RF 회로 내의 커패시터들의 고장을 야기할 수 있기 때문에 바람직하지 않다.
본 발명은 상기 플라즈마 챔버의 상기 RF 입력(40)에서의 전기적 부하 임피던스의 인덕턴스를 감소시킴으로써 상기 단점을 개선하는 2개의 설계 특징들을 포함한다.
먼저, 상기 RF 입력(40)은 하나 이상의 RF 연결 지점들(41, 42)에서의 매니폴드 뒷벽(20)에 연결되며, 그들 중 어느 것도 상기 매니폴드 뒷벽의 중심에 있지 않다. 이것은 RF 전력 공급원이 상기 매니폴드 뒷벽의 중심에 연결되는 도 1의 종래 플라즈마 챔버와 대조적이다. 각각의 RF 연결 지점을 상기 중심으로부터 멀리 위치시킴으로써 각 RF 연결 지점에서의 부하 임피던스의 유도성 성분이 감소한다.
둘째로, 상기 RF 입력(40)으로부터 상기 플라즈마 챔버 전극(20-26) 상의 상기 RF 연결 지점들(41, 42)의 각각으로의 전기적 연결은 상기 RF 입력(40)과 상기 RF 연결 지점들(41, 42)의 각각 사이에 연결된 하나 이상의 전기적으로 차폐된 RF 버스 도체들(43, 44)을 포함한다. 각각의 RF 연결 지점(41, 42)과 상기 RF 입력(40) 사이의 상기 RF 버스 도체들(43, 44)에 의해 개재된 인덕턴스를 유용하게 감소시키기 위해, 하나 이상의 RF 리턴 버스 컨덕터들(53, 54)이 전기적 접지에 연결되며 각각의 개별적인 RF 버스 도체(43, 44)에 병렬로 연장한다(도 3, 5-6, 8-9 및 11).
더 구체적으로, 각 RF 리턴 버스 도체(53, 54)는 상기 플라즈마 챔버의 전기적으로 접지된 벽들(14-18) 중 하나에 전기적으로 연결된다. 바람직하게는 그와 같은 연결은 각각의 RF 리턴 버스 도체를 상기 최상부 벽에 전기적으로 연결하도록 상기 플라즈마 챔버의 전기적으로 접지된 최상부 벽(18) 상에 각 RF 리턴 버스 도체를 실장함으로써 구현된다. 도 5 및 8은 각각의 RF 리턴 버스 도체(53, 54)가 상기 플라즈마 챔버의 최상부 벽(18)의 상부 표면상에 실장되는 제 1 및 제 2 실시예들을 도시한다. 도 11은 상기 RF 버스 도체들(43, 44) 및 상기 RF 리턴 버스 도체들(53, 54)이 상기 RF-전력 전극(20-26)의 상기 매니폴드 뒷벽(20) 및 전기적으로 접지된 최상부 벽(18) 사이에 있도록, 각각의 RF 리턴 버스 도체(53, 54)가 상기 플라즈마 챔버의 최상부 벽(18)의 하부 표면상에 실장되는 제 3 실시예를 도시한다.
도 2-5의 제 1 실시예에서, 상기 RF 리턴 버스 도체는 상기 RF 버스 도체의 방사상으로 내부에 있다. 도 6-8의 제 2 실시예 및 도 9-11의 제 3 실시예에서, 반대편이 참이다; 각 RF 리턴 버스 도체(53, 54)는 그 대응하는 RF 버스 도체(43, 44)의 방사상으로 외측에 있다. 어느 쪽의 배열도 적합하다. 2개의 RF 리턴 버스 도체들(53, 54)을 갖는 실시예들에서, 상기 2개의 RF 리턴 버스 도체들은 2개의 구별되는 도체들이기보다는 단일의, 연속적인 도체로서 구현될 수 있다.
상술한 바와 같이, RF 전력은 상기 임피던스 정합망(34)의 출력으로부터 상기 플라즈마 챔버의 RF 입력(40)으로, 그 후에 상기 하나 이상의 RF 버스 도체들(43, 44)을 통해 상기 플라즈마 챔버 전극(20-26)(구체적으로, 상기 매니폴드 뒷벽(20) 상에) 상의 상기 하나 이상의 RF 연결 지점들(41, 42)로, 그 후에 상기 매니폴드 뒷벽의 4개 측면들의 각각에서의 4개의 서스펜션 벽들(24)로, 그리고 그 후에 상기 4개의 서스펜션 벽들을 통해 상기 샤워헤드(22)의 4개 측면들로 흐른다. 상기 RF 전력은 상기 샤워헤드로부터 상기 샤워헤드와 서셉터 사이의 상기 플라즈마 챔버 내부 영역(11)의 플라즈마에 커플링된다. 리턴 RF 전력은 상기 플라즈마로부터 상기 전기적으로 접지된 챔버 벽들(14-18)로, 및 그 후에 상기 하나 이상의 RF 리턴 버스 도체들(53, 54)을 통해 상기 임피던스 정합망(34)의 전기적 접지로 흐른다.
상기 RF 입력(40)과 상기 플라즈마 사이의 RF 전력 흐름의 경로에서의 인덕턴스는 그 대응하는 RF 버스 도체(43, 44)에 가깝게 각각의 RF 리턴 버스 도체(53, 54)를 이격시킴으로써 유용하게 감소될 수 있다. 상기 간격을 감소시키는 것은 상기 인덕턴스를 감소시킨다. 바람직하게는, 그와 같은 인덕턴스는 각각의 RF 버스 도체 및 그 대응하는 RF 리턴 버스 도체를 그들 사이에 전기적 아크(전기적 방전)를 야기시키지 않고 가능한 한 가깝게 이격시킴으로써 최소화된다. 본 발명은 또한 그와 같은 간격이 상기 인덕턴스의 실질적 감소를 어떻게 달성하여야 하는지의 서로 다른 정의들에 기초한 대안적인 실시예들을 망라한다.
일 실시예에서, RF 리턴 버스 도체(53, 54)는 그 사이의 커패시턴스가 상기 RF 버스 도체와 임의의 다른 전기적으로 접지된 도체 사이의 커패시턴스보다 크도록 그 대응하는 RF 버스 도체(43, 44)에 충분히 가깝다.
제 2 실시예에서, RF 버스 도체(43, 44)와 그 대응하는 RF 리턴 버스 도체(53, 54) 사이의 커패시턴스는 상기 RF 버스 도체와 상기 전기적으로 접지된 챔버 벽(14-18) 사이의 커패시턴스보다 크다.
제 3 실시예에서, RF 버스 도체(43, 44) 및 그 대응하는 RF 리턴 버스 도체(53, 54)는 서로 평행하며 마주하는 개별적인 표면들을 가지며, 여기서 상기 2개의 표면들은 상기 RF 버스 도체와 상기 제 1 표면에 평행한 전기적으로 접지된 챔버 벽들 사이의 간격보다 작은 간격만큼 분리된다.
제 4 실시예에서, RF 버스 도체(43, 44) 및 그 대응하는 RF 리턴 버스 도체(53, 54)는 서로 평행하고 마주보는 개별적인 표면들을 가지며, 상기 2개 표면들은 임의의 방향으로 2개 표면들 중 어느 한쪽의 폭보다 작은 간격만큼 분리된다.
상기에 정의된 실시예들 중 임의의 실시예에서, 본 발명의 평행한, 가깝게-이격된 RF 버스와 RF 리턴 버스 도체들에 의해 구현되는 그와 같은 RF 전류 경로의 부분을 최대화함으로써, 상기 임피던스 정합망(34)의 출력으로부터 상기 플라즈마로의 전체 RF 전류 경로의 인덕턴스를 감소시키는 장점을 최대화할 수 있다. 다시 말해, 상기 RF 버스 및 RF 리턴 버스 도체들은 그와 같은 RF 전류 경로의 실질적인 부분을 점유하는 것이 유익하다.
예를 들어, 상기 "실질적인 부분" 기준은 상기 RF 버스 도체가 상기 RF 임피던스 정합망의 출력으로부터 상기 플라즈마 챔버 전극(20-26) 상의 상기 RF 연결 지점들(41, 42) 중 하나로 연장하는 상기 전기적 연결 경로의 적어도 1/2 길이를 점유하는 경우에 충족된다. 바람직하게는, 상기 임피던스 정합망(34)의 출력은 상기 RF 버스 도체에 가능한 한 가깝게 위치결정되며, 상기 임피던스 정합망의 접지 연결은 상기 RF 리턴 버스 도체에 가능한 한 가깝게 위치된다.
대안적인 예로서, 상기 "실질적인 부분" 기준은 또한 상기 RF 버스와 RF 리턴 버스 도체들 사이의 커패시턴스의 관점에서 정의되는 이전의 제 1 및 제 2 실시예들에 의해 충족되는데, 그와 같은 커패시턴스는 상기 RF 버스 및 RF 리턴 버스 도체들의 길이에(그들 사이의 간격에 역으로 비례할 뿐 아니라) 비례하기 때문이다.
유사하게, 상기에 정의된 실시예들 중 임의의 것에서, 본 발명의 평행한, 가깝게-이격된 RF 버스와 RF 리턴 버스 도체들에 의해 구현되는 그와 같은 RF 전류 경로의 부분을 최대화함으로써 상기 플라즈마 챔버 전극(20-26) 상의 2개의 RF 연결 지점들(41, 42) 사이의 상기 RF 전류 경로의 인덕턴스를 감소시키는 장점을 최대화할 수 있다. 다시 말해, 상기 RF 버스 및 RF 리턴 버스 도체들이 그와 같은 RF 전류 경로의 실질적인 부분을 점유하는 것이 유익하다. 예를 들어, 상기 기준은 그와 같은 RF 연결 지점들(41, 42) 사이에 연결된 상기 RF 버스 도체가 상기 2개의 RF 연결 지점들 사이의 1/2 거리보다 큰 길이를 갖는 경우에 충족된다.
또한, 상기에 정의된 실시예들 중 임의의 것에서, 각각의 RF 버스 도체(43, 44)는 바람직하게는 그들 사이의 전기적 아크(전기적 방전)를 회피하기 위해 상기 접지된 최상부 벽(18)으로부터 충분히 멀리 이격된다. 도 2-5의 제 1 실시예 및 도 6-8의 제 2 실시예에서, 이는 상기 접지된 최상부 벽(18) 위에 상기 RF 버스 도체를 이격시키고 상기 매니폴드 뒷벽(20) 상의 상기 RF 연결 지점들(41, 42)에 상기 RF 버스 도체를 연결시키도록 기능하는 도전성 레그들(45, 46)을 포함하는 상기 RF 버스 도체(43)에 의해 달성된다. 상기 RF 버스 도체가 자기-지지되도록 충분히 기계적으로 강하지 않은 경우, 상기 도체는 도 4 및 7에 도시된 바와 같은 하나 이상의 유전 스페이서들(60)에 의해 지지될 수 있다.
3. RF 버스 및 RF 전력 분배의 대칭성
도 2-5의 제 1 실시예에서, 상기 RF 버스 도체(43)는 상기 제 1 및 제 2 RF 연결 지점들(41, 42)에 개별적으로 연결된 2개 단부들을 갖는다(도 3 및 4). 상기 플라즈마 챔버(상기 RF 전력 공급원(32) 및 임피던스 정합망(34)으로부터 전력을 수신하는)의 RF 입력(40)은 상기 RF 버스 도체의 2개 단부들 사이의 중간에 있는 상기 RF 버스 도체 상의 연결 지점이다. 결과적으로, 상기 RF 입력으로부터 상기 2개의 RF 연결 지점들(41, 42)로의 상기 RF 전력 분배는 대칭적이고 동등하다.
도 6-8의 제 2 실시예 및 도 9-11의 제 3 실시예에서, 상기 RF 버스 도체(43, 44)는 상기 매니폴드 뒷벽의 대향하는 절반들 상에 2개의 미러-이미지 도체 세그먼트들을 포함한다. 상기 RF 버스 도체의 각 세그먼트(43, 44)는 상기 제 1 및 제 2 RF 연결 지점들(41, 42) 사이로 연장하고 상기 지점들에 연결된다. 짧은 도체(48)는 상기 제 1 RF 연결 지점(41)과 상기 RF 입력(40) 사이로 연장한다. 상기 RF 버스 도체의 2개의 세그먼트들(43, 44)은 바람직하게는 도 6 및 9에 도시된 바와 같은 단일의, 연속적인 도체로서 구현되지만 상기 2개의 세그먼트들(43, 44)은 대안적으로 2개의 별개의 도체들로서 구현될 수 있다(도시되지 않음).
도 6-8의 제 2 실시예 및 도 9-11의 제 3 실시예에서, 상기 RF 입력(40)은 상기 제 2 RF 연결 지점(42)보다 상기 제 1 RF 연결 지점(41)에 훨씬 더 가깝다. 구체적으로, 상기 RF 입력은 상기 제 1 RF 연결 지점(41)과 거의 일치하는 한편, 상기 RF 도체들(43, 44)은 상기 RF 입력과 상기 제 2 RF 연결 지점(42) 사이에 개재된다. 따라서, 도 2-5의 제 1 실시예와 달리, 상기 RF 입력으로부터 상기 2개의 RF 연결 지점들(41, 42)로의 RF 전력 분배는 비대칭성이며 동등하지 않을 수 있다.
결과적으로, RF 전력 분배 대 상기 2개의 RF 연결 지점들의 바람직한 비를 제공하기 위해 하나 또는 둘 다의 RF 연결 지점들과 직렬인 추가적인 임피던스를 제공할 필요가 있을 수 있다. 도 7 및 10은 그와 같은 임피던스가 상기 제 1 및 제 2 RF 연결 지점들(41, 42), 각각 및 상기 RF 버스 도체(43) 사이에 연결된 제 1 및 제 2 커패시터들(71, 72)일 수 있음을 도시한다. 상기 커패시터들은 고정될 수 있거나 조정가능하다. 상기 2개의 커패시터들의 개별적인 커패시턴스들 사이의 차이 또는 비는 상기 RF 입력으로부터 상기 2개의 RF 연결 지점들로의 RF 전력의 동등한 공급을 생성하도록, 또는 상기 2개의 RF 연결 지점들에 공급된 RF 전력의 개별적인 레벨들 사이의 원하는 비를 생성하도록 조정되거나 확립될 수 있다.
하나의 커패시터(71)(즉, 제 2 커패시터(72)를 생략함)만을 갖는 대안적인 실시예(도시되지 않음)에서, 상기 커패시터는 상기 구동 RF 지점들(41, 42) 중 단지 하나와 상기 RF 버스 도체(43) 사이에 연결될 수 있으며, 다른 RF 연결 지점은 어떠한 개재된 커패시터 없이 상기 RF 버스 도체에 직접 연결된다. 상기 커패시터는 고정되거나 조정가능할 수 있다. 본 실시예에서, 상기 커패시터의 커패시턴스는 상기 RF 입력으로부터 상기 2개의 RF 연결 지점들로의 동등한 공급을 생성하도록, 또는 상기 2개의 RF 연결 지점들에 공급된 RF 전력의 개별적인 레벨들 사이의 원하는 비를 생성하도록 조정되거나 확립될 수 있다.
도 7 및 10의 실시예들에서의 커패시터들(71, 72)은 상기 RF 입력(40)에서의 복합 임피던스의 허수 부분을 감소시키도록 상기 RF 연결 지점들(41, 42)에서의 부하 임피던스의 인덕턴스를 오프셋하는 추가적인 장점을 제공한다. 도 3-5의 실시예에서 상기 인덕턴스를 유사하게 감소시키기 위해, 상기 개별적인 RF 연결 지점들(41, 42)과 상기 RF 버스 도체(43) 사이에 직렬로 연결된 커패시터들(71, 72))을 추가하는 것이 유용할 수 있다.
4. RF 버스 및 RF 리턴 버스의 만곡
상기 섹션 "2. 인덕턴스 감소"에 설명된 바와 같이, 상기 RF 입력(40)과 상기 매니폴드 뒷벽(20) 사이의 상기 RF 전류 경로의 인덕턴스를 감소시키는 일 설계 특징은 상기 뒷벽의 중심으로부터 멀리 상기 RF 연결 지점들(41, 42)을 위치시키는 것이다. 예를 들어, 도 2, 3, 6 및 9는 상기 뒷벽의 중심의 대향 측면들 상에 대칭적으로 위치된 2개의 RF 연결 지점들(41, 42)을 갖는 다양한 실시예들을 도시한다.
도 2는 상기 가스 주입구 도관(28)이 상기 뒷벽의 중심을 통해 연장하는 것을 도시한다. (이전에 설명된 바와 같이, 상기 가스 주입구 도관은 상기 예시된 실시예들의 각각에서 동일한 위치를 점유하지만, 상기 가스 도관은 도 3-11을 간략화하기 위해 도 2에만 도시된다.) 상기 뒷벽 중심에서의 가스 주입구 도관과의 기계적 간섭을 회피하기 위해, 상기 RF 버스 도체들(43, 44) 및 상기 RF 리턴 버스 도체들(53, 54)은 상기 Rf 버스 도체들이 상기 뒷벽의 중심의 대향 측면들 상의 2개의 RF 버스 도체들에 연결할 수 있도록 "U" 형상(도 3, 6 및 9에 도시된 바와 같음)으로 만곡된다. 다시 말해, 상기 RF 버스 도체들 및 상기 RF 리턴 버스 도체들은 각각 2개의 90도 각도들을 포함한다.
상술한 원하는 낮은 인덕턴스를 달성하기 위해, RF 버스 도체 및 그 대응하는 RF 리턴 버스 도체는 바람직하게는 그들의 개별적인 대향면들이 평행하고, 더 바람직하게는 도 3, 6 및 9에 도시된 바와 같은 균일한 간격을 유지하도록 정렬되는 대응하는 만곡부들을 갖는다.
상기 RF 버스 도체들 및 RF 리턴 버스 도체들이 "U" 형상으로 만곡되며 도시된 실시예들의 각각에서 90도 각도들을 갖더라도, 이전의 단락에서 정의된 본 발명은 임의의 만곡 형상을 갖는 RF 버스 도체들 및 RF 리턴 버스 도체들에 적용한다.
5. 전극에 수직 배향된 RF 버스 도체
상기 RF 버스 도체(43, 44)는 바람직하게는 그 형상이 상기 매니폴드 뒷벽(20)의 인접 표면에 수직 배향된 직사각의 긴 치수를 갖는 직사각형인 횡단면을 갖는다. 그와 같은 RF 버스 도체의 예는 금속 스트립이다. 얇고, 넓은 금속 스트립은 상기 매니폴드의 중심으로부터 큰 방사상 거리를 유지할 수 있도록 도 3, 6 및 9에 도시된 바와 같은 "U"의 형상으로 용이하게 구부러질 수 있다.
이러한 형상의 RF 버스 도체(43, 44)는 상기 RF 버스 도체와 상기 매니폴드 뒷벽(20) 사이의 전기적 접지 평면이 존재하지 않는 도 9-11의 제 3 실시예에서 특히 유용하다. 도시된 U-형상, 반원 형상 또는 상기 RF 버스 도체의 전체 길이를 상기 매니폴드 뒷벽의 중심으로부터 멀리 유지하는 다른 형상은 상기 RF 입력(40)에서 상기 RF 케이블(30)에 나타난 임피던스의 유도성 성분을 감소시키는데 유용하다.
그 최장 치수가 상기 매니폴드 뒷벽에 수직으로 배향되는(이전의 2개 단락들에서 설명된 바와 같이) 횡단면을 갖는 RF 버스 도체(43, 44)의 추가적인 장점은 그와 같은 배향이 상기 RF 버스 도체와 상기 매니폴드 뒷벽(20) 사이의 용량성 커플링을 감소시킨다는 것이다. 상기 매니폴드 뒷벽 상의 상기 하나 이상의 RF 연결 지점들(41, 42)의 위치들은 전형적으로 상기 플라즈마 챔버의 내부(11)에 RF 필드의 원하는 공간적 분배를 달성하도록 결정된다. 또한, 상술한 바와 같이, 상기 RF 연결 지점들은 RF 전류 흐름 경로의 인덕턴스를 감소시키도록 상기 매니폴드 뒷벽의 중심으로부터의 실질적인 거리로 위치될 수 있다. 상기 RF 전력의 실질적인 부분이 상기 전력이 전도되도록 의도되는 상기 RF 연결 지점들(41, 42)을 통해서 보다는 그들 사이의 기생 용량을 통해 상기 RF 버스 도체와 상기 매니폴드 뒷벽 사이에 전도되는 경우에 이들 장점들 둘 다는 경감될 수 있다.
본 발명의 일 양싱에 따르면, 그와 같은 기생 용량은 그 최장의 치수가 도 9-11에 도시된 바와 같이 상기 RF 버스 도체에 가장 가까운 플라즈마 챔버 전극의 표면에 수직 배향되는 횡단면을 갖는 상기 RF 버스 도체(43, 44)에 의해 감소할 수 있다. 바람직하게는 그와 같은 횡단면은 직사각형이다.
본 섹션 "5. 전극에 수직 배향된 RF 버스 도체"에 설명된 본 발명에 의해 달성된 기생 용량의 유용한 감소는 RF 리턴 버스 도체를 필요로 하지 않는다. 따라서, 상기 RF 버스 도체가 상기 전극에 수직인 횡단면을 갖는 본 발명은 RF 리턴 버스 도체를 요하는 본 특허 명세서의 이전 섹션들에서 설명된 본 발명과는 독립적으로 유용하다.
도시된 실시예에서, 상기 플라즈마 챔버 전극은 가스 주입구 매니폴드(20-26)이며, 상기 표면은 상기 매니폴드 뒷벽(20)의 상부 표면이다. 그러나, 본 발명은 임의의 다른 타입 또는 형상의 플라즈마 챔버 전극에 널리 적용한다.
6. 다른 변형들
예시된 실시예들에서, 상기 가스 주입구 매니폴드(20-26)는 상기 RF 전력 공급원(32)으로부터 플라즈마 챔버 내의 플라즈마로 RF 전력을 커플링하도록 기능하는 전극이다. 그러나, 상기 예시된 실시예들의 RF 연결 지점들(41, 42)은 상기 전극이 또한 가스를 제공하도록 기능하는지 여부에 관계없이, 임의의 종래 플라즈마 챔버 전극 상의 RF 연결 지점들로 교체될 수 있다. 다시 말해, 상기 전극은 가스 주입구 매니폴드의 일부분일 필요가 없으며 샤워헤드를 포함할 필요도 없다. 따라서, 매니폴드 뒷벽에 대한 본 명세서의 모든 참조들은 그와 같은 RF 연결 지점들(41, 42)을 갖는 전극에 대한 참조들로 교체될 수 있다.
예시된 플라즈마 챔버가 직사각형 제품을 프로세싱하도록 적응되기 때문에 상기 예시된 가스 주입구 매니폴드(20-26)가 직사각형이다. 그러나, 본 발명은 원형 제품을 프로세싱하도록 적응된 플라즈마 챔버에 동일하게 적용가능하며, 상기 가스 주입구 매니폴드(20-26) 또는 다른 플라즈마 챔버 전극이 원형일 수 있다.
예시된 매니폴드 뒷벽(20)은 세그먼트들로 분할되지 않는다. 그러나, 일부 애플리케이션들에서, 상기 매니폴드 뒷벽 또는 다른 전극을 세그먼트들로 분할하는 것이 바람직할 수 있다. 그 경우에, 본 특허 명세서에 설명된 매니폴드 뒷벽은 상기 매니폴드 뒷벽의 모든 세그먼트들 또는 단일 전극으로서의 다른 전극을 집합적으로 망라한다.
본 발명은 주로 RF 전력을 상기 플라즈마에 용량적으로 커플링하는 것에 관한 것이지만, 인덕션 코일 또는 마이크로파 도파관과 같은 다른 수단에 의해 추가적인 RF 전력이 상기 플라즈마에 커플링될 수 있다. 또한, 원격 플라즈마 소스에 발생된 플라즈마는 가스 주입구를 통해 상기 챔버 내부로 공급될 수 있다.
2개 지점들 사이에 연결된 도체에 대한 모든 참조들은 직렬로 또는 병렬로 서로 연결된 컴포넌트들을 포함하는, RF 전력을 전도하고 그와 같은 2개 지점들 사이에 집합적으로 연결되는 복수의 전기적 컴포넌트들을 포함한 것으로 해석되어야 한다. RF 전력을 전도하는 그와 같은 전기적 컴포넌트들의 예들은 커패시터들 및 인덕터들을 포함한다.

Claims (14)

  1. RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치로서,
    하나 이상의 전기적으로 접지된 챔버 벽들을 갖고, 전기적 접지로부터 절연되는 플라즈마 챔버 전극을 갖는 플라즈마 챔버;
    상기 RF 입력에 전기적으로 연결되고 상기 플라즈마 챔버 전극 상의 하나 이상의 연결 지점들에 전기적으로 연결되는 RF 버스 도체 ― 상기 RF 버스 도체는 제 1 표면을 포함함 ―; 및
    상기 전기적으로 접지된 챔버 벽들 중 하나에 전기적으로 연결되는 RF 리턴 버스 도체 ― 상기 RF 리턴 버스 도체는 제 2 표면을 포함함 ―
    를 포함하고, 상기 제 1 표면은 상기 제 2 표면과 평행하고 마주보며,
    상기 제 1 및 제 2 표면은 상기 RF 버스 도체와 상기 제 1 표면에 평행한 임의의 상기 전기적으로 접지된 챔버 벽들 사이의 간격보다 작은 간격만큼 분리되는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  2. RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치로서,
    하나 이상의 전기적으로 접지된 챔버 벽들을 갖고, 전기적 접지로부터 절연되는 플라즈마 챔버 전극을 갖는 플라즈마 챔버;
    상기 RF 입력에 전기적으로 연결되고 상기 플라즈마 챔버 전극 상의 하나 이상의 연결 지점들에 전기적으로 연결되는 RF 버스 도체 ― 상기 RF 버스 도체는 제 1 표면을 포함함 ―; 및
    상기 전기적으로 접지된 챔버 벽들 중 하나에 전기적으로 연결되는 RF 리턴 버스 도체 ― 상기 RF 리턴 버스 도체는 제 2 표면을 포함함 ―
    를 포함하고, 상기 제 1 표면은 상기 제 2 표면과 평행하고 마주보며,
    상기 제 1 및 제 2 표면은 임의의 방향에서 상기 제 1 표면의 폭보다 작고 임의의 방향에서 상기 제 2 표면의 폭보다 작은 간격만큼 분리되는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  3. RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치로서,
    하나 이상의 전기적으로 접지된 챔버 벽들을 갖고 전기적 접지로부터 절연되는 플라즈마 챔버 전극을 갖는 플라즈마 챔버;
    상기 RF 입력에 전기적으로 연결되고 상기 플라즈마 챔버 전극 상의 하나 이상의 연결 지점들에 전기적으로 연결되는 RF 버스 도체 ― 상기 RF 버스 도체는 제 1 표면을 포함함 ―; 및
    상기 전기적으로 접지된 챔버 벽들 중 하나에 전기적으로 연결되는 RF 리턴 버스 도체 ― 상기 RF 리턴 버스 도체는 제 2 표면을 포함함 ―
    를 포함하고, 상기 제 1 표면은 상기 제 2 표면과 평행하고 마주보며,
    상기 RF 버스 도체는 상기 제 1 표면이 평면형이 아니도록 만곡부(curved portion)를 포함하고, 상기 RF 리턴 버스 도체는 상기 제 2 표면이 평면형이 아니도록 만곡부를 포함하며, 상기 RF 버스 도체의 만곡부는 상기 제 1 표면이 상기 제 2 표면과 평행하도록 상기 RF 리턴 버스 도체의 만곡부와 정렬되는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  4. RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치로서,
    하나 이상의 전기적으로 접지된 챔버 벽들을 갖고, 전기적 접지로부터 절연되는 플라즈마 챔버 전극을 갖는 플라즈마 챔버;
    상기 RF 입력에 전기적으로 연결되고 상기 플라즈마 챔버 전극 상의 하나 이상의 연결 지점들에 전기적으로 연결되는 RF 버스 도체 ― 상기 RF 버스 도체는 제 1 표면을 포함함 ―; 및
    상기 전기적으로 접지된 챔버 벽들 중 하나에 전기적으로 연결되는 RF 리턴 버스 도체 ― 상기 RF 리턴 버스 도체는 제 2 표면을 포함함 ―;
    를 포함하고, 상기 제 1 표면은 상기 제 2 표면과 평행하고 마주보며,
    상기 RF 버스 도체와 상기 RF 리턴 버스 도체 사이의 커패시턴스는 상기 RF 버스 도체와 상기 챔버 벽 사이의 커패시턴스보다 큰,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 플라즈마 챔버 전극은 가스를 상기 플라즈마 챔버 내로 공급하도록 구성된(adapted) 샤워헤드를 포함하는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 플라즈마 챔버 전극은,
    가스 주입구 도관을 갖는 뒷벽(back wall);
    상기 뒷벽 아래에 위치된 샤워헤드; 및
    상기 샤워헤드와 뒷벽 사이에 연결된 전기적 도체를 포함하며, 상기 연결 지점들은 상기 뒷벽 상에 있는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  7. RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치로서,
    하나 이상의 전기적으로 접지된 챔버 벽들에 의해 둘러싸인 플라즈마 챔버;
    전기적 접지로부터 절연되는 플라즈마 챔버 전극 ― 상기 플라즈마 챔버 전극은 뒷벽, 상기 뒷벽 아래에 위치된 샤워헤드, 및 상기 샤워헤드와 뒷벽 사이에 연결된 전기적 도체를 포함함 ―;
    상기 RF 입력에 전기적으로 연결되고 상기 뒷벽 상의 복수의 연결 지점들에 전기적으로 연결되는 RF 버스 도체 ― 상기 RF 버스 도체는 제 1 표면을 포함함 ―; 및
    각각의 상기 전기적으로 접지된 챔버 벽들과 구별되며 상기 전기적으로 접지된 챔버 벽들 중 하나에 전기적으로 연결되는 RF 리턴 버스 도체 ― 상기 RF 리턴 버스 도체는 제 2 표면을 포함함 ―
    를 포함하고, 상기 제 1 표면은 상기 제 2 표면에 평행하고 마주보며,
    상기 연결 지점들 중 어느 것도 상기 뒷벽의 중심에 있지 않는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  8. 제 1 항, 제 2 항, 제 3 항, 제 4 항 또는 제 7 항 중 어느 한 항에 있어서,
    상기 플라즈마 챔버 전극은 RF 전력을 상기 플라즈마 챔버 내로 용량적으로(capacitively) 커플링하도록 위치되는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  9. 제 1 항, 제 2 항, 제 3 항, 제 4 항 또는 제 7 항 중 어느 한 항에 있어서,
    상기 플라즈마 챔버 전극은 거리에 의해 분리되는 제 1 및 제 2 연결 지점들을 포함하고,
    상기 RF 버스 도체의 일부분은 상기 플라즈마 챔버 전극 상의 상기 제 1 및 제 2 연결 지점들에 각각 연결되는 제 1 및 제 2 연결 지점들을 포함하며,
    상기 RF 버스 도체의 상기 일부분은 상기 플라즈마 챔버 전극의 상기 제 1 및 제 2 연결 지점들 사이의 거리의 1/2보다 큰 상기 RF 버스 도체의 상기 제 1 및 제 2 연결 지점들 사이에 연장하는 길이를 갖고,
    상기 RF 리턴 버스 도체는 상기 RF 버스 도체의 상기 일부분의 전체 길이와 평행하게 연장하는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  10. 제 1 항, 제 2 항, 제 3 항, 제 4 항 또는 제 7 항 중 어느 한 항에 있어서,
    RF 임피던스 정합망의 출력으로부터 상기 플라즈마 챔버 전극 상의 상기 하나 이상의 연결 지점들로의 전기적 연결 경로를 제공하기 위해, 상기 RF 입력에 연결된 출력을 갖는 상기 RF 임피던스 정합망을 더 포함하고,
    상기 RF 임피던스 정합망의 출력으로부터 상기 연결 지점들 중 하나로 연장하는 상기 전기적 연결 경로의 길이의 적어도 1/2은 상기 RF 버스 도체를 포함하는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  11. 제 1 항, 제 2 항, 제 3 항, 제 4 항 또는 제 7 항 중 어느 한 항에 있어서,
    RF 버스 도체와 상기 연결 지점들 중 하나 사이에 연결된 커패시터를 더 포함하는,
    RF 입력으로부터 플라즈마 챔버의 내부로 RF 전력을 커플링하기 위한 장치.
  12. 접지되지 않은 RF 입력 연결로부터 플라즈마 챔버로 RF 전력을 커플링하기 위한 장치로서,
    전기적 접지로부터 절연되는 플라즈마 챔버 전극; 및
    상기 접지되지 않은 RF 입력 연결과 상기 플라즈마 챔버 전극 사이에 전기적으로 연결되는 RF 버스 도체
    를 포함하고, 상기 RF 버스 도체는 상기 RF 버스 도체에 가장 근접한 상기 플라즈마 챔버 전극의 표면에 수직으로 배향된 최장 치수를 갖는 횡단면을 갖는,
    접지되지 않은 RF 입력 연결로부터 플라즈마 챔버로 RF 전력을 커플링하기 위한 장치.
  13. 제 12 항에 있어서,
    상기 RF 버스 도체와 상기 접지되지 않은 RF 입력 연결 사이에 연결된 전기적 도체를 더 포함하고, 상기 RF 버스 도체는 상기 접지되지 않은 RF 입력 연결에 직접 연결되지 않는,
    접지되지 않은 RF 입력 연결로부터 플라즈마 챔버로 RF 전력을 커플링하기 위한 장치.
  14. 제 12 항에 있어서,
    상기 플라즈마 챔버 전극은 샤워헤드 및 뒷벽을 갖는 가스 주입구 매니폴드(manifold)를 포함하고, 상기 RF 버스 도체는 상기 뒷벽 상의 연결 지점에 전기적으로 연결되는,
    접지되지 않은 RF 입력 연결로부터 플라즈마 챔버로 RF 전력을 커플링하기 위한 장치.
KR1020117021120A 2009-02-13 2010-02-13 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스 KR101617781B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15260109P 2009-02-13 2009-02-13
US61/152,601 2009-02-13

Publications (2)

Publication Number Publication Date
KR20110126675A true KR20110126675A (ko) 2011-11-23
KR101617781B1 KR101617781B1 (ko) 2016-05-03

Family

ID=42558884

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117021120A KR101617781B1 (ko) 2009-02-13 2010-02-13 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스

Country Status (6)

Country Link
US (1) US8992723B2 (ko)
JP (1) JP5707341B2 (ko)
KR (1) KR101617781B1 (ko)
CN (1) CN102365906B (ko)
TW (1) TWI530232B (ko)
WO (1) WO2010094002A2 (ko)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2018111598A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200476124Y1 (ko) * 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Rf­전력공급 샤워헤드를 위한 편심 접지 복귀
CN202671648U (zh) * 2010-01-26 2013-01-16 应用材料公司 平衡rf电桥组件
US9068262B2 (en) * 2010-05-21 2015-06-30 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
KR20120002795A (ko) * 2010-07-01 2012-01-09 주성엔지니어링(주) 피딩라인의 차폐수단을 가지는 전원공급수단 및 이를 포함한 기판처리장치
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130292057A1 (en) * 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
KR101582838B1 (ko) * 2013-08-23 2016-01-12 니신 일렉트릭 컴패니 리미티드 플라즈마 처리장치
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102197066B1 (ko) 2014-07-01 2020-12-30 삼성전자 주식회사 플라즈마 광원, 그 광원을 구비한 검사 장치 및 플라즈마 광 생성 방법
KR102432150B1 (ko) * 2014-08-15 2022-08-11 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 시스템들을 위한 소형의 구성 가능한 모듈형 무선 주파수 매칭 네트워크 조립체
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
JP6662998B2 (ja) * 2016-03-03 2020-03-11 コアテクノロジー株式会社 プラズマ処理装置
JP7108623B2 (ja) * 2017-02-16 2022-07-28 アプライド マテリアルズ インコーポレイテッド 高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法
US11447868B2 (en) * 2017-05-26 2022-09-20 Applied Materials, Inc. Method for controlling a plasma process
TWI693860B (zh) 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
JP6458206B1 (ja) 2017-06-27 2019-01-23 キヤノンアネルバ株式会社 プラズマ処理装置
KR20220031132A (ko) 2017-06-27 2022-03-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019003309A1 (ja) 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
CN109473331B (zh) * 2017-09-08 2022-11-25 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔
SG11202009122YA (en) * 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
CN116590681B (zh) * 2023-06-16 2023-10-31 中科纳微真空科技(合肥)有限公司 一种射频平面阴极

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
DE4242894A1 (de) 1992-12-18 1994-06-23 Leybold Ag Vorrichtung zur Mehrfacheinspeisung von HF-Leistung in Kathodenkörpern
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
JPH08236294A (ja) 1995-02-28 1996-09-13 Jeol Ltd 高周波プラズマ応用装置
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6155202A (en) 1997-11-28 2000-12-05 Alps Electric Co., Ltd. Plasma processing apparatus, matching box, and feeder
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
JP3332857B2 (ja) 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
AU725612B2 (en) 1998-05-29 2000-10-12 Mitsubishi Heavy Industries, Ltd. Plasma CVD apparatus
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP4493756B2 (ja) 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
JP3792089B2 (ja) 2000-01-14 2006-06-28 シャープ株式会社 プラズマプロセス装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
WO2002007184A2 (en) 2000-07-13 2002-01-24 Tokyo Electron Limited Adjustable segmented electrode apparatus and method
AU2001279189A1 (en) 2000-08-08 2002-02-18 Tokyo Electron Limited Plasma processing method and apparatus
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
JP3640609B2 (ja) 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP3762650B2 (ja) 2001-03-02 2006-04-05 日本高周波株式会社 プラズマ処理装置用電源システム
US6899787B2 (en) * 2001-06-29 2005-05-31 Alps Electric Co., Ltd. Plasma processing apparatus and plasma processing system with reduced feeding loss, and method for stabilizing the apparatus and system
US6706138B2 (en) 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6824658B2 (en) 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3751909B2 (ja) * 2002-07-01 2006-03-08 独立行政法人科学技術振興機構 プラズマ装置及びプラズマ処理基体
JP2004128159A (ja) 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
ES2367752T3 (es) 2002-10-29 2011-11-08 Mitsubishi Heavy Industries, Ltd. Procedimiento y dispositivo para generar plasma uniforme de alta frecuencia sobre un area de gran superficie.
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7165072B2 (en) * 2003-03-26 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for merging information from effective dated base tables
JP3846881B2 (ja) 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
JP2006101480A (ja) * 2004-07-12 2006-04-13 Applied Materials Inc プラズマチャンバーとともに使用する固定インピーダンス変換回路網用の装置および方法
FR2875304B1 (fr) * 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
JP2007220594A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
JP4838612B2 (ja) * 2006-03-28 2011-12-14 東京エレクトロン株式会社 プラズマ処理装置
JP5031252B2 (ja) * 2006-03-30 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2008186939A (ja) * 2007-01-29 2008-08-14 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US20090101069A1 (en) 2007-10-12 2009-04-23 Suhail Anwar Rf return plates for backing plate support
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
JP5749020B2 (ja) 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
KR101641130B1 (ko) 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
KR200476124Y1 (ko) 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Rf­전력공급 샤워헤드를 위한 편심 접지 복귀

Cited By (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018111598A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US20100206483A1 (en) 2010-08-19
KR101617781B1 (ko) 2016-05-03
CN102365906A (zh) 2012-02-29
TWI530232B (zh) 2016-04-11
JP5707341B2 (ja) 2015-04-30
US8992723B2 (en) 2015-03-31
JP2012518253A (ja) 2012-08-09
WO2010094002A3 (en) 2010-12-09
CN102365906B (zh) 2016-02-03
TW201044923A (en) 2010-12-16
WO2010094002A2 (en) 2010-08-19

Similar Documents

Publication Publication Date Title
KR101617781B1 (ko) 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
KR200476124Y1 (ko) Rf­전력공급 샤워헤드를 위한 편심 접지 복귀
JP5749020B2 (ja) Rf電力をプラズマチャンバに結合するための装置
EP0929093B1 (en) Plasma processor for large workpieces
US6825618B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US7674353B2 (en) Apparatus to confine plasma and to enhance flow conductance
US10984993B2 (en) Plasma processing apparatus
CN102421239B (zh) 等离子体处理装置
US20070252529A1 (en) Capacitively Coupled Rf-Plasma Reactor
KR20110058699A (ko) 플라즈마 처리 장치
KR20110112255A (ko) 플라즈마 처리 장치
WO2009082753A2 (en) Asymmetrical rf drive for electrode of plasma chamber
CN102349357B (zh) 等离子体处理装置
JP3907087B2 (ja) プラズマ処理装置
US20140368110A1 (en) Plasma processing apparatus and plasma processing method
JP5419055B1 (ja) プラズマ処理装置およびプラズマ処理方法
JP5135720B2 (ja) プラズマ処理装置
US20130088146A1 (en) Inductively coupled plasma generation device
CN110416054B (zh) 感应线圈组及反应腔室
CN112071734B (zh) 绝缘材料窗及其制造方法及电感耦合等离子体处理装置
US8872428B2 (en) Plasma source with vertical gradient
US20140042123A1 (en) Plasma processing apparatus and plasma processing method
CN118073160A (en) Feed-in structure of radio frequency power and semiconductor process equipment
CN114171364B (zh) 半导体工艺设备
KR20100050399A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant