KR20100105764A - 가열식 샤워헤드 조립체 - Google Patents

가열식 샤워헤드 조립체 Download PDF

Info

Publication number
KR20100105764A
KR20100105764A KR1020107017643A KR20107017643A KR20100105764A KR 20100105764 A KR20100105764 A KR 20100105764A KR 1020107017643 A KR1020107017643 A KR 1020107017643A KR 20107017643 A KR20107017643 A KR 20107017643A KR 20100105764 A KR20100105764 A KR 20100105764A
Authority
KR
South Korea
Prior art keywords
shower head
bores
gas
plenum
annular
Prior art date
Application number
KR1020107017643A
Other languages
English (en)
Other versions
KR101598516B1 (ko
Inventor
제임스 디. 카두치
올가 레겔만
칼롤 베라
더글라스 에이. 브흐베르거
폴 브릴하르트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100105764A publication Critical patent/KR20100105764A/ko
Application granted granted Critical
Publication of KR101598516B1 publication Critical patent/KR101598516B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 일반적으로, 처리 가스를 처리 챔버의 내측으로 공급하는데 사용될 수 있는 가열식 샤워헤드 조립체를 포함한다. 처리 챔버는 에칭 챔버일 수 있다. 처리 가스가 처리 챔버로부터 배기될 때, 기판의 균일한 처리가 어려울 수 있다. 처리 가스가 기판으로부터 멀리 그리고 진공 펌프 쪽으로 흡인되기 때문에, 에칭의 경우에 플라즈마가 기판 전체에 걸쳐 균일하지 않을 수 있다. 불균일한 플라즈마는 불균일한 에칭을 초래할 수 있다. 불균일한 에칭을 방지하기 위해, 샤워헤드 조립체는 각각, 독립적으로 제어가능한 가스의 유입과 온도를 제어할 수 있는 두 개의 영역으로 분리될 수 있다. 제 1 영역은 기판의 주변부에 대응하지만 제 2 영역은 기판의 중앙에 대응한다. 샤워헤드의 영역들을 통해 온도와 가스 유동을 독립적으로 제어함으로써, 기판의 에칭 균일도가 증가될 수 있다.

Description

가열식 샤워헤드 조립체 {HEATED SHOWERHEAD ASSEMBLY}
본 발명의 실시예들은 일반적으로 가열식 샤워헤드 조립체에 관한 것이다.
집적 회로는 단일 칩 상에 백만 개의 부품(예를 들어, 트랜지스터, 커패시터, 레지스터 등)을 포함할 수 있는 복잡한 장치로 발전했다. 칩 설계의 발전에는 보다 빠른 회로와 보다 큰 회로 밀도를 지속적으로 요구한다. 보다 큰 회로 밀도에 대한 요구는 집적 회로 부품의 치수 감소를 필요로 한다. 그러한 장치의 피쳐(feature)들에 대한 최소 치수는 본 기술 분야에서 보통 임계 치수로 지칭된다. 그러한 임계 치수는 일반적으로, 라인, 컬럼, 라인들 간의 공간 등과 같은 피쳐들의 최소 폭을 포함한다.
이들 임계 치수가 감소되면서, 기판 전체에 걸친 공정 균일도는 높은 수율을 유지하기 위한 가장 중요한 요소가 되었다. 집적 회로의 제작에 사용된 종래의 플라즈마 에칭 공정과 연관된 하나의 문제점은 부분적으론, 배기 포드 쪽으로 그리고 기판으로부터 먼 쪽으로 에칭 가스를 흡인하는 진공 펌프 때문일 수 있는 기판 전체에 걸친 에칭률의 불균일성이다. 가스들이 배기 포트(즉, 기판 주변부)에 가까운 챔버의 영역들로부터 더욱 용이하게 펌프되기 때문에, 에칭 가스가 배기 포트 쪽으로 그리고 기판으로부터 먼 쪽으로 흡인됨으로써, 챔버 내부에 위치된 기판 상에 불균일한 에칭을 초래한다. 이러한 불균일성은 성능에 상당한 영향을 끼치며 집적 회로의 제작 비용을 증가시킨다.
그러므로, 종래 기술에는 집적 회로의 제작 중에 재료 층을 균일하게 에칭하기 위한 장치가 필요하다.
본 발명은 일반적으로, 처리 챔버 내측으로 처리 가스들을 공급하는데 사용될 수 있는 가열식 샤워헤드 조립체를 포함한다. 일 실시예에서, 샤워헤드는 제 2 영역을 에워싸는 제 1 영역을 갖는 샤워헤드 몸체를 포함한다. 상기 제 1 영역은 상기 몸체의 제 1 측면에 배열되는 제 1 플레넘(plenum)과, 상기 몸체의 제 2 측면에 배열되는 하나 또는 그 보다 많은 제 2 플레넘, 및 복수의 제 1 환형 패턴으로 배열되는 복수의 제 1 보어를 포함하며, 상기 복수의 제 1 보어는 상기 제 1 플레넘으로부터 상기 하나 또는 그보다 많은 제 2 플레넘으로 연장한다. 상기 제 2 영역은 상기 몸체의 제 1 측면에 배열되는 제 3 플레넘과, 상기 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 4 플레넘, 및 복수의 제 2 환형 패턴으로 배열되는 복수의 제 2 보어를 포함하며, 상기 복수의 제 2 보어는 상기 제 3 플레넘으로부터 상기 하나 또는 그보다 많은 제 4 플레넘으로 연장한다.
다른 실시예에서, 샤워헤드 조립체는 제 1 가스 분배 판, 및 제 2 가스 분배 판을 포함하며, 상기 제 1 가스 분배 판은 제 1 측면, 제 2 측면, 및 두 개 또는 그보다 많은 영역을 가지며, 상기 각각의 영역은 상기 제 1 측면으로부터 제 2 측면으로 상기 제 1 가스 분배 판을 통해 연장하는 복수의 제 1 보어를 가지며, 상기 제 2 가스 분배 판은 제 3 측면, 제 4 측면, 및 상기 제 3 측면으로부터 제 4 측면으로 상기 제 2 가스 분배 판을 통해 연장하며, 상기 제 2 가스 분배 판의 제 3 측면은 상기 제 1 가스 분배 판의 제 2 측면에 연결되며, 상기 제 2 보어의 수는 상기 제 1 보어의 수를 초과한다.
다른 실시예에서, 샤워헤드의 재생(refurbishing) 방법은 제 1 샤워헤드 몸체를 제 2 샤워헤드 몸체로부터 분해하는 단계와, 적어도 상기 제 1 샤워헤드 몸체를 세정하는 단계, 및 상기 제 1 샤워헤드 몸체를 제 3 샤워헤드 몸체에 접합하는 단계를 포함한다. 상기 제 1 샤워헤드 몸체는 제 2 영역을 에워싸는 제 1 영역을 가진다. 상기 제 1 영역은 상기 샤워헤드 몸체의 제 1 측면에 배열되는 제 1 플레넘과, 상기 제 1 샤워헤드 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 2 플레넘, 및 복수의 제 1 환형 패턴으로 배열되는 복수의 제 1 보어를 포함하며, 상기 복수의 제 1 보어는 상기 제 1 플레넘으로부터 상기 하나 또는 그보다 많은 제 2 플레넘으로 연장한다. 상기 제 2 영역은 상기 제 1 샤워헤드 몸체의 제 1 측면에 배열되는 제 3 플레넘과, 상기 제 1 샤워헤드 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 4 플레넘, 및 복수의 제 2 환형 패턴으로 배열되는 복수의 제 2 보어를 포함하며, 상기 복수의 제 2 보어는 상기 제 3 플레넘으로부터 상기 하나 또는 그보다 많은 제 4 플레넘으로 연장한다.
본 발명의 전술한 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 발명에 대해 첨부 도면에 그 일부가 도시된 실시예들을 참조하여 더욱 상세히 설명된다. 그러나, 첨부 도면들은 단지 전형적인 실시예들만을 설명할 뿐이며, 본 발명의 범주를 한정하는 것이 아니며 다른 균등하고 효과적인 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 본 발명의 일 실시예에 따른 에칭 장치의 개략적인 횡단면도이며,
도 2a는 본 발명의 일 실시예에 따른 샤워헤드 조립체(200)의 개략적인 횡단면도이며,
도 2b는 도 2a의 내측 영역(218)의 상세도이며,
도 2c는 제 1 확산기 판(214)과 제 2 확산기 판(216) 사이의 경계면에 대한 상세도이며,
도 2d는 도 2a에 도시된 제 1 확산기 판(214)에 대한 저면도이며,
도 3은 본 발명의 일 실시예에 따른 샤워헤드 조립체(300)의 개략적인 평면도이며,
도 4는 본 발명의 일 실시예에 따른 샤워헤드 조립체(400)의 개략적인 저면도이며,
도 5는 본 발명의 일 실시예에 따른 재생 방법의 처리 단계를 도시하는 흐름도이다.
이해를 촉진시키기 위해, 도면에서 공통인 동일한 구성 요소를 지칭하기 위해 가능하다면 동일한 도면 부호가 사용되었다. 일 실시예에서 설명된 구성 요소들은 특별한 인용이 없는 한 다른 실시예에서도 유리하게 사용될 수 있다고 이해해야 한다.
본 발명은 일반적으로, 처리 가스를 처리 챔버의 내측으로 공급하는데 사용될 수 있는 가열식 샤워헤드 조립체를 포함한다. 처리 챔버는 에칭 챔버일 수 있다. 처리 가스가 처리 챔버로부터 배기될 때, 기판의 균일한 처리가 어려울 수 있다. 처리 가스가 기판으로부터 멀리 그리고 진공 펌프 쪽으로 흡인되기 때문에, 에칭의 경우에 플라즈마가 기판 전체에 걸쳐 균일하지 않을 수 있다. 불균일한 플라즈마는 불균일한 에칭을 초래할 수 있다. 불균일한 에칭을 방지하기 위해, 샤워헤드 조립체는 각각, 독립적으로 제어가능한 가스의 유입과 온도를 제어할 수 있는 두 개의 영역으로 분리될 수 있다. 제 1 영역은 기판의 주변부에 대응하지만 제 2 영역은 기판의 중앙에 대응한다. 샤워헤드의 영역들을 통해 온도와 가스 유동을 독립적으로 제어함으로써, 기판의 에칭 균일도가 증가될 수 있다.
본 발명은 에칭 챔버와 관련하여 이후에 설명될 것이다. 그러나, 다양한 플라즈마 증착 및 에칭 챔버, 특히 미국 산타 클라라 소재의 어플라이드 머티리얼즈 인포코레이티드로부터 모두 이용가능한 것들 중에서도 CENTURA(등록 상표) 시스템, PRODUCER(등록 상표) 에칭 챔버, eMax(등록 상표) 에칭 챔버와 같은 반도체 웨이퍼 처리 시스템의 일부분일 수 있는 ENABLER(등록 상표)와 같은 유전체 에칭 챔버가 본 발명에 설명된 사상으로부터 이득을 얻을 수 있다. 다른 제작자들로부터의 플라즈마 반응로를 포함한 다른 플라즈마 반응로들도 본 발명으로부터 이득을 얻을 수 있다.
도 1은 본 발명의 일 실시예에 따른 에칭 장치의 개략적인 횡단면도이다. 상기 에칭 장치는 챔버 바닥(104)으로부터 상향으로 연장하는 복수의 벽(102)을 갖는 챔버(100)를 포함한다. 챔버(100) 내부에는 기판(108)이 처리를 위해 상부에 지지될 수 있는 서셉터(106)가 제공된다. 기판(108)은 슬릿 밸브 개구(120)를 통해 챔버(100)의 내측으로 도입될 수 있다.
챔버(100)는 진공 포트(156)를 통해 챔버 벽(102)에 연결된 진공 펌프(112)에 의해 배기될 수 있다. 챔버(100)는 서셉터(106)와 기판(110)을 에워싸는 배플(110) 주위로 그리고 배플을 통해 처리 가스를 흡인함으로써 배기될 수 있다. 진공 펌프(112)로부터 더 멀어질수록 더 적은 진공 흡인이 검출될 수 있다. 역으로, 진공 펌프(112)에 더 가까울수록 더 많은 진공 흡인이 검출될 수 있다. 따라서, 불균일한 진공 흡인을 보상하기 위해, 유동 평형장치(116)가 챔버(100) 내부에 배열될 수 있다. 유동 평형 장치(116)는 서셉터(106)를 에워쌀 수 있다. 유동 평형 장치(116)의 폭은 화살표 "C"로 나타낸 바와 같이 진공 포트(156)에 가장 가까운 위치에서의 유동 평형 장치(116)의 폭에 비해서, 화살표"B"로 나타낸 바와 같이 진공 포트(156)로부터 더 먼 위치에서 더 작다. 배기될 가스는 유동 평형 장치 주위에서 유동하며 그리고나서 하부 라이너(114)를 통해 유동할 수 있다. 하부 라이너(114)는 가스가 배기될 수 있도록 통과하는 하나 또는 그보다 많은 구멍을 가질 수 있다. 스페이스(118)가 하부 라이너(114)와 챔버(100)의 벽(102) 사이에 제공되어서 가스가 하부 라이너(114)의 뒤에서 진공 포트(156)로 유동할 수 있게 한다. 진공 포트(156)는 처리 가스가 기판(108)에 가까운 영역으로부터 진공 펌프(112)의 내측으로 직접 흡인되는 것을 방지하도록 유동 차단기(154)에 의해 차단될 수 있다. 배기된 가스는 화살표"A"로 나타낸 통로를 따라 유동할 수 있다.
처리 가스는 샤워헤드(122)를 통해 처리 챔버(100)의 내측으로 도입될 수 있다. 샤워헤드(122)는 RF 전력 소오스(152)로부터 RF 전류에 의해 바이어스될 수 있으며, 샤워헤드(122)는 제 1 확산 판(126) 및 제 2 확산 판(124)을 포함할 수 있다. 일 실시예에서, 제 1 확산 판(126)은 알루미늄을 포함할 수 있다. 다른 실시예에서, 제 2 확산 판(124)은 실리콘 카바이드를 포함할 수 있다. 제 1 확산 판(126) 및 제 2 확산 판(124)은 함께 접합될 수 있다. 일 실시예에서, 제 1 확산판(126) 및 제 2 확산 판(124)은 함께 용접될 수 있다. 다른 실시예에서, 제 1 확산 판(126) 및 제 2 확산 판(124)은 탄성 중합체를 사용하여 함께 접합될 수 있다. 샤워헤드(122)는 내측 영역(158) 및 외측 영역(160)으로 나누어질 수 있다. 내측 영역(158)은 가열 부재(128)를 가질 수 있다. 일 실시예에서, 가열 부재(128)는 환형 형상을 가질 수 있다. 가열 부재(128)는 가열 소오스(148)에 연결될 수 있다. 외측 영역(160)도 또한, 가열 소오스(150)에 연결되는 가열 부재(130)를 포함할 수 있다. 일 실시예에서, 가열 부재(128,130)는 가열 소오스(148,150)로부터의 가열 유체로 충전되는 환형 도관을 포함할 수 있다. 다른 실시예에서, 가열 부재(128,130)는 가열 소오스(148,150)에 의해 전력이 공급되는 가열 코일을 포함할 수 있다. 도시하지 않았지만, 열전쌍이 실시간 온도 피드백을, 내측 영역(158) 및 외측 영역(160)에 공급되는 가열 양을 제어하는 제어기에 제공할 수 있다.
내측 영역(158)은 도관(146)에 의해 가스 소오스(138)에 연결될 수 있다. 가스 소오스로부터의 가스는 도관(146)을 통해 제 1 확산기 판(126) 뒤에 배열된 플레넘(132)으로 유동할 수 있다. 밸브(142)는 가스 소오스(138)로부터 플레넘(132)으로 유동하는 가스의 양을 제어하도록 도관(146)을 따라 배열될 수 있다. 일단 가스가 플레넘(132)으로 진입하면, 가스는 그 후에 확산기 판(124,126)을 통과할 수 있다. 유사하게, 상기 외측 영역(160)은 도관(144)에 의해 가스 소오스에 연결될 수 있다. 밸브(140)는 가스 소오스(136)로부터 플레넘(134)으로 유동하는 가스의 양을 제어하도록 도관(144)을 따라 배열될 수 있다.
별도의 가스 소오스(136,138)가 도 1에 도시되었지만, 하나의 공통인 가스 소오스가 사용될 수 있다고 이해될 것이다. 단일 공통의 가스 소오스가 사용될 때, 별도의 도관(144,146)이 가스 소오스에 연결될 수 있으며 밸브(140,142)는 플레넘(132,134)에 도달하는 처리 가스의 양을 제어할 수 있다.
도 2a는 본 발명에 따른 샤워헤드 조립체(200)의 개략적인 횡단면도이다. 샤워헤드 조립체(200)는 제 1 확산기 판(214) 및 제 2 확산기 판(216)에 연결되는 배면판(212)을 포함한다. 샤워헤드 조립체(200)는 내측 영역(218) 및 외측 영역(220)을 포함한다. 내측 영역은 가열 부재(226)에 열을 제공하는 가열 소오스(222)에 연결될 수 있다. 가스 소오스(204)도 도관(208)을 통해 내측 영역(218)에 연결될 수도 있다. 밸브(210)는 플레넘(230)에 제공되는 처리 가스의 양을 제어하도록 도관(208)을 따라 연결될 수 있다. 내측 영역(218) 및 외측 영역(220)은 환형일 수 있다. 가열 소오스(224)도 외측 영역(220)의 가열 부재(228)에 연결될 수도 있다. 가스 소오스(202)는 도관(206)을 통해 외측 영역(220)의 플레넘(232)에 연결될 수 있다. 도관(206)을 따라 밸브(210)는 플레넘(232)에 도달하는 처리 가스의 양을 제어할 수 있다.
도 2b는 도 2a의 내측 영역(218)에 대한 상세도이다. 플레넘(230)으로 진입하는 처리 가스는 오리피스 구멍(238) 때문에 플레넘 내부에 균일하게 분포된다. 오리피스 구멍(238)은 제 1 확산 판(214)의 가스 통로(246)들 사이에 처리 가스의 균일한 분포를 생성할 수 있는 크기이다. 오리피스 구멍(238)은 화살표"D"로 나타낸 직경을 가질 수 있다. 오리피스 구멍(238)의 직경이 플레넘(230)보다 더 작기 때문에, 처리 가스는 플레넘 내부에 비축되며 실질적으로 균일한 양의 처리 가스가 오리피스 구멍(238)을 통과할 수 있다. 일단 오리피스 구멍을 통과하면, 처리 가스가 제 1 팽창 채널(240) 내에서 팽창할 수 있다. 제 1 팽창 채널(240)은 화살표"E"로 나타낸 바와 같은 직경을 가질 수 있다. 제 1 팽창 채널(240)의 직경은 오리피스 구멍(238)보다 더 크다. 처리 가스는 제 1 팽창 채널을 통과한 후에 제 2 팽창 채널(242)로 진입한다. 제 2 팽창 채널(242)은 화살표"F"로 나타낸 바와 같은 폭을 가진다.
제 2 확산기 판(216)도 복수의 가스 통로(244)를 포함한다. 가스 통로(244)는 각각의 제 2 팽창 채널(242)이 가스 통로(244) 내측으로 가스를 유동시키기 위해 적어도 하나의 환형 플레넘을 형성하도록 제 1 확산기 판(214)의 제 2 팽창 채널(242)에 연결된다. 제 2 확산기 판(216)의 가스 통로는 각각 화살표"G"로 나타낸 바와 같은 폭 또는 직경을 가진다. 가스 통로(244)의 폭 또는 직경은 제 2 팽창 채널(242)의 폭 또는 직경보다 작다. 제 2 팽창 채널(242)은 제 2 확산기 판(216)의 가스 통로(244)용 플레넘으로서 작용할 수 있다. 각각의 가스 통로(244)의 폭 또는 직경이 실질적으로 동일하므로, 처리 가스는 가스 통로(244)로 진입하기 이전에 제 2 팽창 채널(242) 내부에 균일하게 분포될 수 있다. 따라서, 실질적으로 균일한 양의 처리 가스가 각각의 가스 통로(244)를 통해 유동할 수 있다. 가스 통로(244,246)는 확산기 판(214,216) 내측으로 천공될 수 있다. 가스 통로(244)는 확산기 판(216) 주위에 환형 패턴으로 집단적으로 배열된다. 일 실시예에서, 가스 통로(244)의 환형 패턴의 폭은 제 2 팽창 채널(242)의 폭과 실질적으로 동일하다.
제 1 확산기 판(214)은 제 2 확산기 판(216)에 접합될 수 있다. 일 실시예에서, 제 1 확산기 판(214)은 양극 산화처리될 수 있으며 그 후에 제 2 확산기 판(216)에 접합될 수 있다. 일 실시예에서, 접합 재료(248)는 실리콘계 접합 재료를 포함할 수 있다. 도 2c는 제 1 확산기 판(214)과 제 2 확산기 판(216) 사이의 경계면에 대한 상세도이다. 도 2c에 도시한 바와 같이, 제 1 확산기 판(214)의 바닥 표면은 제 2 확산기 판(216)으로 진입하기 이전에 처리 가스가 내부에서 팽창하게 될 복수의 팽창 채널(242)을 가진다. 환형 패턴으로 배열되는 각각의 가스 통로(246)는 공통의 팽창 채널(242)로 들어간다. 팽창 채널(242)은 처리 가스가 균일하게 분포되어 제 2 확산기 판(216)의 가스 통로(244)로 진입할 수 있게 한다. 일 실시예에서, 각각의 팽창 채널(242)은 제 1 확산기 판(214)의 바닥 표면으로 천공된 환형 홈이다. 도 2d는 도 2a에 도시된 제 1 확산기 판(214)의 저면도이다. 제 1 확산기 판(214)의 가스 통로(246)는 제 2 확산기 판(216)의 가스 통로(244)로 진입하기 이전에 가스가 균일하게 분포될 수 있게 하는 팽창 채널(242)로 들어갈 수 있다.
하나 또는 그보다 많은 접합 홈(250)들이 제 1 확산기 판(214) 내측으로 천공될 수 있다. 접합 홈(250)은 인접한 팽창 채널(242)들 사이에 배열되는 환형 채널일 수 있다. 접합 홈(250)은 화살표 "L"로 나타낸 바와 같은 높이를 가질 수 있다. 일 실시예에서, 접합 홈(250)의 높이는 팽창 채널(242)의 화살표"N"로 나타낸 높이보다 작을 수 있다. 다른 실시예에서, 접합 홈(250)의 높이는 팽창 채널(242)의 높이와 실질적으로 동일할 수 있다. 공간(252)은 제 1 확산기 판(214)과 제 2 확산기 판(216) 사이에 유지될 수 있다. 공간(252)은 팽창 채널(242)과 인접 접합 홈(250) 사이에 배열될 수 있다. 공간(252)이 너무 작으면, 입자들이 내부에 포획될 수 있다. 공간이 너무 크면, 너무 많은 접합 재료(248)가 처리 가스에 노출되며 처리 가스에 의해 침식되어 접합 재료(248)를 파괴할 수 있다. 공간(252)은 처리 중에 처리 가스에 노출될 수 있는 어떤 접합 재료(248)를 감소시키기에 충분히 작아서 제 2 확산기 판(216)의 가스 통로(244)를 탈출 및 통과하는 것을 방지할 수 있는, 화살표 "M"로 나타낸 바와 같은 높이를 가질 수 있다. 일 실시예에서, 공간(252)의 높이는 약 0.005 인치 내지 약 0.009 인치 범위일 수 있다. 접합 홈(250)이 제 1 확산기 판(250) 내측으로 천공될 수 있지만, 접합 홈(250)은 접합 홈(250)이 제 2 확산기 판(216)의 구조적 통합성과의 절충에 따른 제 2 확산기 판(216)의 가스 통행에 간섭하지 않는 한 제 1 확산기 판 대신에 제 2 확산기 판(216) 내측으로 천공될 수 있다.
도 2a를 다시 참조하면, 내측 영역(218)은 제 1 확산기 판(214)을 통과하는 복수의 가스 통로(246)를 가질 수 있다. 일 실시예에서, 5 개의 가스 통로(246)가 내측 영역(218)에 제공될 수 있다. 외측 영역(220)도 제 1 확산기 판(214)을 통과하는 복수의 가스 통로(246)를 가질 수 있다. 일 실시예에서, 3 개의 가스 통로(246)가 외측 영역(220)에 제공될 수 있다. 내측 영역(218) 내부에 있는 가스 통로(246)의 수는 외측 영역(220) 내부에 있는 가스 통로(246)의 수보다 많을 수 있다. 다소간의 가스 통로(246)가 특정 챔버의 유동 특징들에 따라 내측 영역(218) 및 외측 영역(220)에 사용될 수 있다고 이해될 수 있다.
샤워 헤드 조립체(200)의 내측 영역(218)을 통한 가스 유동은 샤워 헤드 조립체(200)의 외측 영역(220)을 통한 가스 유동보다 클 수 있다. 진공이 처리 챔버에 흡인될 때, 기판의 주변부는 기판의 중심에 대응하는 처리 가스가 진공 펌프로의 경로에 있는 기판의 주변부 위를 통과하기 때문에, 보다 많은 양의 처리 가스에 노출될 수 있다. 샤워 헤드 조립체(200)의 내측 영역(218)에 보다 큰 가스 유동을 가짐으로 인해, 기판의 중심은 기판의 주변부와 실질적으로 동일한 양의 처리 가스에 노출될 수 있다.
샤워 헤드 조립체(200)는 접합 재료(248)의 융점 위의 온도로 샤워 헤드를 가열함으로써 접합이 해제될 수 있다. 그 후에, 제 1 확산기 판(214)은 제 2 확산기 판(216)으로부터 제거될 수 있고 접합 재료(248)가 제거된다. 그 후에 제 1 확산기 판(214)과 제 2 확산기 판(216)이 세정될 수 있다. 어느 하나의 판(214,216)이 수리가 불가능하다면, 판(214,216)은 교체되고 다른 판(214,216)과 재 접합될 수 있다. 일 실시예에서, 제 1 확산기 판(214)은 재 양극 산화처리될 수 있다. 일 실시예에서, 저온 재 접합 공정(cold debonding process)이 사용될 수 있다. 저온 재 접합 공정은 제 2 확산기 판(216)을 제 1 확산기 판(214)으로부터 물리적으로 절단하는 단계를 포함한다. 접합 재료(248)는 그 후 확산기 판(214,216)으로부터 제거될 수 있다. 일 실시예에서, 접합 재료(248)는 에칭에 의해 제거될 수 있다. 다른 실시예에서, 접합 재료(248)는 그라인딩과 같은 공정에 의해 접합 재료를 기계 가공함으로써 제거될 수 있다.
도 3은 본 발명의 일 실시예에 따른 샤워 헤드 조립체(300)의 개략적인 평면도이다. 샤워 헤드 조립체(300)는 외측 영역(302) 및 내측 영역(304)을 포함한다. 외측 영역(302)은 가열 부재(308)를 포함하며, 내측 영역은 가열 부재(310)를 포함한다. 내측 영역(304)과 외측 영역(302) 모두의 내부에는 복수의 오리피스 구멍(306)이 도시되어 있다. 외측 영역(302)의 내부에는 오리피스 구멍(306)이 3 개의 환형 패턴으로 배열된다. 3 개의 환형 패턴이 도시되어 있지만, 오리피스 구멍(306)은 다소간의 환형 패턴으로 배열될 수 있다고 이해될 수 있다. 또한, 오리피스 구멍(306)은 외측 영역(302) 내부에 임의로 분산될 수 있다. 도시된 실시예에서, 환형 패턴은 화살표"H"로 나타낸 거리만큼 이격된다.
내측 영역(304) 내부에는 오리피스 구멍(306)이 5 개의 환형 패턴으로 배열된다. 5 개의 환형 패턴이 도시되었지만, 오리피스 구멍(306)은 다소간의 환형 패턴으로 배열될 수 있다고 이해될 수 있다. 또한, 오리피스 구멍(306)은 내측 영역(304) 내부에 임의로 분산될 수 있다. 도시된 실시예에서, 환형 패턴은 화살표"I"로 나타낸 거리만큼 이격된다. 외측 영역(302)과 내측 영역(304) 사이의 환형 패턴들 사이의 거리는 화살표"J"로 표시되어 있다. 일 실시예에서, "J"는 "I" 및 "H"와 실질적으로 동일하다.
도 4는 본 발명의 일 실시예에 따른 샤워 헤드 조립체(400)의 개략적인 저면도이다. 샤워 헤드 조립체(400)는 복수의 환형 패턴(404)을 갖는 확산기 판(402)을 포함한다. 각각의 환형 패턴 내부에는 환형 패턴의 개구(406)들이 있다. 3 개의 환형 패턴의 개구(406)들이 각각의 패턴(404) 내에 도시되어 있지만, 다소간의 환형 패턴의 개구(406)들이 제공될 수 있다고 이해될 수 있다. 환형 패턴(404)의 내부에는 환형 패턴의 개구(406)들이 등간격으로 이격될 수 있다. 환형 패턴(404)은 화살표"K"로 나타낸 거리만큼 등간격으로 이격될 수 있다. 8 개의 환형 패턴(404)이 도시되었지만, 다소간의 환형 패턴(404)이 제공될 수 있다고 이해될 수 있다.
일단 샤워 헤드 조립체가 오랜 시간 동안 사용되었다면, 샤워헤드 조립체는 더러워졌을 것이며, 가스 통로들이 막히거나 샤워 헤드 조립체가 열화되어서 샤워 헤드 조립체의 계속적인 사용이 불가능할 것이다. 몇몇 상황하에서, 샤워 헤드 조립체는 다시 사용될 수 있도록 재생될 수 있다. 도 5는 본 발명의 일 실시예에 따른 샤워헤드 재생 방법의 흐름도(500)이다.
먼저, 샤워 헤드 조립체는 분해될 수 있다(단계 502). 분해는 제 1 가스 분배 판을 제 2 가스 분배 판으로부터 분해하는 단계를 포함한다. 분해는 상기 판들이 떨어지게 단순히 당기는 단계와, 상기 판들을 서로 접합시키는 재료의 융점 온도 또는 그 융점 초과 온도로 상기 조립체를 가열하거나, 상기 판을 따로따로 절단하는 단계를 포함할 수 있다.
조립체가 분해된 이후에, 제 1 및 제 2 가스 분배 판이 검사된다(단계 504). 상기 판들이 적절한 세정 후에 재사용가능 한지 또는 상기 판들이 손상되었는지를 결정하기 위해 상기 판들이 검사된다(단계 506). 판이 손상되었거나, 재사용 불가능하거나, 기타 이유로 사용할 수 없다면, 상기 판은 폐기되며(단계 508) 제 3 판 또는 대체 판이 준비된다(단계 510).
상기 판들 중에 하나 또는 그보다 많은 판들이 세정가능하면, 상기 판은 어떠한 접합 재료뿐만 아니라 상기 판 또는 가스 통로 내에 증착될 수 있는 어떠한 재료를 판들로부터 제거하기 위해 세정된다(단계 512). 세정 이후에, 상기 판은 재-양극처리될 필요가 있을 수 있다(단계 514). 세정된 판들은 함께 재 접합되거나, 교체 판의 경우에는 세정된 판들이 교체 판에 접합될 수 있다(단계 516).
내측 영역 및 외측 영역을 갖는 샤워 헤드가 에칭 공정에 유리할 수 있다. 상기 영역들에 대한 별도의 가스 공급 및 온도 제어는 기술자로 하여금 처리 챔버 내의 플라즈마를 제어할 수 있게 하며 처리 중에 챔버 내부로 흡인되는 진공을 보상할 수도 있다.
전술한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 범부로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 샤워 헤드로서,
    제 2 영역을 에워싸는 제 1 영역을 가지는 샤워 헤드 몸체를 포함하며,
    상기 제 1 영역은 상기 몸체의 제 1 측면에 배열되는 제 1 플레넘과,
    상기 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 2 플레넘, 및
    복수의 제 1 환형 패턴으로 배열되는 복수의 제 1 보어를 가지며,
    상기 복수의 제 1 보어는 상기 제 1 플레넘으로부터 상기 하나 또는 그보다 많은 제 2 플레넘으로 연장하며,
    상기 제 2 영역은 상기 몸체의 제 1 측면에 배열되는 제 3 플레넘과,
    상기 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 4 플레넘, 및
    복수의 제 2 환형 패턴으로 배열되는 복수의 제 2 보어를 가지며,
    상기 복수의 제 2 보어는 상기 제 3 플레넘으로부터 상기 하나 또는 그보다 많은 제 4 플레넘으로 연장하는,
    샤워 헤드.
  2. 제 1 항에 있어서,
    상기 샤워 헤드 몸체는 알루미늄을 포함하며, 상기 하나 또는 그보다 많은 제 2 플레넘은 상기 복수의 제 1 환형 패턴과 일치되는 복수의 제 3 환형 패턴으로 배열되며, 상기 하나 또는 그보다 많은 제 4 플레넘은 상기 복수의 제 2 환형 패턴과 일치되는 복수의 제 4 환형 패턴으로 배열되는,
    샤워 헤드.
  3. 제 1 항에 있어서,
    상기 제 1 영역은 내부에 한정된 제 1 가열 부재를 포함하며, 상기 제 2 영역은 내부에 한정된 제 2 가열 부재를 포함하는,
    샤워 헤드.
  4. 샤워 헤드 조립체로서,
    제 1 측면, 제 2 측면, 및 각각 복수의 제 1 보어를 구비한 두 개 또는 그보다 많은 영역을 갖는 제 1 가스 분배 판, 및
    제 3 측면, 제 4 측면, 및 복수의 제 2 보어를 갖는 제 2 가스 분배 판을 포함하며,
    상기 복수의 제 1 보어는 상기 제 1 측면으로부터 상기 제 2 측면으로 상기 제 1 가스 분배 판을 통해 연장하며,
    상기 복수의 제 2 보어는 상기 제 3 측면으로부터 상기 제 4 측면으로 상기 제 2 가스 분배 판을 통해 연장하며, 상기 제 2 가스 분배 판의 제 3 측면은 상기 제 1 가스 분배 판의 제 2 측면과 결합되며, 상기 제 2 보어의 수는 상기 제 1 보어의 수를 초과하는,
    샤워 헤드 조립체.
  5. 제 4 항에 있어서,
    상기 두 개 또는 그보다 많은 영역들 중의 각각의 영역은 하나 또는 그보다 많은 가열 코일을 가지는,
    샤워 헤드 조립체.
  6. 제 4 항에 있어서,
    상기 제 1 보어는 상기 제 1 측면에서 보았을 때, 하나 또는 그보다 많은 제 1 환형 패턴으로 배열되며, 상기 각각의 영역은 복수의 환형 패턴을 가지는,
    샤워 헤드 조립체.
  7. 제 4 항에 있어서,
    상기 제 1 보어는 상기 제 1 측면에서 보았을 때, 하나 또는 그보다 많은 제 1 환형 패턴으로 배열되며, 상기 제 1 영역은 상기 제 2 영역을 에워싸며, 상기 제 2 영역은 상기 제 1 영역보다 많은 환형 패턴을 가지는,
    샤워 헤드 조립체.
  8. 제 4 항에 있어서,
    상기 제 1 보어는 상기 제 1 측면에서 보았을 때, 하나 또는 그보다 많은 제 1 환형 패턴으로 배열되며, 상기 제 2 보어는 상기 제 4 측면에서 보았을 때, 하나 또는 그보다 많은 제 2 환형 패턴으로 배열되며, 각각의 상기 제 2 환형 패턴 내의 제 2 보어의 수는 각각의 상기 제 1 환형 패턴 내의 제 1 보어의 수보다 많은,
    샤워 헤드 조립체.
  9. 제 4 항에 있어서,
    상기 제 1 보어는 상기 제 1 측면에서 보았을 때, 하나 또는 그보다 많은 제 1 환형 패턴으로 배열되며, 상기 제 2 보어는 상기 제 4 측면에서 보았을 때, 하나 또는 그보다 많은 제 2 환형 패턴으로 배열되며, 상기 제 2 측면으로부터 보았을 때, 상기 제 1 보어는 상기 제 2 환형 패턴의 폭과 실질적으로 동일한 폭을 가지는,
    샤워 헤드 조립체.
  10. 제 4 항에 있어서,
    상기 제 1 가스 분배 판은 알루미늄을 포함하며, 상기 제 2 가스 분배 판은 실리콘 카바이드를 포함하는,
    샤워 헤드 조립체.
  11. 제 4 항에 있어서,
    상기 제 1 측면에 있는 상기 하나 또는 그보다 많은 제 1 보어의 직경은 상기 제 2 측면에 있는 상기 하나 또는 그보다 많은 제 1 보어의 직경보다 더 작은,
    샤워 헤드 조립체.
  12. 제 4 항에 있어서,
    각각의 상기 영역 내에 있는 보어는 상기 제 1 가스 분배 판의 제 1 측면에 있는 환형 플레넘으로부터 연장하며, 각각의 상기 보어는 상기 제 1 가스 분배 판의 제 2 측면에 있는 원형 플레넘으로 연장하는,
    샤워 헤드 조립체.
  13. 샤워 헤드 재생 방법으로서,
    제 1 샤워 헤드 몸체를 제 2 샤워 헤드 몸체로부터 분해하는 단계와,
    적어도 상기 제 1 샤워 헤드 몸체를 세정하는 단계, 및
    상기 제 1 샤워 헤드 몸체를 제 3 샤워 헤드 몸체에 접합하는 단계를 포함하며,
    상기 제 1 샤워 헤드 몸체는 제 2 영역을 에워싸는 제 1 영역을 가지며,
    상기 제 1 영역은 상기 몸체의 제 1 측면에 배열되는 제 1 플레넘과,
    상기 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 2 플레넘, 및
    복수의 제 1 환형 패턴으로 배열되는 복수의 제 1 보어를 가지며,
    상기 복수의 제 1 보어는 상기 제 1 플레넘으로부터 상기 하나 또는 그보다 많은 제 2 플레넘으로 연장하며,
    상기 제 2 영역은 상기 몸체의 제 1 측면에 배열되는 제 3 플레넘과,
    상기 몸체의 제 2 측면에 배열되는 하나 또는 그보다 많은 제 4 플레넘, 및
    복수의 제 2 환형 패턴으로 배열되는 복수의 제 2 보어를 가지며,
    상기 복수의 제 2 보어는 상기 제 3 플레넘으로부터 상기 하나 또는 그보다 많은 제 4 플레넘으로 연장하는,
    샤워 헤드 재생 방법.
  14. 제 13 항에 있어서,
    상기 제 2 샤워 헤드 몸체와 상기 제 3 샤워 헤드 몸체는 동일한 샤워 헤드 몸체이며, 상기 제 2 샤워 헤드 몸체를 세정하는 단계를 더 포함하는,
    샤워 헤드 재생 방법.
  15. 제 13 항에 있어서,
    상기 제 1 샤워 헤드 몸체는 양극 산화처리된 알루미늄을 포함하며, 상기 접합하는 단계 이전에 상기 제 1 샤워 헤드 몸체를 재 양극 산화처리하는 단계를 더 포함하는,
    샤워 헤드 재생 방법.
KR1020107017643A 2008-01-10 2009-01-07 가열식 샤워헤드 조립체 KR101598516B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/972,072 US8876024B2 (en) 2008-01-10 2008-01-10 Heated showerhead assembly
US11/972,072 2008-01-10

Publications (2)

Publication Number Publication Date
KR20100105764A true KR20100105764A (ko) 2010-09-29
KR101598516B1 KR101598516B1 (ko) 2016-02-29

Family

ID=40849797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017643A KR101598516B1 (ko) 2008-01-10 2009-01-07 가열식 샤워헤드 조립체

Country Status (5)

Country Link
US (2) US8876024B2 (ko)
KR (1) KR101598516B1 (ko)
CN (2) CN101911262B (ko)
TW (1) TWI490966B (ko)
WO (1) WO2009089245A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140019812A (ko) * 2011-04-11 2014-02-17 어플라이드 머티어리얼스, 인코포레이티드 가스 분배판 표면들을 개장하기 위한 방법 및 장치
KR20140020092A (ko) * 2012-08-08 2014-02-18 주식회사 미코 샤워 헤드 및 이를 구비하는 식각 장치
KR20190133539A (ko) * 2018-05-23 2019-12-03 세메스 주식회사 기판 처리 방법 및 기판 처리 장치

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9214319B2 (en) 2011-08-19 2015-12-15 Mattson Technology, Inc. High efficiency plasma source
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
CN103243312A (zh) * 2013-05-30 2013-08-14 光垒光电科技(上海)有限公司 喷淋头以及气相沉积设备
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
US9914999B2 (en) * 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US20180090300A1 (en) * 2016-09-27 2018-03-29 Applied Materials, Inc. Diffuser With Corner HCG
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
CN107062812B (zh) * 2017-04-26 2019-09-03 京东方科技集团股份有限公司 一种减压干燥腔及真空减压干燥设备
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US20200347499A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Large-area high-density plasma processing chamber for flat panel displays
JP7373302B2 (ja) * 2019-05-15 2023-11-02 株式会社Screenホールディングス 基板処理装置
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
KR20210041354A (ko) * 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
FI129610B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001016396A1 (en) * 1999-08-31 2001-03-08 Tokyo Electron Limited Film deposition apparatus and method
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法
KR20030094466A (ko) * 2002-06-04 2003-12-12 주식회사일진 멀티포트형 광모듈
KR20040045913A (ko) * 2001-10-22 2004-06-02 어플라이드 머티어리얼즈 인코포레이티드 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR975400A (fr) * 1947-12-01 1951-03-05 Pulvérisateur
US2618322A (en) * 1949-03-19 1952-11-18 Air Reduction Flashback-proof gas burner
US2745476A (en) * 1952-12-04 1956-05-15 Air Reduction Flashback-proof gas burner and mixer
DE1442600A1 (de) * 1965-10-15 1969-08-28 Bergwerksverband Gmbh Anstroemboden fuer Wirbelbetten
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
DE4026198A1 (de) * 1990-08-18 1992-02-27 Vepa Ag Vorrichtung zum aufbringen eines fluessigkeitsfilmes auf eine warenbahn
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3668079B2 (ja) 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP4753460B2 (ja) 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
KR20030090186A (ko) * 2002-05-21 2003-11-28 삼성전자주식회사 반도체 제조용 화학기상증착장치
JP4454964B2 (ja) 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7250373B2 (en) 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
JP2006299294A (ja) 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置
KR20080013568A (ko) * 2006-08-09 2008-02-13 주식회사 아이피에스 다중소스 분사 샤워헤드
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20110120651A1 (en) 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001016396A1 (en) * 1999-08-31 2001-03-08 Tokyo Electron Limited Film deposition apparatus and method
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法
KR20040045913A (ko) * 2001-10-22 2004-06-02 어플라이드 머티어리얼즈 인코포레이티드 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
KR20030094466A (ko) * 2002-06-04 2003-12-12 주식회사일진 멀티포트형 광모듈

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140019812A (ko) * 2011-04-11 2014-02-17 어플라이드 머티어리얼스, 인코포레이티드 가스 분배판 표면들을 개장하기 위한 방법 및 장치
KR20140020092A (ko) * 2012-08-08 2014-02-18 주식회사 미코 샤워 헤드 및 이를 구비하는 식각 장치
KR20190133539A (ko) * 2018-05-23 2019-12-03 세메스 주식회사 기판 처리 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
US8876024B2 (en) 2014-11-04
CN101911262A (zh) 2010-12-08
CN101911262B (zh) 2016-06-22
WO2009089245A3 (en) 2009-09-11
US9570275B2 (en) 2017-02-14
US20150053794A1 (en) 2015-02-26
KR101598516B1 (ko) 2016-02-29
CN106024618B (zh) 2019-11-19
CN106024618A (zh) 2016-10-12
TW200937565A (en) 2009-09-01
WO2009089245A2 (en) 2009-07-16
US20090179085A1 (en) 2009-07-16
TWI490966B (zh) 2015-07-01

Similar Documents

Publication Publication Date Title
KR20100105764A (ko) 가열식 샤워헤드 조립체
JP5875864B2 (ja) 統合型流量平衡器と改良されたコンダクタンスとを備える下部ライナ
KR101062462B1 (ko) 샤워헤드 및 이를 포함하는 화학기상증착장치
JP3181490U (ja) 原子層堆積チャンバ及び構成部品
TWI502671B (zh) 用於基板處理噴灑頭之可重置多區氣體輸送設備
JP5119297B2 (ja) 基板処理装置
JP5668925B2 (ja) シャワーヘッドおよびこれを含む基板処理装置、並びにシャワーヘッドを用いてプラズマを供給する方法
TWI445078B (zh) 噴氣頭絕緣器及蝕刻室襯墊
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
US20110006038A1 (en) Plasma processing chamber with enhanced gas delivery
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR20190056021A (ko) 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
JP4021864B2 (ja) ウエハー用静電チャック
JP2021002642A (ja) 基板処理装置
KR101585924B1 (ko) 탄화규소 써멀 화학기상증착장치의 가스반응로
KR100737311B1 (ko) 반도체 제조장치
KR100686724B1 (ko) 화학기상증착장치
KR20080025509A (ko) 반도체 제조장치
TW202030769A (zh) 基板處理裝置
KR100521373B1 (ko) 냉각 장치 및 반도체 소자 제조 장치
TWM632973U (zh) 基板處理設備
TW202139324A (zh) 用以管理不均勻性的晶圓平面下方之非對稱沖洗塊
TW202032053A (zh) 進氣裝置、氣體反應系統與其清洗方法
KR20220153634A (ko) 고 전도도 프로세스 키트
KR20240093865A (ko) 라디칼 종 전달을 위한 구멍 크기를 갖는 샤워헤드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant