KR20090127067A - 반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법 - Google Patents

반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법 Download PDF

Info

Publication number
KR20090127067A
KR20090127067A KR1020090048358A KR20090048358A KR20090127067A KR 20090127067 A KR20090127067 A KR 20090127067A KR 1020090048358 A KR1020090048358 A KR 1020090048358A KR 20090048358 A KR20090048358 A KR 20090048358A KR 20090127067 A KR20090127067 A KR 20090127067A
Authority
KR
South Korea
Prior art keywords
gas stream
acetylene
acetylene gas
pretreatment module
heat exchanger
Prior art date
Application number
KR1020090048358A
Other languages
English (en)
Other versions
KR100986503B1 (ko
Inventor
기šœ 수
찰스 메릴
스콧 스토다드
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20090127067A publication Critical patent/KR20090127067A/ko
Application granted granted Critical
Publication of KR100986503B1 publication Critical patent/KR100986503B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

아세틸렌이 용기와 같은 아세틸렌 공급원의 아세틸렌에 존재할 수 있는 약간의 잔류 저장용매를 제거하기 위하여 처리된다. 이러한 처리는 증착 챔버 또는 아세틸렌이 반응물인 다른 반응기에 아세틸렌을 공급하기에 앞서 수행될 수 있다. 처리 후, 얼마나 많은 양의 아세틸렌이 아세틸렌 공급원에서 배출되었는지에 관계 없이, 아세틸렌 기체 스트림은 비교적 일정한 저장용매 농도를 가진다. 상기 처리는 특정 온도에서 기체 흐름으로부터 저장용매를 응축시키고 기체 흐름에서 저장용매를 분리하는 것을 포함할 수 있다.

Description

반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법 {METHOD FOR PURIFYING ACETYLENE GAS FOR USE IN SEMICONDUCTOR PROCESSES}
본 발명은 전자 디바이스(electronic device) 및 그에 관련된 제작 공정에 관한 것이다. 더 구체적으로, 본 발명은 반도체 공정에서 아세틸렌 기체를 정제하고, 증착 챔버(chamber)에 전달되는 아세틸렌 기체 스트림(stream)에서 실질적으로 일정한, 아세톤과 같은 충전(paking) 또는 저장(storage)용매의 잔류 증기 농도를 달성하기 위한 기술에 관한 것이다.
아세틸렌은 15 psig보다 큰 압력으로 가압되는 경우 폭발성인 것으로 알려져 있다. 따라서 아세틸렌의 저장과 수송은 도전 과제로 남아있다. 이러한 과제를 극복하기 위한 한 방법은, 다공성 물질로 채워진 금속 실린더에 담긴 아세톤에 아세틸렌을 용해시키는 것이다. 아세틸렌은 아세톤에 매우 용해성이기 때문에, 통상적으로 이러한 목적에 아세톤이 사용된다. 약 15℃의 온도와 대기압에서 1부피의 액체 아세톤은 25부피의 기체 아세틸렌을 흡수할 수 있고, 아세틸렌이 추가적으로 받는 1기압의 압력마다 25부피의 아세틸렌을 추가로 계속하여 흡수할 것이다 (The Encyclopedia Britannica: A Dictionary of Arts, Sciences, Literature and General Information, Hugh Chisholm, University Press 1910). 또한 아세톤 용액에서 회수된 아세틸렌 기체 스트림은 상당한 양의 아세톤 증기를 가지고 있다.
결국, 반도체 가공에서 사용하기에 앞서 아세틸렌 기체를 처리하는 개선된 방법이 필요하다.
아세틸렌 기체 스트림에서 아세톤 또는 다른 아세틸렌 저장용매의 농도는 실질적으로 저장 실린더의 아세틸렌 대 아세톤 비에 의존하여 변한다. 전형적으로, 아세틸렌 기체 스트림의 아세톤 함량은 실린더로부터 더 많은 아세틸렌이 사용될수록 증가하는 경향이 있다. 이는 아세톤, 특히 아세틸렌에서 아세톤의 상대적인 양이 결과 생성물의 품질에 영향을 미치는 공정에서 아세틸렌을 사용할 경우 특별한 어려움을 나타낸다. 본 발명의 발명자들은 전구물질로서 아세틸렌을 탱크로부터 증착 챔버에 제공하는 것은, 증착 공정 동안 탱크로부터 더 많은 아세틸렌이 소모됨에 따라 큰 문제를 일으킴을 관찰했다.
본 발명은 증착 공정에 불리한 영향을 미치지 않으면서, 아세틸렌 기체 스트림을 증착 챔버에 공급하기에 앞서 처리하는 방법을 제공하여 이러한 요구를 다룬다. 더 명확히 말하면, 본 발명의 일부 구체예는 아세틸렌 기체 스트림에서 실질적으로 일정한 잔류 저장용매 농도를 제공한다. 어느 구체예에서, 이는 특정 온도에서 아세틸렌 기체 스트림의 잔류 저장용매 증기를 응축시키고; 이렇게 응축된 아세틸렌 저장용매를 기체 스트림으로부터 분리하여 달성된다.
한 양태에서, 본 발명은 전자 디바이스를 제작하는 동안, 반도체 기판에 탄소 함유 물질(예를 들어, 비교적 높은 탄소함량의 물질) 층(layer)을 형성시키는 데 아세틸렌 기체 스트림을 사용하기에 앞서 처리하는 방법에 관한다. 이 방법은 아세틸렌 공급원(source)으로부터 아세틸렌 전처리 모듈(pre-processing module)의 유입구로 아세틸렌 기체 스트림을 전달하는 것을 포함하는데, 상기 공급원은 아세틸렌이 용해될 수 있는 저장용매를 포함한다. 저장용매는 아세틸렌 저장소로부터 아세틸렌이 배출되는 동안 증발할 수 있다. 따라서 아세틸렌 기체 스트림은 증기 형태의 저장용매를 약간 포함할 수 있다. 상기 방법은 또한 전처리 모듈을 나가는 아세틸렌 기체 스트림의 감소된, 그리고 특정 구체예에서 실질적으로 일정한, 저장용매 농도를 제공하도록, 전처리 모듈에서 아세틸렌 기체 스트림으로부터 저장용매를 응축시키는 것을 포함한다. 이후 전처리 모듈을 떠나는 아세틸렌 기체는, 아세틸렌 기체가 고 탄소함량 물질의 전구물질로서 제공되는 증착 챔버로 전달될 수 있다. 특정 구체예에서, 전처리 모듈을 떠나는 아세틸렌 기체 스트림의 저장용매 농도는 0.4 부피% 미만이다.
고 탄소함량 물질은 비정질 탄소를 포함할 수 있고, 반도체 기판에 애쉬어블 하드 마스크(ashable hard mask)를 형성할 수 있다. 아세틸렌 기체 스트림을 아세틸렌 전처리 모듈의 유입구로 전달하는 것은 15 psig보다 낮은 압력에서 수행될 수 있다. 많은 구체예에서, 아세틸렌 공급원에서 아세틸렌이 용해되는 저장용매는 아세톤이다.
전처리 모듈은 저장용매 제거를 위한 다양한 요소(element)를 포함할 수 있고, 아세틸렌에서 일정하지만 감소된 저장용매 농도를 가지는 변형된 기체 스트림을 제공할 수 있다. 요소 중에서 전처리 모듈에 포함될 수 있는 것은 열교환기와 액체 트랩(trap)이다. 게다가 특정 구체예에서, 열교환기는 냉각제(coolant)로 채 워진 배스(bath)에 잠길 수 있다. 전형적인 적용예에서, 열교환기는 100 제곱인치 내지 1000 제곱인치의 표면적을 가질 것이다. 냉각제는 약 -35℃ 이하의 온도에서 유지될 수 있다. 트랩은 아세틸렌 기체 스트림이 통과할 다수의 구불구불한 통로를 가지는 삽입물(insert)을 포함할 수 있다.
아세틸렌 기체 스트림은 고 탄소함량 물질의 층이 증착되는 동안 최대 약 20 L/min로 흐를 수 있다. 아세톤 농도가 감소된 아세틸렌 기체 스트림은 용매 제거 후 가열될 수 있다. 예를 들면, 기체 스트림이 증착 챔버에 전달되기 전에 약 10 내지 40℃로 가열될 수 있다. 상기 방법은 용기에 있는 아세틸렌의 약 50% 이상 또는 약 75% 이상을 전처리 모듈의 유입구로 전달하는 것을 허용할 수 있다. 아세틸렌 기체 스트림으로부터 저장용매를 제거하지 않으면서, 아세틸렌 기체 스트림의 저장용매 농도가 실질적으로 변할 수 있고, 일부 적용예에서 아세틸렌 공급원은 단지 비교적 작은 분율의 공급원 아세틸렌이 소비될 때까지만 사용가능할 수 있다. 이 시점 이후에는 저장용매 농도가 지나치게 높고, 증착된 막의 품질이 나쁘다.
특정 구체예에 따르면, 반도체 기판에 증착되는 고 탄소함량 물질의 층의 증착속도는 아세틸렌 기체 스트림이 단일 용기로부터 공급되는 기간에 걸쳐 약 2% 미만에서 변할 수 있다. 고 탄소함량 물질의 층은 저주파(LF) 플라즈마 발생기(generator) 및 고주파(HF) 플라즈마 발생기를 포함하는 플라즈마 화학기상증착(Plasma Enhanced Chemical Vapor Deposition, PECVD)을 사용하여 반도체 기판에 증착될 수 있다.
다른 양태에서, 본 발명은 전처리 모듈 장치에 관련된다. 전처리 모듈은 아 세틸렌 공급원을 연결하기에 적합한 커넥터(connector)를 가지는 유입구, 열교환기, 아세틸렌 기체 스트림으로부터 응축된 액체를 모으기 위하여 배치된 트랩, 그리고 증착 챔버에 적합한 커넥터를 가지는 유출구를 포함할 수 있다. 열교환기는 100 제곱인치 내지 1000 제곱인치의 표면적을 가질 수 있고, 제1코일과 제2코일을 포함할 수 있는데, 유입구로부터 오는 아세틸렌 기체 스트림이 제1코일에 들어간 다음, 트랩을 통과하여 유출구에 연결된 제2코일로 향한다. 트랩은 저장용매의 응축이 원활한 동안 아세틸렌 기체 스트림이 통과할 하나 이상의 구불구불한 통로를 포함할 수 있다. 전처리 모듈은 내부에 열교환기와 트랩을 포함하는 배스를 포함할 수도 있다.
이들을 비롯한 특징과 장점을 관련 도면을 참조하여 아래에서 더 자세히 기술할 것이다.
본 발명은 동반하는 도면과 함께 다음의 상세한 설명에 의하여 쉽게 이해될 것이고, 도면에서 같은 참조번호는 같은 구조 요소를 나타낸다:
도 1은 본 발명에 따라 애쉬어블 하드 마스크를 형성하는 방법에 대한 일반적인 공정 흐름에서 중요 단계를 도해하는 흐름도(flowchart)이다.
도 2는 본 발명에 따라 아세틸렌 기체 스트림을 처리하는 방법에 대한 일반적인 공정 흐름에서 중요 단계를 도해하는 흐름도이다.
도 3은 본 발명을 실시하도록 갖추어진 전처리 모듈과 다른 관련 장치들을 나타내는 간단한 블록선도(block diagram)를 나타낸다.
도 4는 본 발명에 따라 응축된 저장용매를 수집하고 처리하는 트랩의 블록선 도를 나타낸다.
도 5는 실린더 압력의 함수로서, 증착 챔버에 들어가는 아세틸렌 기체 스트림의 아세톤 농도의 플롯이고, 여기서 실선은 전처리 모듈에서 처리되지 않은 아세틸렌 기체 스트림의 아세톤 농도를 나타내고, 점선은 본 발명에 따라 전처리 모듈에서 처리된 아세틸렌 기체 스트림의 아세톤 농도를 나타낸다.
도 6은 실린더에 잔류하는 아세틸렌 양의 함수로서, 탄소함량 물질의 층 두께의 플롯이고, 여기서 실선인 추세선은 전처리 모듈에서 처리되지 않은 아세틸렌 기체 스트림의 아세톤 농도를 나타내고, 점선인 추세선은 본 발명에 따라 전처리 모듈에서 처리된 아세틸렌 기체 스트림의 아세톤 농도를 나타낸다.
도 7은 고 탄소함량 물질의 층을 반도체 기판에 증착시키도록 설비된 PECVD 반응기를 나타내는 블록선도이다.
이제 본 발명의 특정 구체예에 대하여 자세히 언급할 것이다. 이러한 구체예의 실례는 동반한 도면에서 도해된다. 본 발명이 이러한 특정 구체예에 관련하여 기술될 것이지만, 본 발명을 한 구체예로 한정하려고 의도하지 않음이 이해될 것이다. 반면, 첨부한 청구 범위에 의하여 규정된 바와 같이 본 발명의 사상과 범위 내에 포함된 대안, 변경 및 대응물(equivalents)을 포함하려고 의도한다. 다음에 기술한 것에서, 본 발명에 대한 완전한 이해를 돕기 위하여 많은 구체적인 세부사항들이 제시될 것이다. 본 발명은 이러한 구체적인 세부사항의 일부 또는 전부가 없이 실행될 수 있다. 다른 예에서, 공지된 공정 조업은 본 발명을 불필요하게 모호 하게 하지 않기 위하여 자세히 기술되지 않는다.
본 명세서에서 나열된 많은 공정 파라미터들은 애쉬어블 하드 마스크를 300 ㎜ 웨이퍼에 증착시키기 위한 네 개의 스테이션(station)을 가지는 Novellus VectorTM모듈에 해당하는 것이다. 그러나 본 발명은 반도체 가공을 위하여 아세틸렌 기체 스트림을 사용하는 임의의 반도체 증착 챔버에 적용된다. 당업자는 공정 파라미터가 증착 챔버 부피, 웨이퍼 크기 및 다른 인자에 기초하여 정해질 수 있다는 것을 쉽게 인지할 것이다. 예를 들어, LF 및 HF 발생기의 출력은 전형적으로 웨이퍼의 증착 표면적에 직접 비례한다. 300 ㎜ 웨이퍼에 사용하는 출력은 일반적으로 200 ㎜ 웨이퍼에 사용하는 출력보다 2.25배 더 크다. 유사하게, 유량(flow rate)은 증착 챔버의 자유부피(free volume)에 의존하고, 상기 자유 부피는 네 개의 Novellus VectorTM 증착 챔버 각각에 대하여 195L이다.
도 1은 본 발명의 특정 구체예에 따라 애쉬어블 하드 마스크를 형성하는 일반적인 공정 흐름 단계를 도해한다. 본 발명이 애쉬어블 하드 마스크 증착 공정이나 반도체 제작 적용에 한정되는 것이 아니라는 것을 이해해야 한다. 설명된 구체예에서, 방법(100)은 증착 챔버에 반도체 기판을 제공하여 시작한다 (블록(102)). 예를 들어, 반도체 기판은 Novellus VectorTM 모듈에 적합한 300-㎜ 반도체 웨이퍼일 수 있다. 이후 아세틸렌을 포함하는 전구물질 공정 기체가 챔버에 도입된다 (블록(104)). 증착 챔버 크기와 다른 공정 파라미터에 따라, 아세틸렌의 유량이 증착 공정 동안 약 3,000 - 10,000 sccm일 수 있다. 한 구체예에서, 아세틸렌의 유량이 약 5,000 - 8,000 sccm일 수 있다. 챔버 세척과 같은 다른 반도체 가공 단계는 탄소 함유 전구물질을 포함하지 않을 수 있다. 공정 기체에는 메탄, 에틸렌, 프로필렌, 부탄, 사이클로헥산, 벤젠과 톨루엔 등과 같은 다른 탄소 함유 전구물질 또한 포함될 수 있다.
운반기체(carrier gas)가 전구물질 희석에 사용될 수 있다. 운반기체에는 헬륨, 아르곤, 질소, 수소, 또는 이들의 조합과 같이 반도체 가공에서 사용되는 임의의 적절한 운반기체가 포함될 수 있다. 전체 운반기체 유량은 증착 챔버 크기 및 다른 공정 파라미터에 의존할 수 있고, 약 500 내지 10,000 sccm 범위일 수 있다. 특정 구체예에서 질소 및 헬륨이 약 500 - 5,000 sccm 및 약 300 - 3,000 sccm 범위의 상응하는 유량을 가지는 운반기체로서 사용된다. 반도체 가공의 다른 단계는 상이한 처리 기체와 상이한 유량을 포함할 수 있다.
설명된 구체예에서, 이후 애쉬어블 하드 마스크가 플라즈마 화학기상증착(PECVD) 또는 다른 증착 공정으로 반도체 기판에 증착된다 (블록(106)). 플라즈마는 이중 주파수(dual frequency) 플라즈마 발생 공정을 사용하여 발생될 수 있다. 예를 들어, 증착 공정 동안 저주파(LF) 발생기는 약 50 - 400 kHz에서 약 200 - 1000 W를 제공할 수 있고, 반면에 고주파(HF) 발생기는 약 2 - 60 MHz에서 약 500 - 2,000 W를 제공할 수 있다. 적절한 공정 장치에 대한 추가 설명은 도 8과 관련하여 제공된다. 증착 공정은 기판 온도가 약 100 내지 500℃일 때 수행될 수 있다. 증착 챔버의 압력은 약 2 - 15 Torr로 유지될 수 있다. 애쉬어블 하드 마스크 증착을 위한 공정 조건의 한 예가 표 1에 요약되어 있다. 증착은 원하는 두께의 막 이 증착될 때까지 지속된다. 다양한 구체예에 따르면, 약 1,000 내지 9,000 옹스트롬이 증착된다.
파라미터 전형적인 공정 범위
C2H2 유량 3,000 - 10,000 sccm
N2 유량 500 - 5,000 sccm
He 유량 300 - 3,000 sccm
주파수에서 LF 출력 50 - 400 kHz에서 200 - 1000 W
주파수에서 HF 출력 2 - 60 MHz에서 500 - 2,000 W
압력 2 - 15 Torr
온도 150 - 600℃
도 2는 특정 구체예에 따라 아세틸렌 기체 스트림을 처리하는 방법에 대한 일반적인 공정 흐름의 일부 단계를 도해하는 흐름도이다. 공정은 아세틸렌 공급원(202) 제공으로 시작된다. 예로서, 보통 반도체 가공을 위한 아세틸렌이 (표준 온도 및 압력에서) 200 - 500 세제곱피트의 아세틸렌을 저장하는 실린더(또한 보틀(bottle)이라고 함)에 공급되고, 여기서 아세틸렌이 아세톤에 용해된다. 또한 아세틸렌은 디메틸포름아미드(DMF)에 용해될 수 있거나 또는 아가마산(agamassan)과 같은 다공성 물질과 함께 금속 실린더에 담길 수 있다. 아세틸렌 제공자는 Dow Chemicals, Air Products, PraxAir, Air Gas 및 다른 공급자들을 포함한다. 아세틸렌은 15 psig보다 높은 압력에서 분해되기 시작할 수 있다. 아세틸렌의 분해에서 상당한 양의 열이 생성될 수 있고, 이는 큰 힘의 폭발을 일으킬 수 있다. 이러한 이유로, 아세틸렌 실린더는 수용 라인(receiving line)의 압력을 15 psig로 제한하는 안전 압력 조정기를 갖추고 있다. 그러나 실린더 내부의 오버헤드(overhead) 압력은 다양한 안전 장치 및 기술을 사용하여 200 psi에 도달하고 초과할 수 있다. 증착 챔버가 보통 저압에서 작동되는 것을 고려하면, 15 psig 이하는 아세틸렌 기체 스트림이 전처리 모듈을 통하여 증착 챔버로 흐르기에 충분히 높은 추진 압력(driving pressure)이다. 예를 들면, Novellus Vector 장치를 사용하는 전형적인 증착 공정은 약 6750 표준 분당 세제곱센티미터(standard cubic centimeters per minute, sccm)의 유량(6.75 L/min)을 필요로 하고, 증착 챔버는 8 Torr로 유지된다. 위에서 논의한 바와 같이, 유량과 챔버 압력은 공정 요건에 따라 변할 수 있다.
도 2로 되돌아가면, 다음 조업은 아세틸렌을 아세틸렌 공급원으로부터 전처리 모듈로 전달하는 것을 포함한다. 아세틸렌을 전처리 모듈로 전달하는 것(204)은 전체 시스템 내부의 압력 차이에 의하여 추진되고, 아세틸렌 공급원의 밸브 및 전처리 모듈과 증착 챔버 사이의 질량 흐름 컨트롤러에 의하여 제어될 수 있다. 전형적으로, 전처리 모듈 안의 압력강하는 크지 않고 아세틸렌 기체 스트림이 통과하는 모든 통로의 길이와 유효 지름에 의존한다. 뿐만 아니라 압력강하는 온도와 아세틸렌 기체 스트림의 조성에 의해 영향을 받을 수 있다. 아세틸렌 공급원의 밸브를 연 후에 아세틸렌 기체 스트림이 전처리 모듈을 채우면, 아세틸렌 기체 스트림은 적어도 두 가지 흐름 형태(regime)를 겪게 된다. 하나는, 예를 들어 증착 챔버 세척 동안과 같은 경우와 같이, 증착 공정 조업이 아세틸렌을 필요로 하지 않고 아세틸렌 기체 스트림이 전처리 모듈 안에 정지하여 잔류하는 경우이다. 다른 형태는 아세틸렌 기체 스트림이 전처리 모듈을 통하여 증착 챔버로 흐르는 경우이다. 방법과 장치는 저장용매 농도가 감소된 아세틸렌 기체 스트림에서, 약 0.4 부피% 이하 또는 약 0.3 부피% 이하의 실질적으로 일정한 아세틸렌 저장용매 농도가, 어느 흐름 형태와도 무관하게 유지되는 방식으로 설계된다.
아세틸렌 기체 스트림이 전처리 모듈을 통하여 흐르는 동안, 아세틸렌 기체 스트림은 특정 온도로 냉각된다 (블록(206)). 이는 아세틸렌 저장용매의 응축과 제거를 용이하게 한다. 전처리 모듈은 필요한 냉각을 달성하기 위한 다양한 수단을 포함할 수 있다. 특정 구체예에서, 아세틸렌 기체 스트림은 냉각 물질과 접촉한 채로 유지되는 열교환기를 통과한다. 다양한 열교환기 유형, 예를 들어 셸 앤드 튜브(shell and tube) 열교환기, 판형(plate) 열교환기, 재생(regenerative) 열교환기, 단열 휠(wheel) 열교환기 등이 냉각에 사용될 수 있다. 특정 구체예에서 두 스파이럴(spiral) 열교환기의 세트가 사용된다. 전처리 모듈의 추가적인 상세한 설명은 도 4와 관련하여 아래에 기술된다.
열교환기는 냉각제를 포함하는 배스에 담가질 수 있다. 특정 구체예에서, 열교환기는 -30℃ 내지 -60℃의 온도로 유지되는 에틸렌 글리콜에 담가진다. 열교환기의 설계와 아세틸렌 기체 스트림의 유량은 열교환기를 떠나는 흐름의 온도가 냉각제의 온도에서 몇 도 이내가 되도록 하는 것이다. 전처리 모듈에 들어갈 때 아세틸렌 기체 스트림은 약 0.5% 내지 5%의 저장용매 증기를 포함할 수 있다. 바람직한 구체예에서, 아세톤이 저장용매이다. 정상조건에서 아세톤의 끓는점이 56℃인 반면에 아세틸렌의 끓는점은 -84℃이다. 아세틸렌 기체 스트림의 온도를 낮추면 응축으로 아세틸렌 기체 스트림으로부터 아세톤 증기를 더 많이 줄일 수 있다. (저장용매 증기 응축 후) 기체 아세틸렌에 잔류하는 아세톤 또는 임의의 다른 저장용매 증기의 농도는 열교환기에서 아세틸렌 기체 스트림의 온도, 흐름에서 아세톤의 초기 농도, 흐름의 유량, 그리고 다른 공정 파라미터에 의존한다. 더 많은 아세톤 또는 다른 저장용매를 흐름에서 제거하기에 저온이 바람직하기는 하지만, 더 많은 아세틸렌이 응축된 액체 아세톤에 용해될 수 있다. 그러므로 존재하는 아세틸렌 기체 스트림에 대한 최적 온도는 원하는 아세톤의 최종 농도, 아세틸렌의 손실 및 전체적인 전처리 모듈 설계에 의존한다.
저장용매의 응축은 전형적으로 열교환기의 내벽에서 일어난다. 열교환기 벽의 표면적은 충분한 열전달과 응축을 제공하기에 충분히 넓다. 응축된 저장용매는 중력작용으로, 그리고 기체 압력에 의하여 열교환기를 통하여 액체 트랩의 바닥으로 진행하는데, 액체 트랩의 바닥에서는 처분 시스템(disposal system)으로 흘러 나가기 전에 응축된 저장용매가 임시로 수집된다. 또한 아세틸렌 기체 스트림은 미스트 배리어(mist barrier)에서 제거될 수 있는 미스트(mist) 형태의 액체 저장용매 방울을 약간 포함한 채로 트랩을 통과한다.
트랩 바닥의 액체 높이가 설정된 최대 높이에 도달하거나 이를 초과할 경우, 높이 센서(level sensor)는 액체가 처분 시스템으로 흘러나가도록 한다 (블록(210) 참조). 바람직한 구체예에서, 높이 센서는 처분 시스템의 배출 밸브(draining valve)를 여는 제어 시스템(control system)에 신호를 보낸다. 그 다음 액체는 중력작용으로 수집 캐니스터(collection canister)로 흘러나가는데, 수집 캐니스터는 안전상의 이유로 낮은 오버헤드 기체 압력으로 유지된다. 응축된 저장용매는 매우 용해성인 아세틸렌을 상당한 양으로 함유할 수 있다. 이 아세틸렌의 일부는 저장용매로부터 증발될 수 있고, 저장용매는 저감 유닛(abatement unit)으로 빠져나갈 수 있다. 이후 저장용매는 처분된다 (블록(212) 참조). 다양한 방법들을 저장용매 저감에 사용할 수 있다. 대안으로, 액체는 연소에 의하여 소각될 수도 있다.
이후 저장용매 농도가 감소된 아세틸렌 기체 스트림은, 증착 공정에 사용하는 질량 흐름 컨트롤러(controller)의 작동에 적절한 수준으로 흐름의 온도를 증가시키기 위하여, 가열기를 통과한다. 전처리 모듈의 열교환기와 트랩 부분을 떠나는 흐름의 온도는 냉각제의 온도에 가까울 수 있다. 저장용매가 아세톤인 한 구체예에서, 열교환 유체는 약 -30℃ 내지 -60℃에서 유지될 수 있다. 한 구체예에서, 아세틸렌과 잔류 아세톤의 기체 흐름은 약 10℃ 내지 40℃로 가열된다. 게다가, 가열기는 특히 흐름이 가열기에 머물러 있고, 증착 공정 조업이 아세틸렌을 필요로 하지 않을 경우에, 저장용매 농도가 감소된 아세틸렌 기체 스트림의 과열을 피하도록 설계될 수 있다.
도 2로 되돌아가면, 이후 기체 흐름이 질량 흐름 컨트롤러를 통과하여 증착 챔버로 흐른다. 증착 공정(블록 (218))에서는, 애쉬어블 마스크 프리코트(pre-coat) 및 애쉬어블 마스크 증착과 같은 특정 조업 동안에만, 저장용매 농도가 감소된 아세틸렌 기체 스트림을 제어된 유량으로 전달하는 것이 필요하다. 전달 속도와 시기는 질량 흐름 컨트롤러를 사용하여 제어된다.
마지막으로, 아세틸렌 기체 스트림은 고 탄소함량 물질이 기판에 증착되는 증착 챔버로 전달된다. 일반적으로 고 탄소함량 물질은 적어도 약 25 원자 퍼센트의 탄소, 흔히 적어도 약 50 원자 퍼센트의 탄소를 함유하는 물질이다. 유사 다이아몬드(diamond-like) 및 흑연 막(flim)에 대해서 탄소는 막에서 최대 100 원자 퍼센트를 차지할 수 있다.
한 구체예에서, 애쉬어블 하드 마스크를 증착하는 공정은 다음의 조업을 포함할 수 있다: 언더코트(undercoat) 증착, 애쉬어블 하드 마스크 프리코트, 애쉬어블 하드 마스크 증착, 고압 챔버 세척, 그리고 저압 챔버 세척이다. 아세틸렌 기체 질량 흐름 컨트롤러는, 전체 공정에서 중요한 부분일 수 있는 프리코트 또는 애쉬어블 하드 마스크 증착을 포함하지 않는 남은 조업 동안에는 닫혀 있다. 그러나 아세틸렌 공급원의 밸브는 이 기간 동안 열린 채로 있을 수 있고, 아세틸렌 기체 스트림은 아세틸렌 공급원에 의하여 가압된 채로 전처리 모듈에 잔류한다.
도 3은 전처리 모듈(302) 및 아세틸렌 공급원(304), 그에 관련된 압력 조정기(306), 증착 챔버(332)와 같은 다른 관련 장치들을 나타내는 간단한 블록선도를 나타낸다. 아세틸렌 공급원(304)은 저장용매에 용해된 아세틸렌을 포함한다. 언급한 바와 같이, 저장용매는 아세톤이거나 아세틸렌이 용해될 수 있는 다른 액체일 수 있다. 전형적으로 아세틸렌 공급원(304)은 200 psi보다 큰 압력으로 가압된다. 아세틸렌 공급원은 아세틸렌을 충분히 공급하는 설비 (예를 들어, 설비에 부설된 아세틸렌 공급원) 또는 탱크일 수 있다. 바람직한 구체예에서, 아세틸렌 공급원(304)은 충전 물질과 용매를 포함한 1 세제곱피트 아세틸렌 실린더와 이에 덧붙인 안전 장치(safety relief devices)이다. 보통 압축 기체 공급에 일반적으로 사용되는 표준 크기의 속이 빈 강철 실린더가 아세틸렌에도 사용된다. 구체적인 예에서, 약 27 lbs의 아세틸렌과 약 49 lbs의 아세톤을 포함하고 다공성 칼슘 실리케이트로 채워진 390 세제곱피트의 아세틸렌 실린더를 사용할 수 있다. 실린더는 250 psi의 사용 압력(service pressure)과 70℉에서 사용될 수 있다.
아세틸렌 기체 스트림이 아세틸렌 공급원(304)에서 배출될 경우, 아세틸렌 기체 스트림은 먼저 실린더의 사용 압력이 15 psig보다 낮은 안전 수준으로 감소되는 압력 조정기(306)를 통과한다. 이후 아세틸렌은 가공 라인(processing line)(308)을 통과하여 전처리 모듈(302)로 향한다. 가공 라인(308)에 적합한 물질은 강철, 연철(wrought iron)이다. 일반적으로 주철(cast iron), 비합금 구리, 은, 또는 수은은 폭발성 아세틸라이드(acetylide) 형성 가능성으로 인하여 기피된다. 바람직한 구체예에서 전처리 모듈은 액체 배스(309) 및 배스(309)로 향하는 아세틸렌 기체 스트림을 위한 유입구로서 제공되는 가공 라인(308)을 포함한다. 가공 라인(308)의 아세틸렌 기체 스트림은 아세틸렌 이외에도 약간의 저장용매 증기를 포함할 수 있다. 가공 라인의 아세톤 농도는 아세틸렌 실린더의 현재 사용 압력에 따라 전형적으로 약 0.5% 내지 5% 범위이다. 라인(308)의 아세틸렌 기체 스트림 온도는 아세틸렌 실린더의 저장 조건, 증발 속도 및 다른 요인들에 의존한다.
한 구체예에서, 액체 배스(309)는 냉각제(310)를 포함할 수 있다. 예를 들어, 냉각제는 에틸렌 글리콜과 물을 포함할 수 있다. 그러나 다른 냉각제들 또한 사용될 수 있다. 예를 들어, Dynalene HF-LO(지방족 탄화수소), Dynalene MV(탄화수소 혼합물), Syltherm XLT를 사용할 수 있다. 또한 아세틸렌 흐름 경로에서 하나 이상의 열교환기가 액체 배스(309)에 제공될 수 있다. 예를 들어 설명된 구체예에서, 한 열교환기(311)는 가공 라인(308)에 연결되어 있고, 다른 열교환기(324)는 출구 라인(326)에 연결되어 있다. 그러나, 배스는 임의 개수의 열교환기를 포함할 수 있다. 열교환기의 개수와 설계는 라인(308)의 유량, 출구 라인(326)에서 원하는 저장용매 농도, 액체 배스(309)의 다양한 설계 파라미터 및 다른 요인에 의존한다.
아세틸렌 기체 스트림은 열교환기(311)에서 초기에 냉각된다. 공정 요건에 따라 아세틸렌 기체 스트림은 냉각제 온도에서 몇 도 이내인 온도로 냉각될 수 있다. 다양한 유형의 열교환기를 사용할 수 있다. 특정 구체예에서, 코일형 열교환기 설계는 316 SS와 같이 약 0.5 지름을 가지는 스테인리스강 관, 약 100 내지 1000 제곱인치의 표면적과 함께 사용된다. 특정 구체예에서, 열교환기의 표면적은 약 200 내지 600 제곱인치이다. 아세틸렌 기체 스트림으로부터 저장용매의 초기 응축이 열교환기(311)의 벽에서 일어난다. 위에서 기재한 바와 같이, 아세톤은 아세틸렌보다 상당히 높은 끓는점을 가진다. 그러므로, 아세틸렌보다 상당히 더 많은 아세톤이 열교환기(311)의 벽에서 응축될 것이다. 그러나 약간의 아세틸렌이 전처리 모듈(302) 전체에 걸쳐 존재하는 액체 아세톤에 용해될 수 있다. 대부분의 아세톤을 제거하기 위하여 아세틸렌 기체 스트림을 매우 낮은 온도로 냉각하는 것이 바람직하기는 하지만, 아세틸렌이 액체 흐름으로 손실되는 것을 최소화하기 위하여 최저 온도가 존재할 수 있다. 한 구체예에서, 아세틸렌 기체 스트림은 전처리 모듈(302) 안에서 -30℃ 내지 -60℃로 냉각된다.
응축된 저장용매와 아세틸렌 기체 스트림은 열교환기(311)에서 액체 트랩(312)으로 진행한다. 응축된 저장용매의 흐름은 중력에 의하여 추진되고, 수반하는 기체 흐름은 전체 시스템의 압력 차이를 바탕으로 한다. 트랩(312)은 아세틸렌 기체 스트림으로부터 응축된 저장용매를 분리하고, 트랩 바닥에서 응축된 저장용매를 수집하도록 설계된다. 트랩 바닥에서 수집된 액체는 주로 응축된 저장용매이지만, 용해된 아세틸렌을 약간 포함할 수도 있다. 액체는 아세틸렌 기체 스트림에 대하여 배리어 구실을 하고, 아세틸렌 기체가 수집 캐니스터(320)로 빠져나가는 것을 방지한다. 수집된 액체는 출구 라인(326)으로 빠져나가도록 허용된다. 따라서, 액체 높이는 트랩 안의 특정 최소 및 최대 높이 사이에서 유지되어야 한다. 특정 구체예에서, 높이 센서(316)가 액체 높이를 유지하기 위하여 사용된다. 대안으로, 단순한 기계적 액체 트랩이 수집 캐니스터(320)로 향하는 라인에서 사용될 수 있다. 예를 들어, 단순한 U-, S-, 또는 J-형 파이프 트랩이 이러한 라인에 설치될 수 있다. 특정 구체예에서, 유해 환경에 적합하고 적외선이나 라디오파 범위의 파장을 사용하는 레이더 센서가 사용될 수 있다. 특정 구체예에서, 6.3 GHz에서 센서를 작동시켜 유체의 높이 추적에 사용한다. 이후 높이 센서는 신호를 프로그램 가능 로직 컨트롤러(progra㎜able logic controller, PLC)(338)에 보낸다. 트랩의 작동에 대한 더 자세한 설명이 도 5와 관련하여 기술된다.
원하는 배스(309) 온도에 도달하고 이를 유지하기 위하여, 냉각제(310)가 급냉기(chiller)(314)를 통하여 순환된다. 어떤 유형의 급냉기든지 사용될 수 있다. 바람직한 구체예에서, 급냉기(314)는 역-랜킨 증기-압축 냉동(reverse-Rankine vapor-compression refrigeration)과 같은 순환 냉동 원리를 사용한다. 급냉기(314)는 전형적으로 별도의 설비에 위치하고 배스(309)와 급냉기(314) 사이에서 냉각제(310)를 순환시키는 펌프를 포함한다. 또한 배스는 배스(309)에서 냉각제를 추가적으로 강제 대류(forced convection)시키는 교반기(334)를 포함할 수 있다. 교반기(334)는 모터 및 배스까지 연장된 모터 축(shaft) 말단의 프로펠러-유형 혼합기를 포함할 수 있다. 모터는 전기식 또는 공압식(pneumatic)과 같은 임의의 유형일 수 있다. 교반기(334)는 열교환기(311 및 324)에 가까이에 위치되어 열교환기의 외부 표면 주위에서 충분한 냉각제 흐름을 보장할 수 있다.
트랩(312)에서 나온 기체 흐름은 유사하게 배스(309)의 냉각제(310)에 잠긴 다른 열교환기(324)로 직접 향할 수 있다. 다른 열교환기(324)를 사용하는 것이 바람직한지는 냉각제 온도, 아세틸렌 기체 스트림의 유량 및 전처리 모듈(302)에 존재하는 모든 열교환기들의 설계에 의존할 수 있다. 바람직한 구체예에서, 열교환기(324)는 제1열교환기(311)와 동등하다. 열교환기(324)는 아세틸렌 기체 스트림의 흐름에 대하여 트랩(312) 이후와 출구 라인(326) 이전에 설치된다. 열교환기(324)는 아세틸렌 기체 스트림을 추가로 냉각시키고, 흐름으로부터 저장용매를 추가로 응축시킨다. 응축된 액체는 중력에 의하여, 아세틸렌 기체 스트림의 흐름과 반대로 트랩으로 되돌아가 열교환기(324)로 흘러 들어간다. 따라서 바람직한 구체예에서 사용하는 배관의 내부 크기는 이러한 역흐름(reverse flow)을 수용하기에 충분해야 한다. 응축된 액체의 일부는 기체 흐름에 미스트로서 존재할 수 있다. 미스트 트랩은 아세틸렌 기체 스트림의 흐름을 따라, 출구 라인(326)이나 그 앞에서 통합될 수 있다.
하나 이상의 열교환기에서 수집된 액체는 이후 트랩에 축적된다. 액체가 일정 높이에 다다랐을 때, 수집 캐니스터(320)로 향하는 배출 밸브(318)가 열리고 액체가 중력에 의하여 수집 캐니스터(320)로 흐른다. 액체 높이가, 역시 높이 센서(316)에 의하여 제어되는 특정한 최소 액체 높이에 이르거나 그 아래로 떨어질 때, 밸브가 닫힌다. 수집 캐니스터(320)는 15 psig보다 높은 압력에 도달하는 것을 피하기 위하여 저온과 저압에서 유지된다. 응축된 저장용매는 응축되고 용해된 상당한 양의 아세틸렌을 함유할 수 있다. 배스(309)를 떠나는 액체의 온도는 배스(309) 자체의 온도와 가깝다. 바람직한 구체예에서, 배스(309) 온도는 약 -30℃ 내지 -60℃로 유지된다. 수집 캐니스터(320) 의 액체 온도 상승은 액체의 아세틸렌 증발을 야기할 것이다. 아세틸렌 압력이 15 psig를 초과하는 것을 막기 위하여, 수집 캐니스터(320)는 대략 대기압으로 유지된다. 이후 액체는 저감 유닛(322)으로 수송되거나 증발된다. 액체를 처분하는 다양한 방법이 사용될 수 있다. 바람직한 구체예에서, 저감 유닛(322)은 수집 캐니스터(320)로부터 공급받은 것을 연소시킨다.
이후 아세틸렌 기체 스트림은 출구 라인(326)으로 진행한다. 이 시점에서 저장용매의 농도는 아세틸렌 기체 스트림에서 상당히 감소된다. 명확하게 하기 위하여, 저장용매 농도가 감소된 아세틸렌 기체 스트림은 출구 라인의 흐름을 가리킨다. 출구 라인(326)에서 이러한 스트림의 온도는 냉각제 온도에서 몇 도 이내일 수 있다. 많은 기체 특성들이 온도에 의존하고 질량 흐름 컨트롤러(330)의 조업에 영향을 미칠 수 있으며, 증착 챔버(332)의 증착 공정에 강한 영향을 줄 수 있으므로, 스트림은 먼저 가열기(328)를 통과한다. 여러 유형의 가열기가 사용될 수 있다. 특정 구체예에서, 가열기는 저장용매 농도가 감소된 아세틸렌 기체 스트림의 온도를 약 10℃ 내지 50℃로 유지시킬 수 있다. 특정 구체예에서, 온도는 약 15 내지 30℃로 유지된다.
감소된 저장용매 농도를 가지는 아세틸렌 기체 스트림은 이후 질량 흐름 컨트롤러(MFC)(330)를 통과하여 증착 챔버(332)로 흐른다. MFC(330)는 온도, 조성, 압력, 원하는 유량 등과 같은 저장용매 농도가 감소된 아세틸렌 기체 스트림의 특성에 대한 특정 범위에 관하여 보정될 수 있다. MFC(330)는 오퍼레이터(operator) 또는 외부 시스템에 의하여 입력 신호가 주어지는 폐루프 제어 시스템(closed loop control system)을 갖출 수 있는데, 여기서 입력값은 질량 흐름 센서의 밸브와 비교되고, 따라서 MFC의 밸브가 원하는 유량을 달성하도록 조절된다.
마지막으로, 아세톤 농도가 감소된 아세틸렌 기체 스트림은 증착 챔버(332)로 흐른다. 전처리 모듈은 전형적으로 증착 챔버와 의사소통하도록 설계된다. 이는 인터페이스(interface)에 특별히 설계된 및/또는 선택된 흐름 튜브 (치수(specific size), 형상(geometries) 및 설치방향(orientations)을 포함) 및 증착 챔버에 직접 연결하기 위한 특정 피팅(fitting)을 설비하는 것을 포함할 수 있다. 여러 유형의 피팅이 증착 챔버(332)를 전처리 모듈(302)에 연결시키기 위해 사용될 수 있다. 예를 들어, Swagelok VCR 페이스-실(Face-Seal) 피팅 또는 Swagelok VCR 튜브 피팅이 이러한 상호연결에 사용될 수 있다. 진공 유형 및 저압 기체 연결 유형의 다른 피팅이 사용될 수 있다. 일부 구체예에서, 피팅은 반도체 장비 및 전체 반도체 가공에 호환되도록 특별히 설계될 수 있다. 증착 챔버(332)는 도 8과 관련하여 더 자세히 기술된다. 아세톤 농도가 감소된 아세틸렌 기체 스트림은 전자 디바이스 제작 동안 반도체 기판에 고 탄소함량 물질의 층을 형성하는 데 사용되는 탄소 함유 전구물질로서 사용될 수 있다. 이러한 공정은 증착 챔버(332)에서 수행된다.
도 4는 본 발명의 전형적인 아세틸렌 전처리 모듈에서 사용되는 트랩(400)의 개략도를 나타낸다. 도 4와 관련하여, 트랩(400)은 요소(312)의 예일 수 있다. 트랩(400)은 기체 흐름 유입구 라인(404), 기체 흐름 유출구 라인(414), 및 응축된 액체 유출구(412)를 포함하는 본체(402)를 포함한다. 기체 흐름 유입구 라인(404)과 기체 흐름 유출구 라인(414)은 열교환기 또는 전처리 모듈의 다른 요소에 연결될 수 있다. 아세틸렌 기체 스트림은 유입구 라인(404)을 통하여 트랩(400)으로 들어간다. 상기 흐름은 트랩에 들어가기 전에 이미 냉각되어 있고, 보통 약간의 용해된 아세틸렌을 함유하는 응축된 저장용매와 같은 액체를 약간 포함한다. 액체는 유입구 라인(404)의 벽에서 생길 수 있거나, 미스트, 즉 아세틸렌 기체 스트림에서 부유하는 작은 방울의 형태일 수 있다. 트랩(400)은 아세틸렌 기체 스트림으로부터 액체를 분리하는 것을 돕는 미스트 배리어(406)를 포함할 수 있다. 미스트 배리어(406)는 저장용매에 저항성인 임의의 적절한 물질로 이루어질 수 있고, 아세틸렌은 공정 온도(최대 -80℃)를 견딜 수 있다. 바람직한 구체예에서, 미스트 배리어(406)는 매우 다공성인 알루미늄 블록(즉, 알루미늄 폼)일 수 있다. 미스트 배리어(406)는 아세틸렌 기체 스트림이 통과할 구불구불한 통로를 가질 수 있고, 이러한 통로의 측면에서 액체를 트래핑(trapping)한다. 이후 액체는 트랩(400)의 바닥으로 흐른다. 미스트 배리어(406)의 다공성은 트랩 안에서 액체가 흐르고 아세틸렌 기체 스트림을 막지 않도록 충분히 개방적이어야 한다. 미스트 트랩(406)은 또한 아세틸렌 기체 스트림에 잔류하는 저장용매에 대하여 추가적인 응축 표면을 제공할 수 있다.
나타난 바와 같이, 응축된 액체는 트랩(400) 바닥을 향해 중력방향으로 흐른다. 이후 액체는 응축된 액체 유출구(412)를 통해 제거된다. 도 4를 참조하여 설명된 바와 같이, 액체 제거는 트랩 바닥의 액체 높이(410)에 의존할 수 있다. 액체 높이(410)가 특정한 최대값(410A)에 도달하면, 전처리 모듈의 배출 밸브가 열리고 응축된 액체가 응축된 액체 유출구(412)를 통하여 흘러나간다. 그 다음 액체 높이(410)가 특정한 최소값(410B)에 도달하거나 그 아래로 내려가면, 배출은 멈춘다. 트랩(400) 내부의 액체 높이(410) 모니터링은 액체 높이 센서에 액체 높이를 직접 나타내는 센서 통로(408)로써 수행된다.
그 다음 아세틸렌 기체 스트림은 기체 라인 유출구(414)를 통하여 트랩(400)을 떠난다. 위에서 설명한 바와 같이, 이후 흐름은 추가적인 응축이 일어날 수 있는 다른 열교환기에 들어갈 수 있다. 유출구 기체 라인(414)의 임의의 추가 응축물이 트랩(400) 바닥으로 되돌아간다.
실시예
다음의 실시예는 본 발명의 양태와 장점을 더 설명하기 위하여 제공된다. 이 실시예들은 본 발명의 양태를 예시하고 더 명확하게 나타내기 위하여 제공되고, 결코 본 발명을 제한하려는 의도가 아니다.
도 5는 증착 챔버에 들어가는 아세틸렌 기체 스트림의 아세톤 농도 플롯을 도시한다. 실선은 전처리 시스템을 사용하지 않은 경우의 아세톤 농도를 나타낸다. 위에서 기재한 바와 같이 아세틸렌은 용기에 저장될 수 있는데, 여기서 아세틸렌이 약 250 psi로 가압되고 아세톤에 용해된다. 아세틸렌이 소모됨에 따라, 용기의 압력이 감소한다. 더 많은 아세톤이 더 낮은 압력에서 증발한다. 그 결과, 용기를 떠나는 기체 흐름의 아세톤 농도가 증가한다. 전처리 모듈이 없으면 (실선), 아세틸렌 기체 스트림이 증착 챔버로 직접 수송된다. 실험 결과는 약 200 psi의 새 아세틸렌 용기에 대하여 아세틸렌 기체 스트림의 아세톤 농도가 약 0.29% - 0.32 부피%임을 나타낸다. 그러나, 100 psi로 압력강하시 농도는 1.5 부피%로 증가한다. 부피농도는 50 psi에서 2.5%에 도달하고 더 낮은 농도에서 계속하여 증가한다. 허용가능한 농도를 가지는 아세틸렌 기체 스트림을 제공하는 한 방법은 새 아세틸렌 용기에서 20 질량%의 아세틸렌만을 사용하는 것이다. 그러나, 잔류하는 80%의 아세톤이 낭비되고, 아세톤 농도는 20%의 사용가능한 아세틸렌에 대해서 여전히 높다.
도 5의 점선은 기체 흐름이 본 출원서에 기재된 유형의 전처리 모듈을 통과한 후, 기체 흐름의 아세톤 농도를 나타낸다. 모듈에서 대부분의 아세톤이 기체 흐름으로부터 제거되고, 아세톤 농도는 0.4 부피% 아래에서 실질적으로 일정한 수준으로 유지되었다. 증착 챔버에 들어가는 아세틸렌 기체 스트림의 낮고 일정한 저장용매 농도는 애쉬어블 하드 마스크 증착에 실질적으로 이로울 수 있다. 도 5는 전처리 모듈이 이러한 두 가지 목적 달성을 허용함을 나타낸다. 특정 구체예에서, 저장용매의 농도는 0.05% 변동(fluctuation) 이내에서 약 0.1 부피%로 유지될 수 있 다. 더 일반적으로, 약 0 부피% 내지 0.4 부피% (또는 약 0 부피% 내지 0.1 부피%)의 임의의 저장용매 농도는 증착 챔버에 들어가는 아세틸렌 기체 스트림에서 꾸준하게 유지될 수 있다. 안정한 수준은 약 0.01 부피% 내지 0.2 부피%의 변화에 해당할 수 있다. 이러한 요건은 전형적으로 증착에 의하여 주도된다.
도 6은 용기에 잔류하는 아세틸렌의 함수로서 애쉬어블 하드 마스크 막 두께의 플롯을 도시한다. 아래의 실선은 전처리 모듈을 사용하지 않고 아세틸렌 용기에서 직접 나온 아세틸렌 기체 스트림을 사용하여 증착시킨 막 두께에 상응한다. 플롯은 용기에 잔류하는 아세틸렌의 양에 기초한 막 두께의 실질적인 변화(350 옹스트롬)를 나타낸다. 약 5375 옹스트롬에서 평균 막 두께를 평가하면, 두께 변화는 약 6.5 퍼센트이다. 위쪽의 선은 먼저 전처리 모듈에서 처리된 아세틸렌 기체 스트림을 사용하여 증착시킨 막 두께에 상응한다. 막 두께의 변화는 동일한 아세틸렌 용기 수명에 대하여 단지 약 50 옹스트롬이었다. 약 6125 옹스트롬에서 평균 막 두께를 평가하면, 두께 변화는 약 0.8 퍼센트이다.
플롯에 나타난 변화 결과는 증착속도 변화에서 기인한다. 임의의 특정한 이론으로 제한하지 않고, 증착속도 변화는 아세틸렌 기체 스트림의 아세톤 또는 임의의 다른 저장용매의 양에 의존하는 것으로 보인다. 도 5의 플롯은 기체 흐름의 아세톤 농도가 용기 압력, 즉 이미 용기에서 소모된 아세틸렌 기체 스트림의 양에 따라 변함을 나타낸다. 따라서, 증착 챔버에 들어가 아세틸렌 기체 스트림의 흐름을 통과하는 아세톤의 양 변화는 비교적 일정하게 유지될 수 있다. 이러한 변화는 증착속도와 애쉬어블 하드 마스크 막의 최종 두께에 영향을 미칠 수 있다.
장치
본 발명은 바람직하게는 플라즈마 화학기상증착(PECVD) 반응기에서 실행된다. 이러한 반응기는 다양한 형태를 가질 수 있다. 일반적으로 상기 장치는 하나 이상의 웨이퍼를 수용하고 웨이퍼 가공에 적합한 하나 이상의 챔버 또는 "반응기"(때로는 다중 스테이션을 포함)를 포함할 것이다. 각 챔버는 공정을 위한 하나 이상의 웨이퍼를 수용할 수 있다. 하나 이상의 챔버는 웨이퍼를 정해진 위치 또는 위치들에서 유지시킬 수 있다 (상기 위치에서 움직임, 예를 들어 회전, 진동, 또는 다른 교반이 있거나 없음). 한 구체예에서, 경질 마스크 증착이 일어나는 웨이퍼는 공정 동안 반응기 챔버 안에서 한 스테이션에서 다른 스테이션으로 옮겨진다. 예를 들어, 2000 Å 경질 마스크 막 증착을 위하여, 본 발명에 따라 500 Å 막이 네 개의 스테이션 각각에서 증착될 수 있다. 물론, 완전한 막 증착이 단일 스테이션에서 전체적으로 일어날 수 있거나, 총 막 두께의 임의의 분율로 임의의 개수의 스테이션에서 층에 증착될 수 있다.
공정 동안 각각의 웨이퍼는 받침대(pedestal), 웨이퍼 척(chuck) 및/또는 다른 웨이퍼 고정 장치에 의하여 바른 위치에 고정된다. 웨이퍼가 가열되는 특정 조업을 위하여, 상기 장치가 히팅 플레이트(heating plate)와 같은 가열기를 포함할 수도 있다. 본 발명의 바람직한 구체예에서, 캘리포니아, 산 호세의 Novellus Systems에서 제조된 VectorTM (예를 들어, C23 Vector) 또는 SequelTM (예를 들어, C2 Sequel) 반응기가 본 발명 실행에 사용될 수 있다.
도 7은 본 발명을 실행하기 위해 배치된 다양한 반응기 컴포넌트(component)를 나타내는 간단한 블록선도를 제공한다. 나타나는 바와 같이, 반응기(700)는 공정 챔버(724)를 포함하고, 공정 챔버는 다른 반응기 컴포넌트를 둘러싸며 가열기 블록(720)과 함께 작동하는 샤워헤드(showerhead)(714)를 포함하는 캐패시터(capacitor) 유형 시스템에 의하여 발생된 플라즈마를 포함하도록 제공된다. 매칭 네트워크(matching network)(706)에 연결된 고주파 RF 발생기(702) 및 저주파 RF 발생기(704)는 샤워헤드(714)에 연결된다. 대안으로, 저주파 RF 발생기(704)가 기판(716)에 연결될 수도 있다. 매칭 네트워크(706)에 의하여 공급되는 출력과 주파수는 공정 기체로부터 플라즈마를 생성하기에 충분하고, 예를 들어 400 - 700W의 총 에너지이다. 전형적인 공정에서, 고주파 RF 컴포넌트는 일반적으로 2 - 60MHz이다; 바람직한 구체예에서, HF 컴포넌트는 13.56 MHz이다. LF 컴포넌트는 100 kHz - 2 MHz일 수 있다; 바람직한 구체예에서, LF 컴포넌트는 400 kHz이다.
반응기 안에서 웨이퍼 받침대(718)는 기판(716)을 지지한다. 받침대는 증착 및/또는 플라즈마 처리 반응 동안과 그 사이에 기판을 고정하고 옮기기 위하여 전형적으로 척, 포크(fork) 또는 리프트 핀(lift pin)을 포함한다. 척은 산업 및/또는 연구에서 사용할 수 있는 것과 같은 전자식 척, 기계식 척 또는 다른 다양한 유형의 척이다.
공정 기체는 유입구(712)를 통해 도입된다. 다중 공급원 기체 라인(710)은 매니폴드(manifold)(708)에 연결되어 있다. 기체는 미리 혼합되거나 혼합되지 않을 수 있다. 적절한 밸빙(valving)과 질량 흐름 제어 메커니즘이 사용되어 올바른 기 체가 공정의 증착 및 플라즈마 처리 단계 동안 운반됨을 보장한다. 화학적 전구물질(들)이 액체 형태로 전달될 경우, 액체 흐름 제어 메커니즘이 사용된다. 이후 액체는 수송되는 동안, 증착 챔버에 도달하기 전에 액체 기화점 위로 가열되는 매니폴드에서 기화되고 다른 공정 기체와 혼합된다.
공정 기체는 유출구(722)를 통해서 챔버(700)를 나간다. 쓰로틀(throttle) 밸브 또는 진자 밸브와 같은 폐루프 제어된 흐름 제한 장치에 의하여, 진공 펌프(726)(예를 들어, 한두 단계의 기계식 건조 펌프 및/또는 터보분자식(turbomolecular) 펌프)가 전형적으로 공정 기체를 뽑아내고 반응기 안을 적절히 낮은 압력으로 유지시킨다.
본 발명은 다중 스테이션 또는 단일 스테이션 툴(tool)에서 실행될 수 있다. 한 구체예에서, 전처리 모듈은 반도체 증착 챔버에 공급되는 아세틸렌 기체 스트림 처리에 사용된다. 반도체 생산에 아세틸렌을 사용할 수 있는 임의의 증착 챔버는 본 발명의 전처리 모듈과 함께 사용될 수 있다. 특정 구체예에서, 4-스테이션 증착 구성(scheme)을 가지는 300 ㎜ Novellus VectorTM 툴 또는 6-스테이션 증착 구성을 가지는 200 ㎜ Novellus SequelTM 툴이 사용될 수 있다. 또한 다른 증착 챔버가 사용될 수 있다.
특정 구체예에서, 4개의 증착 스테이션을 가진 300 ㎜ Novellus VectorTM 툴이 애쉬어블 하드 마스크를 300 ㎜ 웨이퍼에 증착시키는 데 사용될 수 있다. 공정은 네 가지 조업을 포함할 수 있다: 언더코트 증착, 애쉬어블 하드 마스크 프리코 트, 애쉬어블 하드 마스크 증착 및 챔버 세척. 아세틸렌 기체 스트림은 애쉬어블 하드 마스크 프리코트 및 애쉬어블 하드 마스크 증착 조업에만 사용될 수 있다. 전처리 모듈을 통과하는 아세틸렌 기체 스트림은 이러한 조업 동안 6,750 sccm로 전달될 수 있다. 이중 주파수 PECVD 모듈은 이러한 조업 동안 약 200 - 600 W의 저주파(LF) 출력 및 약 900 - 1500W의 고주파(HF) 출력을 제공할 수 있고, 공정 챔버는 약 4 - 12 Torr로 유지되었다.
비록 앞서 말한 발명이 명확한 이해의 목적을 위하여 세부적으로 기술되었지만, 어떠한 변경과 변형이 첨부된 청구항의 범위 내에서 행해질 수 있음이 명백할 것이다. 본 발명의 공정과 구성 두 가지 모두를 실행할 수 있는 많은 대체 수단이 있음에 주의해야 한다. 따라서, 본 발명의 구체예들은 예시적이고 비제한적인 것으로 간주되고, 본 발명은 본 출원서에서 주어진 세부사항으로 한정되지 않는다. 본 출원서에서 인용된 모든 참고문헌은 모든 목적을 위한 참고문헌으로 첨부된다.
도 1은 본 발명에 따라 애쉬어블 하드 마스크를 형성하는 방법에 대한 일반적인 공정 흐름에서 중요 단계를 도해하는 흐름도이다.
도 2는 본 발명에 따라 아세틸렌 기체 스트림을 처리하는 방법에 대한 일반적인 공정 흐름에서 중요 단계를 도해하는 흐름도이다.
도 3은 본 발명을 실시하도록 갖추어진 전처리 모듈과 다른 관련 장치들을 나타내는 간단한 블록선도를 나타낸다.
도 4는 본 발명에 따라 응축된 저장용매를 수집하고 처리하는 트랩의 블록선도를 나타낸다.
도 5는 실린더 압력의 함수로서, 증착 챔버에 들어가는 아세틸렌 기체 스트림의 아세톤 농도의 플롯이고, 여기서 실선은 전처리 모듈에서 처리되지 않은 아세틸렌 기체 스트림의 아세톤 농도를 나타내고, 점선은 본 발명에 따라 전처리 모듈에서 처리된 아세틸렌 기체 스트림의 아세톤 농도를 나타낸다.
도 6은 실린더에 잔류하는 아세틸렌 양의 함수로서 탄소함량 물질의 층 두께의 플롯이고, 여기서 실선인 추세선은 전처리 모듈에서 처리되지 않은 아세틸렌 기체 스트림의 아세톤 농도를 나타내고, 점선인 추세선은 본 발명에 따라 전처리 모듈에서 처리된 아세틸렌 기체 스트림의 아세톤 농도를 나타낸다.
도 7은 고 탄소함량 물질의 층을 반도체 기판에 증착시키도록 설비된 PECVD 반응기를 나타내는 블록선도이다.

Claims (27)

  1. 전자 디바이스(electronic device) 제작 동안 반도체 기판에 고 탄소함량 물질의 층을 형성하는 데 사용하기에 앞서 아세틸렌 기체 스트림(stream)을 처리하는 방법에 있어서, 다음 단계를 포함하는 방법:
    (a) 아세틸렌 기체 스트림을 고 탄소함량 물질의 층을 형성하기 위한 증착 챔버의 상류에 배치된 전처리 모듈(pre-processing module)의 유입구에 전달하는 단계, 아세틸렌 기체 스트림은 아세틸렌이 용해될 수 있는 저장용매를 포함하는 아세틸렌 공급원으로부터 제공됨;
    (b) 전처리 모듈에서 아세틸렌 기체 스트림으로부터 저장용매를 응축시켜, 고 탄소함량 물질의 층이 반도체 기판에 증착되는 시간에 걸쳐 실질적으로 일정한 저장용매 농도를 가지는, 아세틸렌 기체 스트림의 감소된 저장용매 농도를 제공하는 단계, 여기서 저장용매 농도가 감소된 아세틸렌 기체 스트림이 전처리 모듈을 나갈 때, 실질적으로 일정한 저장용매 농도는 저장용매 농도가 감소된 아세틸렌 기체 스트림에서 약 0.4 부피% 이하임; 및
    (c) 저장용매 농도가 감소된 아세틸렌 기체 스트림을 전처리 모듈에서 증착 챔버로 전달하는 단계.
  2. 제1항에 있어서, 고 탄소함량 물질의 층이 비정질 탄소를 포함하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  3. 제1항에 있어서, 고 탄소함량 물질의 층이 반도체 기판에 애쉬어블 하드 마스크(ashable hard mask)를 형성하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  4. 제1항에 있어서, 아세틸렌 기체 스트림을 전처리 모듈의 유입구로 전달하는 단계가 15 psig보다 낮은 압력에서 수행되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  5. 제1항에 있어서, 아세틸렌이 용해될 수 있는 저장용매가 아세톤인 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  6. 제1항에 있어서, 전처리 모듈이 열교환기와 액체 트랩(trap)으로 이루어지는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  7. 제6항에 있어서, 열교환기가 냉각제(coolant)로 채워진 배스(bath)에 잠기는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  8. 제7항에 있어서, 냉각제가 약 -35℃ 이하의 온도로 유지되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  9. 제6항에 있어서, 열교환기 표면적이 100 제곱인치 내지 1000 제곱인치인 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  10. 제6항에 있어서, 액체 트랩이 아세틸렌 기체 스트림이 통과할 다수의 구불구불한 통로의 삽입물(insert)를 포함하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  11. 제1항에 있어서, 고 탄소함량 물질의 층이 반도체 기판에 증착되는 동안 아세틸렌 기체 스트림이 20 L/min의 유량을 가지는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  12. 제1항에 있어서, 실질적으로 일정한 저장용매의 농도가 저장용매 농도가 감소된 아세틸렌 기체 스트림에서 약 0.3 부피% 이하인 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  13. 제1항에 있어서, 저장용매 농도가 감소된 아세틸렌 기체 스트림을 전처리 모듈에서 증착 챔버로 전달하기 전에, 저장용매 농도가 감소된 아세틸렌 기체 스트림을 가열하는 것을 추가로 포함하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  14. 제13항에 있어서, 저장용매 농도가 감소된 아세틸렌 기체 스트림이 약 10 내지 40℃로 가열되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  15. 제1항에 있어서, 아세틸렌 공급원(source)이 용기를 포함하고, 용기의 약 50% 이하의 아세틸렌이 전처리 모듈의 유입구로 전달되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  16. 제1항에 있어서, 아세틸렌 공급원이 용기를 포함하고, 용기의 약 75% 이하의 아세틸렌이 전처리 모듈의 유입구로 전달되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  17. 제16항에 있어서, 반도체 기판에 증착되는 고 탄소함량 물질 층의 증착속도가 약 2% 미만에서 변하고, 여기서 증착속도는 한 용기로부터 전달되는 아세틸렌 기체 스트림에 대하여 측정되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  18. 제16항에 있어서, 반도체 기판에 증착되는 고 탄소함량 물질 층의 증착속도가 약 1% 미만에서 변하고, 여기서 증착속도는 한 용기로부터 전달되는 아세틸렌 기체 스트림에 대하여 측정되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방 법.
  19. 제1항에 있어서, 고 탄소함량 물질의 층이 플라즈마 화학기상증착(PECVD)을 사용하여 반도체 기판에 증착되는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  20. 제18항에 있어서, PECVD가 이중 주파수(dual frequency) 플라즈마 발생을 사용하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  21. 제19항에 있어서, PECVD가 저주파(LF) 플라즈마 발생기와 고주파(HF) 플라즈마 발생기를 포함하는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  22. 제5항에 있어서, 전처리 모듈이 100 제곱인치 내지 1000 제곱인치의 표면적을 가지고, 배스에 잠긴 열교환기 및 아세틸렌 기체 스트림이 통과할 다수의 구불구불한 통로를 가지는 삽입물을 포함하는 액체 트랩을 가지는 것을 특징으로 하는 아세틸렌 기체 스트림 처리 방법.
  23. 전자 디바이스 제작 동안 반도체 기판에 고 탄소함량 물질의 층을 형성하는 데 사용하기에 앞서 아세틸렌 기체 스트림을 처리하기 위한 전처리 모듈에 있어서, 다음 장치를 포함하는 전처리 모듈:
    (a) 아세틸렌 공급원에 연결하기에 적합한 커넥터를 포함하는 유입구;
    (b) 열교환기;
    (c) 아세틸렌 기체 스트림으로부터 응축된 액체를 축적하도록 배열된 트랩; 및
    (d) 반도체 기판에 고 탄소함량 물질의 층을 형성하기 위한 증착 챔버에 적합한 커넥터를 포함하는 유출구.
  24. 제22항에 있어서, 열교환기가 제1코일 모듈과 제2코일 모듈을 포함하고, 유입구에서 온 아세틸렌 기체 스트림이 제1코일 모듈로 들어가 트랩을 통과하고 유출구에 부착된 제2코일 모듈에 들어가는 것을 특징으로 하는 전처리 모듈.
  25. 제22항에 있어서, 트랩이 아세틸렌 기체 스트림이 통과할 다수의 구불구불한 통로를 포함하는 것을 특징으로 하는 전처리 모듈.
  26. 제22항에 있어서, 전처리 모듈이 배스를 추가로 포함하고, 열교환기와 트랩이 배스 안에 위치함을 특징으로 하는 전처리 모듈.
  27. 제22항에 있어서, 열교환기가 100 제곱인치 내지 1000 제곱인치의 표면적을 가지는 것을 특징으로 하는 전처리 모듈.
KR1020090048358A 2008-06-04 2009-06-02 반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법 KR100986503B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/133,223 2008-06-04
US12/133,223 US7820556B2 (en) 2008-06-04 2008-06-04 Method for purifying acetylene gas for use in semiconductor processes

Publications (2)

Publication Number Publication Date
KR20090127067A true KR20090127067A (ko) 2009-12-09
KR100986503B1 KR100986503B1 (ko) 2010-10-07

Family

ID=41400712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090048358A KR100986503B1 (ko) 2008-06-04 2009-06-02 반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법

Country Status (2)

Country Link
US (2) US7820556B2 (ko)
KR (1) KR100986503B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230021384A (ko) * 2021-08-05 2023-02-14 주식회사 에프알디 반도체용 고순도 아세틸렌 공급 장치

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8398747B2 (en) * 2009-06-23 2013-03-19 Praxair Technology, Inc. Processes for purification of acetylene
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
CN110243331B (zh) * 2019-07-17 2022-02-01 上海华力集成电路制造有限公司 非晶碳膜的膜厚监测方法
US11939451B2 (en) * 2020-02-20 2024-03-26 Praxair Technology, Inc. Solvents for acetylene fluid storage
WO2022181885A1 (ko) * 2021-02-26 2022-09-01 (주)지오엘리먼트 고순도 전구체를 위한 기화 시스템

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) * 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) * 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) * 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
DE3422417A1 (de) * 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) * 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
JPS6446098A (en) * 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) * 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
EP0386248B1 (en) * 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) * 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) * 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US6030591A (en) * 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) * 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
GB9522476D0 (en) * 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
DE19826259A1 (de) * 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) * 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6331480B1 (en) * 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6241793B1 (en) * 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) * 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) * 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) * 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6645848B2 (en) * 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) * 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) * 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040018750A1 (en) * 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) * 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) * 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7041600B2 (en) * 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) * 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
JP5113982B2 (ja) * 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7314506B2 (en) * 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) * 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) * 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) * 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) * 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) * 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
US8119853B2 (en) * 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230021384A (ko) * 2021-08-05 2023-02-14 주식회사 에프알디 반도체용 고순도 아세틸렌 공급 장치

Also Published As

Publication number Publication date
KR100986503B1 (ko) 2010-10-07
US20100297853A1 (en) 2010-11-25
US8309473B2 (en) 2012-11-13
US7820556B2 (en) 2010-10-26
US20090305516A1 (en) 2009-12-10

Similar Documents

Publication Publication Date Title
KR100986503B1 (ko) 반도체 공정에서 사용하기 위한 아세틸렌 기체 정제 방법
US6056823A (en) Temperature controlled gas feedthrough
JP4480274B2 (ja) 化学気相堆積気化装置
KR100746433B1 (ko) 고온 필터
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
US7955990B2 (en) Method for improved thickness repeatability of PECVD deposited carbon films
US6443435B1 (en) Vaporization of precursors at point of use
CN101514446A (zh) 多安瓿输送系统
US5788747A (en) Exhaust system for film forming apparatus
JPH11312649A (ja) Cvd装置
US20110256041A1 (en) Fluid filtration for substrate processing chamber
JP2014528899A (ja) B2f4製造プロセス
JP5534979B2 (ja) 基板処理装置及び基板処理装置のクリーニング方法
US20080206445A1 (en) Selective separation processes
JP2009530083A (ja) 選択的分離プロセス
TW200902746A (en) Inductively heated trap
WO2021183922A1 (en) Stabilization of carbon deposition precursors like c2h2
KR20110046380A (ko) 기판 가공 챔버용 유체 여과 방법
KR100631719B1 (ko) 플라즈마 중합장치의 가스 공급 구조
KR20020009215A (ko) 화학 기상 증착 챔버로의 가스 공급을 위한 기화 장치
JP2020143315A (ja) 固体材料容器
KR20070010830A (ko) 가스 공급 장치 및 이를 갖는 박막 형성 설비
KR20000014901A (ko) 액체운반시스템

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150923

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160922

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170926

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180919

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190924

Year of fee payment: 10