KR20090095546A - 유기금속 전구체 화합물 - Google Patents

유기금속 전구체 화합물 Download PDF

Info

Publication number
KR20090095546A
KR20090095546A KR1020097008617A KR20097008617A KR20090095546A KR 20090095546 A KR20090095546 A KR 20090095546A KR 1020097008617 A KR1020097008617 A KR 1020097008617A KR 20097008617 A KR20097008617 A KR 20097008617A KR 20090095546 A KR20090095546 A KR 20090095546A
Authority
KR
South Korea
Prior art keywords
substituted
unsubstituted
donor ligand
metal
tungsten
Prior art date
Application number
KR1020097008617A
Other languages
English (en)
Other versions
KR101434696B1 (ko
Inventor
데이비드 엠. 톰슨
데이비드 왈터 피터스
스콧 휴스톤 메이어
Original Assignee
프랙스에어 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랙스에어 테크놀로지, 인코포레이티드 filed Critical 프랙스에어 테크놀로지, 인코포레이티드
Publication of KR20090095546A publication Critical patent/KR20090095546A/ko
Application granted granted Critical
Publication of KR101434696B1 publication Critical patent/KR101434696B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

본 발명은 화학식 (L1)yM(L2)z-y (식중, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)로 표시되는 유기금속 화합물; 상기 유기금속 화합물의 제조 방법; 및 상기 유기금속 전구체 화합물의 열 또는 플라즈마 촉진 해리에 의해 기판상에 금속 및/또는 금속 탄화물/질화물 층을 증착시키는 방법에 관한 것이다.
유기금속 화합물, 반도체 산업, 화학 증착, 원자층 증착, 마이크로전자 소자

Description

유기금속 전구체 화합물{ORGANOMETALLIC PRECURSOR COMPOUNDS}
본 발명은 유기금속 화합물, 유기금속 화합물의 제조 방법, 및 유기금속 전구체 화합물로부터 필름 또는 코팅을 제조하는 방법에 관한 것이다.
현재 반도체 산업은 다양한 금속의 박막을 여러 가지 용도에 사용하는 것을 고려하고 있다. 다수의 유기금속 착물이 이러한 박막을 제조하기 위한 잠재적인 전구체로서 평가되고 있다. 당해 산업 분야에서는 신규 화합물을 개발하여 그들이 필름 증착용 전구체로서 가능성이 있는지를 조사할 필요가 있다. 박막에서의 보다 높은 균일성 및 형태일치성에 대한 높은 수요에 기인하여 물리적 증착(PVD)으로부터 화학적 증착(CVD) 및 원자층 증착(ALD) 공정으로 산업상의 이행이 일어남에 따라, 차세대 반도체 재료에 적합한 전구체에 대한 수요가 발생하게 되었다.
반도체 산업 분야에서, 집적 회로를 제조하는 동안에 기판상의 마이크로미터 이하 형체(sub-micron feature)를 충전하는데 구리와 같은 전도성 금속이 사용되고 있다. 그러나, 구리는 인접한 유전체층 구조내로 확산할 수 있으므로, 제조되는 소자의 완전성에 손해를 끼치게 된다. 확산과 층간 결함, 예컨대 층의 박리는 방지막(barrier) 층, 라이너(liner) 층 또는 이들 둘 다를 전도성 금속을 증착시키기 전에 하층 재료상에 증착시킴으로써 방지할 수 있다. 상기 방지막 층은 하층 재료 상에 증착되며, 대개는 하층 재료와 차후에 증착된 재료 사이의 층간 확산을 방지하고 화학 반응을 최소화하는 금속 질화물인 경우가 많다. 상기 라이너 층은 일반적으로 전도성 금속층에 대한 접착성을 제공하는 금속으로 이루어진다.
텅스텐, 탄탈, 니오븀과 같은 금속, 및 그 각각의 금속 질화물이 구리 사용시의 라이너 및 방지막 재료로서 고려되고 있다. 이에 관해서는 예컨대 미국 특허 제 6,491,978 B1호 및 제 6,379,748 B1호를 참조할 수 있다. 용도에 따라서, 라이너 접착층 및/또는 확산 방지막 층은 금속, 예컨대 텅스텐, 탄탈 또는 니오븀, 금속 질화물 층, 예컨대 질화텅스텐, 질화탄탈 또는 질화니오븀 층, 금속 및 금속 질화물 적층체, 또는 기타 확산 방지막 재료들의 조합을 포함할 수 있다. 금속 및 금속 질화물 층은 오래전부터 PVD 기법에 의해 증착된 바 있다. 그러나, 종래의 PVD 기법은 높은 종횡비의 바이아(via) 및 다른 형체(feature) 벽 및 바닥면상에서 형태 일치하는 피복 상태를 제공하는데는 그리 적합하지 않다. 그러므로, 종횡비가 증가하고 소자의 형체가 축소됨에 따라서 이러한 소자 형체에서 형태 일치하는 피복 상태를 제공하기 위해 새로운 전구체 및 증착 기법이 연구되고 있다.
이와 관련하여, 금속 및 금속 질화물 층의 PVD 기법에 대해 제안된 한가지 대안은 층들을 CVD 기법에 의해 증착시켜서 기판 형체에 우수한 형태 일치 피복을 제공하는 것이다. CVD 기법의 개발로 인하여, 유기금속 전구체의 해리(dissociation)에 의해서 높은 종횡비의 형체로 형태 일치성 금속 및 금속 질화물 층을 부착시킬 수 있다는 가능성이 최근 더욱 주목받고 있다. 이러한 기법에서는, 금속 성분과 유기 성분을 포함하는 유기금속 전구체를 처리실에 도입하고 해리 시켜 기판상에 금속 성분을 증착시키는 동시에, 전구체의 유기 부분은 처리실로부터 배출시킨다.
금속 층, 예컨대 텅스텐, 탄탈 및 니오븀 전구체를 CVD 기법에 의해 증착시키는데 이용될 수 있는 시판되는 유기금속 전구체는 거의 없다. 시판되는 전구체들은 탄소 및 산소와 같은 오염물질들을 허용 불가능한 수준으로 가질 수 있고 바람직하지 못한 확산 내성, 낮은 열 안정성 및 바람직하지 못한 층 특성을 갖는 층들을 생성한다. 또한, 경우에 따라서는, 금속 질화물 층들을 증착시키는데 사용되는 시판되는 전구체들은 저항률이 높은 층들을 생성하며, 경우에 따라서는 절연성이 있는 층들을 생성한다.
PVD 공정에 대한 또 다른 대안으로서 제안된 것은 ALD 공정이다. ALD 기술은 박막을 증착하는데 있어서는 PVD 기법보다 탁월한 것으로 생각된다. 그러나, ALD 기법의 문제는 적합한 전구체의 이용 가능성이다. ALD 증착 공정은 일련의 단계들을 포함한다. 그 단계들은, (1) 기판 표면상에 전구체를 흡착시키는 단계; (2) 과잉량의 기체상 전구체 분자들을 제거하는 단계; (3) 반응물들을 도입하여 기판 표면상의 전구체와 반응시키는 단계; 및 (4) 과잉량의 반응물들을 제거하는 단계이다.
ALD 공정에 있어서, 전구체는 엄격한 요건을 충족하여야 한다. 먼저, ALD 전구체는 기판 표면상에서 증착 조건하에 물리적 흡착(physiosorption) 또는 화학적 흡착(chemisorption)에 의해 단층을 형성할 수 있어야 한다. 둘째로, 흡착된 전구체는 높은 불순물 농도를 야기하는 표면상의 조기 분해를 방지하는데 충분할 만큼 안정해야 한다. 셋째로, 흡착된 분자는 비교적 낮은 온도에서 표면상에 바람직한 물질의 순수한 상을 남기도록 반응물질들과 상호작용하는데 충분한 반응성을 가져야 한다.
CVD와 마찬가지로, 텅스텐, 탄탈 및 니오븀 전구체와 같은 금속 층을 ALD 기법에 의해 부착시키는데 이용할 수 있는 시판되는 유기금속 전구체는 거의 없다. 시판되는 ALD 전구체는 다음과 같은 한 가지 이상의 단점들을 갖는다: (1) 낮은 증기압, (2) 증착된 물질의 부적절한 상, 및 (3) 박층내 다량의 탄소 혼입.
그러므로, 신규 화합물을 개발하여 이들이 박막 증착을 위한 CVD 및 ALD 전구체로서 잠재적인 가능성이 있는지를 조사할 필요가 있다. 또한, CVD 및 ALD 기법을 사용해서 유기금속 전구체로부터 금속 또는 금속 유도체 물질의 라이너 및/또는 방지막 층을 형성하기 위한 방법에 대한 필요성도 존재한다. 상기 부착된 라이너 및/또는 방지막 층이 오염물질을 거의 함유하지 않고, PVD 공정에 의해 제조된 층들에 비해서 층 저항률이 낮으며, 층간 접착력이 향상되고, 확산 내성이 향상되며, 열 안정성이 향상되는 것이 이상적이다.
발명의 개요
본 발명은 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 화합물에 관한 것이다. 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같다. 일반적으로, M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L2는 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12인 알킬기(예: 메틸, 에틸 등), (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실, 및 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택된다.
또한, 본 발명은 화학식 L1M"(L3)x(L4)y'(L5)z'로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M"는 n의 산화 상태를 갖는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3은 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고; n은 정수 0 또는 2이며; z'는 정수 0 또는 1이고; x는 z'-n+1과 같은 정수이되, 단, x가 0 이상인 것을 조건으로 하며; y'는 7n/2+2x-4z'와 같은 정수이되, 단, y'가 0 이상인 것을 조건으로 하고; M"의 산화수와 L1, L3, L4 및 L5의 전하의 합계는 0과 같다. 일반적으로, M"는 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되고; L4는 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다.
이외에도, 본 발명은 화학식 (L1)yM(CO)x'(L6)z-y-x'로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L6는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드이며; x'는 0 내지 3의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L6 및 CO기의 전하의 합계는 0과 같다. 일반적으로, M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L6은 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등), 및 (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택된다.
또한, 본 발명은 화학식 L1M'L4(L5)3로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M'는 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L4는 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며, M'의 산화수와 L1, L4 및 L5의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다.
또한, 본 발명은 화학식 L1M'L3(L4)2로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M'는 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며, M'의 산화수와 L1, L3 및 L4의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택된다.
또한, 본 발명은 화학식 (L1)yM(NO)x"(L7)z-y-x"로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L7는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; x"는 0 내지 2의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L7 및 NO기의 전하의 합계는 0과 같다. 일반적으로, M는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L7은 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등), 및 (ii) 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택된다.
또한, 본 발명은 화학식 L1M'(L3)2L5로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M'은 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며; M'의 산화수와 L1, L3 및 L5의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다.
또한, 본 발명은 화학식 (L8)yM(L4)z-y로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L8은 치환 또는 미치환된 킬레이트화 디엔 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L4 및 L8의 전하의 합계는 0과 같다. 일반적으로, M는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택되며; L8은 치환된 킬레이트화 디엔 리간드, 예컨대 알킬 치환된 1,4-시클로헥사디에닐, 알킬 치환된 1,3-시클로펜타디에닐, 알킬 치환된 1,5-시클로헵타디에닐 및 알킬 치환된 1,6-시클로옥타디에닐중에서 선택된다.
또한, 본 발명은 화학식 (L8)M"(L4)4로 표시되는 유기금속 화합물에 관한 것이며, 상기 식에서 M"은 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L8은 치환된 킬레이트화 디엔 리간드이고; M"의 산화수와 L4 및 L8의 전하의 합계는 0과 같다. 일반적으로, M"는 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 알켄, 알킨, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택되며; L8은 치환된 킬레이트화 디엔 리간드, 예컨대 알킬 치환된 1,4-시클로헥사디엔, 알킬 치환된 1,3-시클로펜타디엔, 알킬 치환된 1,5-시클로펜타디엔 및 알킬 치환된 1,6-시클로옥타디엔중에서 선택된다.
또한, 본 발명은 상기 화학식들로 표시되는 유기금속 전구체에 관한 것이다.
이외에도, 본 발명은 상기 화학식들로 표시되는 화합물, 예를 들면 화학식 (L1)yM(L2)z-y로 표시되는 화합물(상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)의 제조 방법에 관한 것이며, 상기 방법은 금속 할라이드, 염 및 환원제를 제 1 용매의 존재하에서 중간체 반응물을 생성하는데 충분한 반응 조건하에 반응시키는 것과, 상기 중간체 반응물과 염기 물질을 제 2 용매의 존재하에서 상기 화합물을 제조하는데 충분한 반응 조건하에 반응시키는 것을 포함한다.
또한, 본 발명은 유기금속 전구체를 분해시킴으로써 필름, 코팅 또는 분말을 제조하는 방법에 관한 것이며, 여기서 상기 유기금속 전구체는 상기 화학식들로 표시되는 유기금속 전구체, 예컨대 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 전구체이고, 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같다.
또한, 본 발명은 처리실에서 기판을 처리하는 방법에 관한 것이며, 상기 방법은, (i) 상기 화학식들로 표시되는 유기금속 전구체, 예를 들면 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 전구체(상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)를 상기 처리실내로 도입하는 것과; (ii) 상기 기판을 약 100℃ 내지 약 400℃의 온도로 가열하는 것과; (iii) 상기 유기금속 전구체를 처리 기체의 존재하에 해리시켜서 상기 기판상에 금속층을 증착시키는 것을 포함한다.
또한, 본 발명은 유기금속 전구체로부터 기판상에 금속 물질을 형성하는 방법에 관한 것이고, 상기 방법은 상기 유기금속 전구체를 기화시켜서 증기를 형성하는 것과, 상기 증기를 상기 기판과 접촉시켜서 상기 기판상에 상기 금속 물질을 형성하는 것을 포함하며, 여기서 상기 유기금속 전구체는 상기 화학식들로 표시되는 유기금속 전구체, 예를 들면 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 전구체이고, 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같다.
이외에도, 본 발명은 마이크로전자 소자 구조물의 제조 방법에 관한 것이며, 상기 방법은 상기 화학식들로 표시되는 유기금속 전구체, 예를 들면 화학식 (L)yM(L')z-y로 표시되는 유기금속 전구체(상기 식에서, M은 5족 금속 또는 6족 금속이고; L은 치환 또는 미치환된 음이온성 리간드이며; L'는 동일하거나 상이하고 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로 원자 함유 리간드이며; y는 정수 1이고; z는 M의 원자가임)를 기화시켜서 증기를 형성하는 것과, 상기 증기를 기판과 접촉시켜서 상기 기판상에 금속 함유 필름을 증착시키는 것과, 차후에 상기 기판을 구리로 금속화(metallization)하거나, 상기 기판을 상자성 박막과 통합시키는 것을 포함한다.
본 발명은 몇가지 장점들을 갖는다. 예를 들면, 본 발명의 방법은 다양한 화학 구조 및 물리적 성질을 갖는 유기금속 화합물 전구체들을 제조하는데 유용하다. 상기 유기금속 화합물 전구체로부터 제조된 필름을 짧은 항온 처리 기간내에 증착시킬 수 있으며, 유기금속 화합물 전구체로부터 증착된 필름은 우수한 평활성을 나타낸다.
본 발명의 바람직한 실시양태에서, 상기 유기금속 전구체 화합물은 실온에서 액체일 수 있다. 상황에 따라서는, 반도체 공정 통합의 용이성 면에서 액체가 고체보다 바람직할 수 있다.
CVD 및 ALD 용도에서, 본 발명의 유기금속 전구체는 이상적으로 조합된 열 안정성, 증기압 및 반도체 용도에 사용하고자 하는 기판과의 반응성을 나타낸다. 본 발명의 유기금속 전구체는 전달 온도에서 바람직하게 액체 상태를 나타낼 수 있고/있거나, 반도체 기판과의 우수한 반응성을 유도하는 맞춤형 리간드 범위를 나타낼 수 있다. 본 발명의 모든 유기금속 전구체들에 의하면, 할라이드계 리간드의 사용이 배제된다.
상세한 설명
전술한 바와 같이, 본 발명은 CVD 및 ALD와 같은 기법에 의해서 기판상에 금속계 물질, 예를 들면 금속 및 금속 탄화물/질화물, 예컨대 텅스텐, 질화텅스텐, 탄화텅스텐, 또는 텅스텐 카보나이트라이드를 형성할 수 있는 유기금속 전구체 화합물에 관한 것이다. 상기 기판은 반도체 소자 구조물의 구리 금속화와 같은 용도에 사용되는 마이크로전자 소자 구조물인 것이 바람직할 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 유기금속 전구체 화합물로서는, 상기 화학식들로 표시되는 화합물들, 예컨대 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 전구체를 들 수 있으며, 상기 식에서, M은 5족 금속 또는 6족 금속, 예를 들면 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질이며; L2는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등), (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같다. 예를 들면, L2는 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
한 실시양태에서, M이 텅스텐인 경우에, 본 발명의 유기금속 화합물은 다음과 같은 화합물들을 포함한다: (i) M은 산화수(+2)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고, 나머지 세개의 L2 리간드들은 동일하거나 상이하고 각각 (0)의 전하를 갖는 치환 또는 미치환된 중성 2전자 공여체 리간드인 화합물; (ii) M은 산화수(0)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (+1)의 전하를 갖는 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고, 나머지 두개의 L2 리간드들은 동일하거나 상이하고 각각 (0)의 전하를 갖는 치환 또는 미치환된 중성 2전자 공여체 리간드인 화합물; 및 (iii) M은 산화수(0)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고, 나머지 두개의 L2 리간드들은 동일하거나 상이하고 각각 (+1)의 전하를 갖는 치환 또는 미치환된 양이온성 2전자 공여체 리간드인 화합물. 이와 같은 모든 유기금속 화합물에 있어서, M의 산화수와 L1 및 L2의 전하의 합계는 0과 같다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 L1M"(L3)x(L4)y'(L5)z'로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M"는 n의 산화 상태를 갖는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3은 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고; n은 정수 0 또는 2이며; z'는 정수 0 또는 1이고; x는 z'-n+1과 같은 정수이되, 단, x가 0 이상인 것을 조건으로 하며; y'는 7n/2+2x-4z'와 같은 정수이되, 단, y'가 0 이상인 것을 조건으로 하고; M"의 산화수와 L1, L3, L4 및 L5의 전하의 합계는 0과 같다. 일반적으로, M"는 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되고; L4는 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다. 예를 들자면, L3, L4 및 L5는 적절하게 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 (L1)yM(CO)x'(L6)z-y-x'로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L6는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드이며; x'는 0 내지 3의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L6 및 CO기의 전하의 합계는 0과 같다. 일반적으로, M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L6은 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등), 및 (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택된다. 예를 들자면, L6는 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 L1M'L4(L5)3로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M'는 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L4는 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며, M'의 산화수와 L1, L4 및 L5의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다. 예를 들면, L4 및 L5는 적절하게 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 L1M'L3(L4)2로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M'는 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며, M'의 산화수와 L1, L3 및 L4의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 니트릴 및 알켄중에서 선택된다. 예를 들면, L3 및 L4는 적절하게 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 (L1)yM(NO)x"(L7)z-y-x"로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L7는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; x"는 0 내지 2의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L7 및 NO기의 전하의 합계는 0과 같다. 일반적으로, M는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L7은 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등), 및 (ii) 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택된다. 예를 들면, L7은 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 L1M'(L3)2L5로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M'은 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며; M'의 산화수와 L1, L3 및 L5의 전하의 합계는 0과 같다. 일반적으로, M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드, 예컨대 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 예컨대 니트로실중에서 선택되며; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 예컨대 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기(예: 메틸, 에틸 등)중에서 선택된다. 예를 들면, L3 및 L5는 적절하게 수소 원자 또는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 (L8)yM(L4)z-y로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M은 5족 금속 또는 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L8은 치환된 킬레이트화 디엔 리간드이며; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L4 및 L8의 전하의 합계는 0과 같다. 일반적으로, M는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택되며; L8은 치환된 킬레이트화 디엔 리간드, 예컨대 알킬 치환된 1,4-시클로헥사디에닐, 알킬 치환된 1,3-시클로펜타디에닐, 알킬 치환된 1,5-시클로헵타디에닐 및 알킬 치환된 1,6-시클로옥타디에닐중에서 선택된다. 예를 들면, L4는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 방지막 층을 형성하는데 유용한 본 발명의 다른 유기금속 전구체 화합물로서는, 화학식 (L8)M"(L4)4로 표시되는 화합물들을 들 수 있으며, 상기 식에서 M"은 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L8은 치환 또는 미치환된 킬레이트화 디엔 리간드이고; M"의 산화수와 L4 및 L8의 전하의 합계는 0과 같다. 일반적으로, M"는 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스핀, 아민, 알켄, 알킨, 니트릴(예: 아세토니트릴) 및 이소니트릴중에서 선택되며; L8은 치환된 킬레이트화 디엔 리간드, 예컨대 알킬 치환된 1,4-시클로헥사디엔, 알킬 치환된 1,3-시클로펜타디엔, 알킬 치환된 1,5-시클로헵타디엔 및 알킬 치환된 1,6-시클로옥타디엔중에서 선택된다. 예를 들면, L4는 치환 또는 미치환된 탄화수소 또는 헤테로원자 함유 리간드일 수 있다.
한편, 본 발명은 유기금속 전구체를 제공하며, 기판을 처리하여 상기 유기금속 전구체의 CVD 또는 ALD에 의해서 기판상에 금속계 물질 층, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐, 또는 텅스텐 카보나이트라이드 층을 형성하는 방법에 관한 것이다. 상기 금속계 물질층은 처리 기체의 존재하에서 상기 화학식들로 표시되는 유기금속 전구체의 열 또는 플라즈마 촉진 해리에 의해 가열된 기판상에 증착된다. 상기 처리 기체는 비활성 기체, 예컨대 헬륨 및 아르곤, 및 이들의 혼합물일 수 있다. 처리 기체의 조성은 금속계 물질층, 예컨대 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 층을 증착시킬 수 있도록 필요에 따라 선택된다.
상기 화학식들로 표시되는 본 발명의 유기금속 전구체에 있어서, M, M' 및 M"는 증착시키고자 하는 금속을 나타낸다. 본 발명에 의해 증착시킬 수 있는 금속의 예로서는, 텅스텐, 몰리브덴 및 크롬과 같은 6족 금속, 및 바나듐, 탄탈 및 니오븀과 같은 5족 금속을 들 수 있다. 문자 z는 상기 전구체의 금속 M의 원자가를 나타내며, 6족 금속의 경우 원자가는 6이고, 5족 금속의 경우 원자가는 5이다.
본 발명에 유용한 구체적인 치환 및 미치환된 음이온성 리간드(L1)의 예로서는, 6전자 음이온성 공여체 리간드, 예컨대 시클로펜타디에닐(Cp), 시클로헵타디에닐, 펜타디에닐, 피롤릴, 보라타벤질, 피라졸릴, 이미다졸릴 등을 들 수 있다. Cp는 금속 M에 대한 리간드를 형성하는 일반식 (C5H5 -)로 표시되는 시클로펜타디에닐 고리이다. 상기 시클로펜타디에닐 고리는 치환될 수 있으므로, 화학식 (Cp(R'))로 표시될 수도 있다. 상기 전구체는 하나의 6전자 음이온성 공여체 리간드 기, 예를 들면 시클로펜타디에닐 기를 함유한다.
그밖의 구체적인 치환 및 미치환된 6전자 음이온성 공여체 리간드의 예로서는 시클로디에닐 복합체, 예를 들면 시클로헥사디에닐, 시클로헵타디에닐, 시클로옥타디에닐 고리, 헤테로시클릭 고리, 방향족 고리, 예컨대 치환된 시클로펜타디에닐 고리, 예를 들면 에틸시클로펜타디에닐 등과 당업자에게 알려진 다른 기들을 들 수 있다.
본 발명에 사용되는 치환된 음이온성, 양이온성 및 중성 리간드의 가능한 치환체로서는 할로겐 원자, 탄소 원자 수가 1 내지 약 12개인 아실기, 탄소 원자 수가 1 내지 약 12개인 알콕시기, 탄소 원자 수가 1 내지 약 12개인 알콕시카르보닐기, 탄소 원자 수가 1 내지 약 12개인 알킬기, 탄소 원자 수가 1 내지 약 12개인 아민기 또는 탄소 원자 수가 0 내지 약 12개인 실릴기를 들 수 있다.
할로겐 원자의 구체적인 예로서는, 플루오르, 염소, 브롬 및 요오드를 들 수 있다. 바람직한 할로겐 원자로서는 염소와 플루오르를 들 수 있다.
구체적인 아실기의 예로서는, 포르밀, 아세틸, 프로피오닐, 부티릴, 이소부티릴, 발레릴, 1-메틸프로필카르보닐, 이소발레릴, 펜틸카르보닐, 1-메틸부틸카르보닐, 2-메틸부틸카르보닐, 3-메틸부틸카르보닐, 1-에틸프로필카르보닐, 2-에틸프로필카르보닐 등을 들 수 있다. 바람직한 아실기로서는 포르밀, 아세틸 및 프로피오닐을 들 수 있다.
알콕시기의 구체적인 예로서는, 메톡시, 에톡시, n-프로폭시, 이소프로폭시, n-부톡시, 이소부톡시, sec-부톡시, tert-부톡시, 펜틸옥시, 1-메틸부틸옥시, 2-메틸부틸옥시, 3-메틸부틸옥시, 1,2-디메틸프로필옥시, 헥실옥시, 1-메틸펜틸옥시, 1-에틸프로필옥시, 2-메틸펜틸옥시, 3-메틸펜틸옥시, 4-메틸펜틸옥시, 1,2-디메틸부틸옥시, 1,3-디메틸부틸옥시, 2,3-디메틸부틸옥시, 1,1,-디메틸부틸옥시, 2,2-디메틸부틸옥시, 3,3-디메틸부틸옥시 등을 들 수 있다. 바람직한 알콕시기로서는 메톡시, 에톡시 및 프로폭시를 들 수 있다.
구체적인 알콕시카르보닐기의 예로서는, 메톡시카르보닐, 에톡시카르보닐, 프로폭시카르보닐, 이소프로폭시카르보닐, 시클로프로폭시카르보닐, 부톡시카르보닐, 이소부톡시카르보닐, sec-부톡시카르보닐, tert-부톡시카르보닐 등을 들 수 잇다. 바람직한 알콕시카르보닐기로서는 메톡시카르보닐, 에톡시카르보닐, 프로폭시카르보닐, 이소프로폭시카르보닐 및 시클로프로폭시카르보닐을 들 수 있다.
구체적인 알킬기의 예로서는, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 펜틸, 이소펜틸, 네오펜틸, tert-펜틸, 1-메틸부틸, 2-메틸부틸, 1,2-디메틸프로필, 헥실, 이소헥실, 1-메틸펜틸, 2-메틸펜틸, 3-메틸펜틸, 1,1-디메틸부틸, 2,2-디메틸부틸, 1,3-디메틸부틸, 2,3-디메틸부틸, 3,3-디메틸부틸, 1-에틸부틸, 2-에틸부틸, 1,1,2-트리메틸프로필, 1,2,2-트리메틸프로필, 1-에틸-1-메틸프로필, 1-에틸-2-메틸프로필, 시클로프로필, 시클로부틸, 시클로펜틸, 시클로헥실, 시클로프로필메틸, 시클로프로필에틸, 시클로부틸메틸 등을 들 수 있다. 바람직한 알킬기로서는 메틸, 에틸, n-프로필, 이소프로필 및 시클로프로필을 들 수 있다.
구체적인 아민기의 예로서는, 메틸아민, 디메틸아민, 에틸아민, 디에틸아민, 프로필아민, 디프로필아민, 이소프로필아민, 디이소프로필아민, 부틸아민, 디부틸아민, tert-부틸아민, 디(tert-부틸)아민, 에틸메틸아민, 부틸메틸아민, 시클로헥실아민, 디시클로헥실아민 등을 들 수 있다. 바람직한 아민기로서는, 디메틸아민, 디에틸아민 및 디이소프로필아민을 들 수 있다.
구체적인 실릴기의 예로서는, 실릴, 트리메틸실릴, 트리에틸실릴, 트리스(트리메틸실릴)메틸, 트리실릴메틸, 메틸실릴 등을 들 수 있다. 바람직한 실릴기로서는 실릴, 트리메틸실릴 및 트리에틸실릴을 들 수 있다.
구체적인 치환된 킬레이트화 디엔 리간드의 예로서는, 치환된 시클로올레핀, 예컨대 시클로펜타디엔, 시클로헥사디엔의 다양한 이성체, 시클로헵타디엔, 시클로옥타디엔 고리, 헤테로시클릭 고리, 방향족 고리 및 당업자에게 알려진 기타 리간드를 들 수 있다.
치환된 킬레이트화 디엔 리간드의 가능한 치환체로서는, 할로겐 원자, 탄소 원자 수가 1 내지 약 12개인 아실기, 탄소 원자 수가 1 내지 약 12개인 알콕시기, 탄소 원자 수가 1 내지 약 12개인 알콕시카르보닐기, 탄소 원자 수가 1 내지 약 12개인 알킬기, 탄소 원자 수가 1 내지 약 12개인 아민기 또는 탄소 원자 수가 0 내지 약 12개인 실릴기를 들 수 있다.
바람직한 실시양태에서, 본 발명은 하기 화학식들로 표시되는 유기금속 텅스텐 화합물에 관한 것이다:
다리가 4개인 피아노 스툴형 텅스텐(II) 착물
Figure 112009025473060-PCT00001
다리가 3개인 피아노 스툴형 텅스텐(0) 착물
Figure 112009025473060-PCT00002
다리가 3개인 피아노 스툴형 텅스텐(II) 착물
Figure 112009025473060-PCT00003
상기 식에서, L4 및 L5는 각각 동일하거나 상이하고, 각각 탄화수소 기 또는 헤테로원자 함유 기를 나타낸다.
구체적인 중성 텅스텐 착물의 디엔 유도체의 예는 하기 화학식으로 표시될 수 있다:
Figure 112009025473060-PCT00004
상기 식에서, L은 치환 또는 미치환된 중성 2전자 공여체 리간드, 예컨대 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴(예: 아세토니트릴) 및 이소니트릴이고; m은 0 내지 2의 정수이며; n은 2 내지 4의 정수이고; Rm, Rm' 및 Rn'는 각각 수소 원자 또는 탄소 원자 수가 1 내지 약 12개인 알킬기, 예컨대 메틸, 에틸, n-프로필, i-프로필 등이되, 단, Rm, Rm' 및 Rn'중 적어도 하나는 수소 원자가 아니라는 것을 조건으로 한다.
본 발명의 유기금속 화합물의 구체적인 예로서는 다음과 같은 화합물들을 들 수 있다(Cp*는 펜타메틸시클로펜타디에닐을 나타냄):
트리스(카르보닐)(메틸시클로펜타디에닐)히드리도텅스텐, (MeCp)W(CO)3H;
트리스(카르보닐)(에틸시클로펜타디에닐)히드리도텅스텐, (EtCp)W(CO)3H;
트리스(카르보닐)(펜타메틸시클로펜타디에닐)히드리도텅스텐, Cp*W(CO)3H;
트리스(카르보닐)(메틸시클로펜타디에닐)메틸텅스텐, (MeCp)W(CO)3CH3;
트리스(카르보닐)(에틸시클로펜타디에닐)메틸텅스텐, (EtCp)W(CO)3CH3;
트리스(카르보닐)(펜타메틸시클로펜타디에닐)메틸텅스텐, Cp*W(CO)3CH3;
트리스(카르보닐)(메틸시클로펜타디에닐)에틸텅스텐, (MeCp)W(CO)3C2H5;
트리스(카르보닐)(에틸시클로펜타디에닐)에틸텅스텐, (EtCp)W(CO)3C2H5;
트리스(카르보닐)(펜타메틸시클로펜타디에닐)에틸텅스텐, Cp*W(CO)3C2H5;
트리스(아세토니트릴)(메틸시클로펜타디에닐)히드리도텅스텐, (MeCp)W(NCCH3)3H;
트리스(아세토니트릴)(에틸시클로펜타디에닐)히드리도텅스텐, (EtCp)W(NCCH3)3H;
트리스(아세토니트릴)(펜타메틸시클로펜타디에닐)히드리도텅스텐, Cp*W(NCCH3)3H;
트리스(카르보닐)(시클로헵타디에닐)히드리도텅스텐, (C7H9)W(CO)3H;
트리스(카르보닐)(2,4-디메틸펜타디에닐)히드리도텅스텐, ((CH3)2C5H5)W(CO)3H;
트리스(카르보닐)(2,5-디메틸피롤릴)히드리도텅스텐, ((CH3)2C4H2N)W(CO)3H;
메틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, (MeCp)W(NO)2H;
에틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, (EtCp)W(NO)2H;
펜타메틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, Cp*W(NO)2H;
메틸시클로펜타디에닐비스(니트로실)메틸텅스텐, (MeCp)W(NO)2CH3;
에틸시클로펜타디에닐비스(니트로실)메틸텅스텐, (EtCp)W(NO)2CH3;
펜타메틸시클로펜타디에닐비스(니트로실)메틸텅스텐, Cp*W(NO)2CH3;
메틸시클로펜타디에닐비스(니트로실)에틸텅스텐, (MeCp)W(NO)2C2H5;
에틸시클로펜타디에닐비스(니트로실)에틸텅스텐, (EtCp)W(NO)2C2H5;
펜타메틸시클로펜타디에닐비스(니트로실)에틸텅스텐, Cp*W(NO)2C2H5;
시클로헵타디에닐비스(니트로실)히드리도텅스텐, (C7H9)W(NO)2H;
(2,4-디메틸펜타디에닐)비스(니트로실)히드리도텅스텐, ((CH3)2C5H5)W(NO2)H;
(2,5-디메틸피롤릴)비스(니트로실)히드리도텅스텐, ((CH3)2C4H2N)W(NO2)H;
메틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, (MeCp)W(NO)H2;
에틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, (EtCp)W(NO)H2;
펜타메틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, Cp*W(NO)H2;
메틸시클로펜타디에닐니트로실비스(메틸)텅스텐, (MeCp)W(NO)(CH3)2;
에틸시클로펜타디에닐니트로실비스(메틸)텅스텐, (EtCp)W(NO)(CH3)2;
펜타메틸시클로펜타디에닐니트로실비스(메틸)텅스텐, Cp*W(NO)(CH3)2;
메틸시클로펜타디에닐니트로실비스(에틸)텅스텐, (MeCp)W(NO)(C2H5)2;
에틸시클로펜타디에닐니트로실비스(에틸)텅스텐, (EtCp)W(NO)(C2H5)2;
펜타메틸시클로펜타디에닐니트로실비스(에틸)텅스텐, Cp*W(NO)(C2H5)2;
시클로헵타디에닐니트로실비스(에틸)텅스텐, (C7H9)W(NO)(C2H5)2;
2,4-디메틸펜타디에닐니트로실비스(에틸)텅스텐, ((CH3)2C5H5)W(NO)(C2H5)2;
2,5-디메틸피롤릴니트로실비스(에틸)텅스텐, ((CH3)2C4H2N)W(NO)(C2H5)2 등.
음이온성 공여체 기의 존재는 바람직한 물리적 특성을 향상시키는 것으로 생각된다. 이러한 치환기들은 유기금속 전구체 휘발성을 증가시키고, 상기 전구체를 해리시키는데 필요한 온도를 감소시키며, 유기금속 전구체의 비등점을 저하시킨다. 유기금속 전구체 화합물의 휘발성이 증가하면 효과적인 층의 증착을 위해 처리실로 유입되는 기화된 유체의 흐름에 전구체가 충분히 높은 농도로 확실하게 동반된다. 또한, 휘발성이 향상되면 승화에 의한 유기금속 전구체의 기화를 활용하여 조기 해리의 위험없이 처리실로 전달할 수 있다. 이외에도, 상기 6전자 음이온성 공여체 치환기의 존재는 액체 전달계에 유용한 유기금속 전구체의 충분한 용해도를 제공할 수 있다.
본 발명에 의한 유기금속 전구체는 약 150℃ 미만의 온도에서 열에 대해 안정하고 약 150℃보다 높은 온도에서 열 분해될 수 있는 열 분해성 유기금속 화합물의 형성을 가능하게 하는 작용기를 갖는 것으로 생각된다. 또한, 상기 유기금속 전구체는 전력 밀도를 약 0.6 와트/cm2 이상으로, 또는 기판 200 mm에 대해 약 200 와트 이상으로 처리실에 공급함으로써 발생된 플라즈마에서 해리될 수 있다.
본 발명의 유기금속 전구체는 증착 공정에 사용된 처리 기체 조성 및 플라즈마 기체 조성에 따라서 금속 및 금속 탄화물 층을 증착시킬 수 있다. 금속 또는 금속 탄화물 층은 아르곤과 같은 비활성 처리 기체, 수소와 같은 반응물 처리 기체 및 이들의 혼합물의 존재하에서 증착된다.
수소와 같은 반응물 처리 기체를 사용하면 6전자 음이온성 공여체 기와의 반응으로 휘발성 기체를 형성하는 것이 용이해짐으로써, 전구체로부터 치환체들을 제거하고 기판상에 금속 또는 금속 탄화물 층을 증착시킬 수 있는 것으로 생각된다. 상기 금속 층은 아르곤의 존재하에 증착시키는 것이 바람직하다.
전술한 바와 같은 전구체로부터 층을 증착시키기 위한 방법의 일례는 다음과 같다. 본 발명에 의한 조성을 갖는 전구체, 예를 들면 트리스(카르보닐)(메틸시클로펜타디에닐)히드리도텅스텐 및 처리 기체를 처리실내로 도입시킨다. 상기 전구체는 약 5 내지 약 500 sccm의 유속하에 도입되며, 상기 처리 기체는 약 5 내지 약 500 sccm의 유속하에 처리실내로 도입된다. 증착 방법의 한 실시양태에서, 상기 전구체 및 처리 기체는 약 1:1의 몰비하에 도입된다. 상기 처리실은 약 100 밀리토르 내지 약 20 토르의 압력하에 유지된다. 상기 처리실은 약 100 밀리토르 내지 약 250 밀리토르의 압력하에 유지되는 것이 바람직하다. 유속 및 압력 조건은 사용되는 처리실의 다양한 구성, 규모 및 모델에 따라 달라질 수 있다.
전구체의 열 해리는, 기판에 인접한 휘발성 금속 화합물의 탄화수소 부분을 휘발성 탄화수소로 해리시켜서, 금속은 기판상에 남겨두면서 기판으로부터 상기 휘발성 탄화수소를 탈착시키는데 충분하게 높은 온도로 기판을 가열하는 것을 포함한다. 정확한 온도는 증착 조건하에 사용된 유기금속 전구체 및 처리 기체의 종류 및 화학적, 열적 및 안정성 특성에 좌우될 것이다. 그러나, 실온 내지 대략 400℃의 온도이면 본 발명의 전구체를 열 해리시킬 수 있는 것으로 이해된다.
열 해리는 기판을 약 100℃ 내지 약 400℃의 온도로 가열함으로써 수행하는 것이 바람직하다. 열 해리 방법의 한 실시양태에서는, 기판 온도를 약 250℃ 내지 약 450℃로 유지시켜서 기판 표면상에서 전구체와 반응 기체 사이의 완전한 반응을 확보한다. 다른 실시양태에서는, 열 해리 방법을 수행하는 동안에 기판 온도를 대략 400℃ 미만의 온도로 유지시킨다.
플라즈마-촉진 CVD 공정의 경우에, 플라즈마를 발생시키기 위한 전력을 처리실내에 용량 방식으로 또는 유도 방식으로 결합시켜서 전구체의 해리를 촉진시키고 존재하는 반응 기체와의 반응을 증진시켜서 기판상에 층을 증착시킨다. 약 0.6 와트/cm2 내지 약 3.2 와트/cm2 사이의 전력 밀도, 또는 기판 200 mm에 대하여 약 200 와트 내지 약 1000 와트, 가장 바람직하게는 대략 750 와트의 전력 밀도를 처리실에 공급하여 플라즈마를 발생시킨다.
전구체를 해리시키고 물질을 기판상에 증착시킨 후에, 증착된 물질을 플라즈마 처리에 노출시킬 수 있다. 플라즈마는 반응 처리 기체, 예컨대 수소, 비활성 기체, 예컨대 아르곤 및 이들의 혼합물을 포함한다. 플라즈마 처리 방법에서, 플라즈마를 발생시키기 위한 전력을 처리실내에 용량 방식으로 또는 유도 방식으로 결합시켜서 처리 기체를 플라즈마 상태로 여기시켜 이온과 같은 플라즈마 화학종을 생성하며, 이러한 플라즈마 화학종은 증착된 물질과 반응할 수 있다. 플라즈마는 약 0.6 와트/cm2 내지 약 3.2 와트/cm2, 또는 기판 200 mm에 대하여 약 200 내지 약 1000 와트의 전력 밀도를 처리실에 공급함으로써 발생시킨다.
한 실시양태에서, 플라즈마 처리는 처리실내로 기체를 약 5 sccm 내지 약 300 sccm의 속도로 도입시키는 것과, 약 0.6 와트/cm2 내지 약 3.2 와트/cm2의 전력 밀도, 또는 기판 200 mm에 대하여 약 200 와트 내지 약 1000 와트의 전력을 공급함으로써 플라즈마를 발생시키는 것과, 처리실 압력을 약 50 밀리토르 내지 약 20 토르로 유지시키는 것과, 플라즈마 처리하는 동안에 기판을 약 100℃ 내지 약 400℃의 온도로 유지시키는 것을 포함한다.
플라즈마 처리는 층의 저항률을 저하시키고, 탄소 또는 과잉량의 수소와 같은 오염물질을 제거하며, 층을 고밀도화시켜서 방지막 및 라이너 특성을 향상시킨다. 반응 기체들로부터 유래한 화학종, 예컨대 플라즈마내의 수소 화학종은 탄소 불순물과 반응하여 기판 표면으로부터 용이하게 탈착될 수 있고 처리 영역 및 처리실로부터 소제될 수 있는 휘발성 탄화수소를 생성한다. 아르곤과 같은 비활성 기체로부터 유래한 플라즈마 화학종은 층에 더욱 충격을 가하여 저항성 구성물질을 제거함으로써 층의 저항률을 저하시키고 전기 전도성을 향상시킨다.
금속 탄화물 층의 경우에는 플라즈마 처리를 수행하지 않는 것이 바람직한데, 플라즈마 처리가 층의 바람직한 탄소 성분을 제거할 수 있기 때문이다. 금속 탄화물 층에 대하여 플라즈마 처리를 수행할 경우, 플라즈마 기체는 탄소를 제거하도록 아르곤 및 헬륨과 같은 비활성 기체를 포함하는 것이 바람직하다.
전술한 바와 같은 전구체로부터 층을 증착시키고, 상기 층을 증착후 플라즈마 처리에 노출시키면, 물성이 개선된 층이 생성될 것이다. 전술한 바와 같은 물질의 증착 및/또는 처리는 개선된 확산 내성, 개선된 층간 접착력, 개선된 열 안정성 및 개선된 층간 결합을 제공하는 것으로 생각된다.
본 발명의 한 실시양태에서, 기판상의 형체의 금속화 방법이 제공되며, 상기 방법은 기판상에 유전체층을 증착시키는 것과, 기판에 패턴을 에칭하는 것과, 상기 유전체층상에 금속 탄화물 층을 증착시키는 것과, 상기 금속 탄화물 층상에 전도성 금속 층을 증착시키는 것을 포함한다. 상기 기판을 임의로 수소와 아르곤의 플라즈마를 포함하는 반응성 사전 세정 처리에 노출시켜서 금속 탄화물 층을 증착하기 전에 기판상의 산화물 형성물을 제거할 수도 있다. 상기 전도성 금속은 구리인 것이 바람직하고, 물리적 증착, 화학적 증착 또는 전기화학적 증착에 의해 증착시킬 수 있다. 상기 금속 층 및 금속 탄화물 층은 처리 기체의 존재하에서, 바람직하게는 약 20 토르 미만의 압력하에 본 발명의 유기금속 전구체의 열 또는 플라즈마 촉진 분해에 의해 증착시킨다. 상기 금속 층 및 금속 탄화물 층이 일단 증착되면, 그 층을 플라즈마에 노출시키고, 이어서 후속하는 층을 증착시킬 수 있다.
현재의 구리 집적 구성은 맨 위에 구리 습윤층이 있고 그 밑에 구리 시드(seed)층이 있는 확산 방지막을 포함한다. 본 발명에 따라 점차로 텅스텐이 농후해지는 텅스텐 탄화물 층은 현행 구리 집적 구성의 다단계를 대체할 것이다. 텅스텐 탄화물층은 그 비정질 특성에 기인하여 구리 확산에 대한 탁월한 방지막이 된다. 상기 텅스텐 농후 층은 습윤층으로서 작용하여 텅스텐상으로의 직접 도금을 가능하게 한다. 이러한 단일 층은 증착시키는 동안 증착 파라미터들을 조절함으로써 1단계로 증착시킬 수 있다. 또한, 증착후 처리를 사용하여 필름내의 텅스텐의 비율을 증가시킬 수 있다. 반도체 제조시에 하나 이상의 단계를 제거하게 되면 반도체 제조업자에게는 실질적인 경비 절감 효과가 제공될 것이다.
탄화텅스텐 필름은 400℃ 미만의 온도에서 증착되며, 부식성 부산물을 전혀 형성하지 않는다. 탄화텅스텐 필름은 비정질이며 구리 확산에 대해서는 WN보다 탁월한 방지막이다. 증착 파라미터 및 증착후 처리를 조정함으로써, 탄화텅스텐 방지막은 그 상단위에 텅스텐 농후 필름이 증착될 수 있다. 이러한 텅스텐 농후 필름은 구리에 대한 습윤층으로서 작용하며 텅스텐 층상에 직접적인 구리 도금을 가능하게 할 수 있다. 한 실시양태에서, 증착 파라미터를 조정하여 층 두께에 걸쳐 조성이 달라지는 층을 제공할 수 있다. 예를 들면, 상기 층은 마이크로칩의 규소 부분 표면에서 탄화텅스텐이 농후하여 우수한 방지막 특성을 가질 수 있고, 구리층 표면에서 텅스텐이 농후하여 우수한 접착 특성을 가질 수 있다.
전술한 바와 같이, 본 발명은 상기 화학식들로 표시되는 유기금속 화합물, 예를 들면 화학식 (L1)yM(L2)z-y로 표시되는 유기금속 화합물(상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)의 제조 방법에 관한 것이며, 상기 방법은 금속 할라이드, 염 및 환원제를 제 1 용매의 존재하에서 중간체 반응물을 생성하는데 충분한 반응 조건하에 반응시키는 것과, 상기 중간체 반응물과 염기 물질을 제 2 용매의 존재하에서 상기 유기금속 화합물을 제조하는데 충분한 반응 조건하에 반응시키는 것을 포함한다. 본 발명의 방법으로부터 얻어지는 상기 유기금속 화합물의 수율은 40% 이상, 바람직하게는 35% 이상, 더욱 바람직하게는 30% 이상일 수 있다.
본 발명의 방법은 대규모 생산에 특히 적합한데, 그 이유는 광범위한 제품을 제조하도록 용이하게 개조할 수 있는 동일한 장치, 몇가지 동일한 시약 및 공정 파라미터를 사용하여 수행할 수 있기 때문이다. 상기 방법은 모든 조작을 단일의 용기에서 수행할 수 있는 공정을 사용하여 유기금속 전구체 화합물을 합성하기 위한 것이며, 유기금속 전구체 화합물까지의 경로는 중간체 복합체의 분리를 필요로 하지 않는다.
금속 할라이드 화합물 출발 물질은 당분야에 알려진 여러 가지 화합물들로부터 선택될 수 있다. 본 발명에서는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택된 금속이 가장 바람직하다. 구체적인 금속 할라이드 화합물의 예로서는, 텅스텐 헥사클로라이드, 몰리브덴 헥사클로라이드, 크롬 헥사클로라이드, 탄탈 펜타클로라이드, 니오븀 펜타클로라이드 또는 바나듐 펜타클로라이드 등을 들 수 있다.
금속 공급원 화합물 출발 물질의 농도는 광범위하게 변화할 수 있으며, 염 및 환원제와 반응하여 중간체 반응물을 생성하고 사용하고자 하는 주어진 금속 농도를 제공하는데 요구되는 최소량만을 필요로 하며, 이러한 출발 물질의 농도는 적어도 본 발명의 유기금속 화합물에 필요한 금속의 양에 대한 기반을 제공할 것이다. 일반적으로, 반응 혼합물의 규모에 따라서, 약 1 밀리몰 이하 내지 약 10,000 밀리몰 이상의 범위내의 금속 공급원 화합물 출발 물질 농도가 대부분의 방법에 충분하다.
상기 염 출발 물질은 당업자에게 알려진 여러 가지 화합물들로부터 선택될 수 있다. 구체적인 염의 예로서는, 나트륨 시클로펜타디엔, 칼륨 시클로펜타디엔, 리튬 시클로펜타디엔 또는 마그네소센(magnesocene) 등을 들 수 있다. 상기 염 출발 물질은 나트륨 시클로펜타디엔 등인 것이 바람직하다.
염 출발 물질의 농도는 광범위하게 변화할 수 있으며, 금속 공급원 화합물 출발 물질 및 환원제와 반응하여 중간체 반응물을 생성하는데 요구되는 최소량만을 필요로 한다. 일반적으로, 제 1 반응 혼합물의 규모에 따라서, 약 1 밀리몰 이하 내지 약 10,000 밀리몰 이상의 범위내의 염 출발 물질 농도가 대부분의 방법에 충분하다.
환원제 출발 물질은 당업자에게 알려진 여러 가지 물질들로부터 선택될 수 있다. 구체적인 환원제의 예로서는, 나트륨 비스(2-메톡시에톡시)알루미늄 디하이드라이드(예: 레드-알(Red-Al®) 및 비트라이드(Vitride) 환원제 물질), 나트륨 보로하이드라이드, 리튬 알루미늄 하이드라이드 등을 들 수 있다. 상기 환원제 물질은 나트륨 비스(2-메톡시에톡시)알루미늄 디하이드라이드(예: 레드-알 환원제 물질) 등인 것이 바람직하다.
환원제 출발 물질의 농도는 광범위하게 달라질 수 있으며, 금속 공급원 화합물 출발 물질 및 염 출발 물질과 반응하여 중간체 반응물을 생성하는데 요구되는 최소량만을 필요로 한다. 일반적으로, 제 1 반응 혼합물의 규모에 따라서, 약 1 밀리몰 이하 내지 약 10,000 밀리몰 이상의 범위내의 환원제 출발 물질 농도가 대부분의 방법에 충분하다.
본 발명의 방법에 사용되는 제 1 용매는 임의의 포화 및 불포화 탄화수소, 방향족 탄화수소, 방향족 헤테로사이클, 알킬 할라이드, 실릴화 탄화수소, 에테르, 폴리에테르, 티오에테르, 에스테르, 티오에스테르, 락톤, 아미드, 아민, 폴리아민, 실리콘 오일, 기타 비양성자성 용매 또는 이들중 1종 이상의 혼합물일 수 있으며; 디에틸 에테르, 펜탄 또는 디메틸에톡시에탄인 것이 더욱 바람직하고; 톨루엔 또는 디메톡시에탄(DME) 또는 이들의 혼합물인 것이 가장 바람직하다. 목적하는 반응에 악영향을 미치지 않는 어떠한 적당한 용매라도 사용할 수 있다. 필요에 따라 1종 이상의 상이한 용매들의 혼합물을 사용할 수도 있다. 사용되는 용매의 양은 본 발명에 중요하지는 않으며, 반응 혼합물내에 반응 성분들을 가용화시키는데 충분한 양만을 필요로 한다. 일반적으로, 용매의 양은 반응 혼합물 출발 물질들의 총 중량을 기준으로 하여 약 5 중량% 내지 약 99 중량% 또는 그 이상에 이르는 범위일 수 있다.
염 화합물 및 환원제와 금속 공급원 화합물과의 반응으로 중간체 반응물을 제조하기 위한 반응에 대한 반응 조건들, 예를 들면 온도, 압력 및 접촉 시간도 매우 크게 변화할 수 있으며, 이러한 조건들이 적절히 조합된 어떠한 조건이라도 사용할 수 있다. 반응 온도는 전술한 용매들중 어느 하나의 환류 온도일 수 있으며, 약 -80℃ 내지 약 150℃인 것이 더욱 바람직하고, 약 20℃ 내지 약 120℃인 것이 가장 바람직하다. 일반적으로, 반응은 주위 압력하에 수행하며, 접촉 시간은 수 초 또는 수 분 내지 수 시간 또는 그 이상의 범위일 수 있다. 반응 물질들은 임의의 순서로 반응 혼합물에 첨가하거나 혼합시킬 수 있다. 사용되는 교반 시간은 모든 단계에 대하여 약 0.1 시간 내지 약 400 시간 범위, 바람직하게는 약 1 시간 내지 약 75 시간 범위, 더욱 바람직하게는 약 4 시간 내지 약 16 시간 범위일 수 있다.
상기 중간체 반응물은 당업자에게 알려진 여러 가지 물질들중에서 선택될 수 있다. 구체적인 중간체 반응물의 예로서는 음이온성 6족 금속과 하나의 음으로 하전된 6전자 공여체 및 3개의 중성 2전자 공여체의 염, 예를 들면 Li[(EtCp])W(CO)3], Na[(EtCp)W(CO)3], PPN[(2,5-디메틸피롤릴)W(CO)3] 등을 들 수 있다. 상기 중간체 반응물은 Li[(EtCp)W(CO)3]인 것이 바람직하다. 본 발명의 방법은 상기 중간체 반응물의 분리를 필요로 하지 않는다.
상기 중간체 반응물의 농도는 광범위하게 달라질 수 있으며, 염기 물질과 반응하여 본 발명의 유기금속 화합물을 생성하는데 요구되는 최소량만을 필요로 한다. 일반적으로, 상기 제 2 반응 혼합물의 규모에 따라서, 약 1 밀리몰 이하 내지 약 10,000 밀리몰 이상의 범위의 중간체 반응물 농도가 대부분의 방법에 충분하다.
상기 염기 물질은 당업자에게 알려진 광범위한 물질로부터 선택될 수 있다. 구체적인 염기 물질로서는, 수산화나트륨, 수산화칼륨, 에틸 아세테이트 등을 들 수 있다. 상기 염기 물질은 수산화나트륨 등인 것이 바람직하다.
상기 염기 물질의 농도는 광범위하게 달라질 수 있으며, 상기 중간체 반응물과 반응하여 본 발명의 유기금속 화합물을 생성하는데 요구되는 최소량만을 필요로 한다. 일반적으로, 상기 제 2 반응 혼합물의 규모에 따라서, 약 1 밀리몰 이하 내지 약 10,000 밀리몰 이상 범위의 염기 물질 농도가 대부분의 방법에 충분하다.
본 발명의 방법에 사용되는 제 2 용매는 임의의 포화 및 불포화 탄화수소, 방향족 탄화수소, 방향족 헤테로사이클, 알킬 할라이드, 실릴화 탄화수소, 에테르, 폴리에테르, 티오에테르, 에스테르, 티오에스테르, 락톤, 아미드, 아민, 폴리아민, 실리콘 오일, 기타 비양성자성 용매 또는 이들중 1종 이상의 혼합물을 들 수 있으며, 디에틸 에테르, 펜탄 또는 디메톡시에탄인 것이 더욱 바람직하고, 톨루엔, 헥산 또는 이들의 혼합물인 것이 가장 바람직하다. 목적하는 반응에 악영향을 미치지 않는 어떠한 적절한 용매라도 사용할 수 있다. 필요에 따라 1종 이상의 상이한 용매들의 혼합물을 사용할 수도 있다. 사용되는 용매의 양은 본 발명에 중요하지 않으며, 반응 혼합물에 반응 성분들을 가용화시키는데 충분한 양만을 필요로 한다. 일반적으로, 용매의 양은 반응 혼합물 출발 물질의 총 중량을 기준으로 하여 약 5 중량% 내지 약 99 중량% 또는 그 이상의 범위에 이를 수 있다.
상기 중간체 반응물과 염기 물질의 반응으로 본 발명의 유기금속 전구체를 제조하기 위한 반응 조건, 예를 들면 온도, 압력 및 접촉 시간도 매우 크게 달라질 수 있으며, 적절히 조합된 이와 같은 조건들을 사용할 수 있다. 반응 온도는 전술한 용매들중 어느 하나의 환류 온도일 수 있으며, 약 -80℃ 내지 약 150℃인 것이 더욱 바람직하고, 약 20℃ 내지 약 120℃인 것이 가장 바람직하다. 일반적으로, 반응은 주위 압력하에 수행하며, 접촉 시간은 수 초 또는 수 분 내지 수 시간 또는 그 이상에 이르기까지 다양한 범위일 수 있다. 반응물질들은 임의의 순서로 반응 혼합물에 첨가하거나 혼합할 수 있다. 사용되는 교반 시간은 모든 단계에 대하여 약 0.1 시간 내지 약 400 시간 범위, 바람직하게는 약 1 시간 내지 75 시간, 더욱 바람직하게는 약 4 시간 내지 16 시간 범위일 수 있다.
한 실시양태에서, 상기 다리가 4개인 피아노 스툴형 텅스텐(II) 착물은 다음과 같은 합성 방법에 의해 제조할 수 있다:
1) 음으로 하전된 6전자 공여체의 염 또는 착물(예: Li(EtCp) 또는 Bu3Sn(EtCp))을, 6개의 중성 2전자 공여체와 텅스텐(0)과의 착물(예: W(CO)6)과 반응시켜서, 3개의 중성 2전자 공여체 리간드 및 1개의 음으로 하전된 6전자 공여체 리간드가 텅스텐에 직접 배위되어 있는 텅스테네이트 음이온 염을 수득하고;
2) 제 2 성분, 예를 들면 아세트산 또는 트리메틸브롬과 상기 중간체인 텅스테네이트 음이온 염을 반응시켜서 1개의 음으로 하전된 6전자 공여체 리간드, 3개의 중성 2전자 공여체 리간드 및 1개의 음으로 하전된 2전자 공여체 리간드와 텅스텐(II)의 착물을 수득한다.
상기 착물의 분리는 여과에 의해 고형물을 제거함으로써 수행하고, 감압하에 용매를 제거하며, 증류(또는 승화)에 의해 최종적인 순수한 화합물을 얻을 수 있다. 최종 정제 방법으로서 크로마토그래피를 사용할 수도 있다.
상기 반응 1)의 일례(하기 반응식 1) 및 상기 반응 2)의 2가지 예(하기 반응식 2a 및 2b)를 이하에 제시하였다:
Figure 112009025473060-PCT00005
Figure 112009025473060-PCT00006
Figure 112009025473060-PCT00007
한 실시양태에서, 상기 다리가 3개인 피아노 스툴형 텅스텐(0) 착물은 다음과 같은 합성 방법에 의해 간단히 제조할 수 있다:
1) [W(NO)2X2]n (식중, X는 할라이드임)을 음으로 하전된 6전자 공여체의 염 또는 착물(예: Bu3Sn(EtCp))과 반응시켜서 1개의 할라이드 리간드, 2개의 니트로실 리간드 및 음으로 하전된 6전자 공여체 리간드를 갖는 중간체 착물을 수득하고;
2) 상기 할라이드 착물(예: (EtCp)W(NO)2Cl)을 제 2 반응원, 예컨대 Na[AlH2(OCH2CH2OMe)2]와 반응시켜서 할라이드 염 및 중성 텅스텐(0) 유기금속 화합물을 얻을 수 있다. 상기 제 2 반응원의 예로서는 메틸리튬, Na[AlH2(OCH2CH2OMe)2] 등을 들 수 있다. 상기 합성 방법은 하기 반응식 3으로 나타낼 수 있다:
Figure 112009025473060-PCT00008
펜던트 알킬 사슬을 갖는 디엔을 사용하면 추가의 엔트로피를 도입함으로써 유기금속 전구체의 융점을 감소시킬 수 있다. 텅스텐(0) 디엔 유도체의 합성 방법은 일반적으로 디엔 착물에 의한 W(CO)6의 광화학적 또는 열 촉매 치환 반응을 포함한다. 융점 감소에 도움을 줄 것으로 예상되는 디엔의 예로서는 2-에틸시클로옥타-1,4-디엔 및 3-에틸시클로옥타-1,4-디엔을 들 수 있다.
Figure 112009025473060-PCT00009
알킬 치환된 디엔을 디엔 대신에 사용하여 치환된 킬레이트화 디엔 리간드를 갖는 유기금속 화합물을 얻는 것으로 보고된 구체적인 합성 방법으로서는 다음을 들 수 있다: 1) Fischer, E. O. et. al. Chem. Ber., 1959, 92, 2995; 2) Leigh, G. J., et. al., J. Organomet. Chem., 1965, 4, 461; 3) Laine, R. M., Transition Met. Chem., 1990, 5, 158.
본 발명의 유기금속 화합물을 제조하는데 사용될 수 있는 다른 방법으로서는, 미국 특허 제 6,605,735 B2호 및 미국 특허 출원 공고 제 US 2004/0127732 A1 호(2004년 7월 1일자 공고)에 개시된 방법들을 들 수 있으며, 이들은 모두 본 명세서에 참고 인용하였다. 또한, 본 발명의 유기금속 화합물은 문헌 [Legzdins, P. et al. Inorg. Synth. 1990, 28, 196] 및 그 참고문헌에 개시된 것과 같은 종래의 방법들에 의해 제조할 수도 있다.
본 발명의 방법에 의해 제조된 유기금속 화합물의 경우에, 정제는 재결정화를 통해서, 더욱 바람직하게는 반응 잔류물(예: 헥산)의 추출 및 크로마토그래피를 통해서, 가장 바람직하게는 승화 및 증류를 통해서 수행할 수 있다.
당업자라면 첨부된 청구의 범위에 의해 정해지는 바와 같은 본 발명의 보호 범위 또는 기술 사상을 벗어나지 않고, 본 명세서에 설명한 방법에 대하여 여러 가지 변경예를 실시할 수 있음을 잘 알것이다.
전술한 바와 같은 합성 방법에 의해 제조된 유기금속 화합물들의 특성을 분석하는데 사용할 수 있는 기법의 예로서는, 분석용 기체 크로마토그래피, 핵자기 공명, 열중량 분석, 유도 결합 플라즈마 질량 스펙트럼, 시차 주사 열량 분석, 증기압 및 점도 측정법을 들 수 있으나, 이들에 제한되는 것은 아니다.
전술한 바와 같은 유기금속 화합물 전구체의 상대 증기압 또는 상대 휘발성은 당업자에게 잘 알려진 열중량 분석 기법에 의해 측정할 수 있다. 또한, 예를 들어 밀폐된 용기로부터 모든 기체들을 배기시키고, 그 후에 화합물들의 증기를 용기에 주입한 다음 그 압력을 당분야에 잘 알려진 바와 같이 측정함으로써, 평형 증기압을 측정할 수도 있다.
본 발명의 유기금속 화합물 전구체는 동일계상에서 분말 및 코팅을 제조하는 데 적합하다. 예를 들면, 유기금속 화합물 전구체를 기판에 도포한 후에 상기 전구체를 분해시키는데 충분한 온도로 가열함으로써, 금속 또는 금속 탄화물/질화물, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드 코팅을 기판상에 형성할 수 있다. 상기 전구체는 도장, 분무, 침지 또는 당분야에 알려진 다른 기법을 사용하여 기판상에 도포할 수 있다. 가열은 오븐에서, 열총(heat gun)을 사용하여, 기판을 전기적으로 가열함으로써, 또는 당분야에 알려진 다른 수단에 의해 수행할 수 있다. 유기금속 화합물 전구체를 도포하고, 그것을 가열 및 분해함으로써 제 1 층을 형성하고, 이어서 하나 이상의 다른 코팅을 동일하거나 상이한 전구체를 사용해서 형성하고 가열함으로써 다층 코팅을 얻을 수 있다.
또한, 전술한 바와 같은 유기금속 화합물 전구체를 기판상에 분무하거나 분사할 수도 있다. 사용 가능한 분사 및 분무 수단, 예컨대 노즐, 네뷸라이저 등이 당분야에 잘 알려져 있다.
한편, 본 발명은 유기금속 전구체 및 상기 유기금속 전구체의 CVD 또는 ALD에 의해 기판상에 금속 또는 금속 탄화물 층을 형성하는 방법을 제공한다. 본 발명의 한 측면에서, 본 발명의 유기금속 전구체는 대기압보다 낮은 압력하에 금속 또는 금속 탄화물 층을 증착시키는데 사용된다. 금속 또는 금속 탄화물 층을 증착시키는 방법은 상기 전구체를, 바람직하게는 약 20 토르 미만의 압력으로 유지되는 처리실내로 도입하는 것과, 상기 전구체를 처리 기체의 존재하에 해리시켜서 금속 또는 금속 탄화물 층을 증착시키는 것을 포함한다. 상기 전구체는 열 또는 플라즈마 촉진 방법에 의해 해리시키고 증착시킬 수 있다. 상기 방법은 증착된 층을 플 라즈마 처리에 노출시켜서 오염물질을 제거하고, 층을 고밀도화시키며, 층의 저항률을 감소시키는 단계를 더 포함할 수 있다.
본 발명의 바람직한 실시양태에서, 전술한 바와 같은 유기금속 화합물은 분말, 필름 또는 코팅을 형성하기 위한 기체상 증착 기법에 사용된다. 상기 화합물은 단일 전구체 원료로서 사용하거나, 1종 이상의 다른 전구체와 함께, 예를 들면 1종 이상의 다른 유기금속 화합물 또는 금속 착물을 가열함으로써 발생된 증기와 함께 사용할 수 있다. 전술한 바와 같은 1종 이상의 유기금속 화합물을 주어진 공정에 사용할 수 있다.
전술한 바와 같이, 본 발명은 다른 한편으로 필름, 코팅 또는 분말을 제조하는 방법에 관한 것이다. 이와 같은 본 발명의 방법은 1종 이상의 유기금속 화합물전구체를 분해시킴으로써 이하에 상세히 설명하는 바와 같이 필름, 코팅 또는 분말을 제조하는 단계를 포함한다.
전술한 바와 같은 증착 방법을 수행하여 단일의 금속을 포함하는 필름, 분말 또는 코팅, 또는 단일의 금속 또는 금속 탄화물/질화물, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드를 포함하는 필름, 분말 또는 코팅을 형성할 수 있다. 복합 필름, 분말 또는 코팅, 예를 들면 복합 금속/금속 탄화물 필름을 증착시킬 수도 있다.
기체상 필름 증착법을 수행하여 소정의 두께, 예를 들면 약 1 nm 내지 1 mm 이상의 범위의 두께를 갖는 필름 층들을 제조할 수 있다. 본 발명의 전구체들은 특히 박막, 예를 들면 두께가 약 10 nm 내지 약 100 nm 범위인 필름을 제조하는데 유용하다. 본 발명의 필름은 예컨대 금속 전극을 제조하는데, 구체적으로 논리 회로에서 n-채널 금속 전극으로서, DRAM 용도에 사용되는 커패시터 전극으로서, 그리고 유전체 물질로서 유용하게 사용될 수 있다.
또한, 상기 방법은 층들중 2개 이상이 상이한 상 또는 조성을 갖는 다층 필름을 제조하는데에도 적합하다. 다층 필름의 예로서는, 금속-절연체-반도체, 및 금속-절연체-금속을 들 수 있다.
한 실시양태에서, 본 발명은 전술한 바와 같은 유기금속 화합물 전구체의 증기를 열에 의해, 화학적으로, 광화학적으로 또는 플라즈마 활성화에 의해 분해시켜서 기판상에 필름을 형성하는 단계를 포함한다. 예를 들면, 상기 화합물에 의해 발생된 증기를 상기 유기금속 화합물을 분해시켜서 기판상에 필름을 형성하는데 충분한 온도를 갖는 기판과 접촉시킨다.
상기 유기금속 화합물 전구체는 화학 증착, 또는 더욱 구체적으로, 당분야에 알려진 금속 유기 화학 증착 공정에 사용될 수 있다. 예를 들면, 전술한 바와 같은 유기금속 화합물 전구체를 대기압에서, 그리고 저압에서, 화학 증착 공정에 사용할 수 있다. 상기 화합물들은 반응실 전체를 가열하는 방법인 고온 벽 화학 증착법, 및 기판만을 가열하는 기법인 저온 또는 가온 벽 유형의 화학 증착법에 사용할 수 있다.
또한, 전술한 바와 같은 유기금속 화합물 전구체들은 플라즈마 또는 광 지원 화학 증착 방법에 사용될 수 있으며, 여기서는 플라즈마로부터 유래한 에너지 또는 전자기 에너지를 각각 화학 증착 전구체를 활성시키는데 사용한다. 또한, 상기 화 합물들은 이온빔, 전자빔 지원 화학 증착 방법에 사용될 수도 있으며, 여기서는 이온빔 또는 전자빔을 각각 기판에 조사하여 화학 증착 전구체를 분해하기 위한 에너지를 공급한다. 레이저 광을 기판에 조사하여 화학 증착 전구체의 광분해 반응을 수행하는 레이저 지원 화학 증착 방법도 사용될 수 있다.
본 발명의 방법은 당분야에 알려진 다양한 화학 증착 반응기에서, 예를 들면 고온벽 또는 저온벽 반응기, 플라즈마 지원, 빔 지원 또는 레이저 지원 반응기에서 수행할 수 있다.
본 발명의 방법을 사용해서 코팅할 수 있는 기판의 예로서는 고체 기판, 예를 들면 금속 기판, 예컨대 Al, Ni, Ti, Co, Pt, 금속 실리사이드, 예를 들면 TiSi2, CoSi2, NiSi2; 반도체 재료, 예를 들면 Si, SiGe, GaAs, InP, 다이아몬드, GaN, SiC; 절연체, 예를 들면 SiO2, Si3N4, HfO2, Ta2O5, Al2O3, 바륨 스트론튬 티타네이트(BST); 또는 이러한 물질들의 혼합물을 포함하는 기판을 들 수 있다. 또한, 필름 또는 코팅을 유리, 세라믹, 플라스틱, 열경화성 중합체 물질 및 다른 코팅 또는 필름 층상에 형성할 수도 있다. 바람직한 실시양태에서, 필름 증착은 전자 부품을 제조하거나 가공하는데 사용되는 기판상에서 수행된다. 다른 실시양태에서, 기판은 고온에서 산화제의 존재하에 안정한 저저항률 전도체 증착물 또는 광투과 필름을 지지하는데 사용된다.
본 발명의 방법을 사용하여 평활하고 평평한 표면을 갖는 기판상에 필름을 증착시킬 수 있다. 한 실시양태에서, 본 발명의 방법은 웨이퍼 제조 또는 가공에 사용되는 기판상에 필름을 증착시키기 위해 수행된다. 예를 들면, 본 발명의 방법을 수행하여 트렌치(trench), 호울 또는 바이아와 같은 형체들을 포함하는 패턴 형성된 기판상에 필름을 증착시킬 수 있다. 또한, 본 발명의 방법을 웨이퍼 제조 또는 가공시의 다른 단계들, 예를 들면 마스킹, 에칭 등과 통합시킬 수도 있다.
본 발명의 한 실시양태에서, 탄화 텅스텐과 텅스텐이 농후한 필름을 증착시키기 위해 유기금속 전구체를 사용하고자 플라즈마 지원 ALD(PEALD) 방법을 개발하였다. 고체 전구체를 비활성 기체의 흐름하에 승화시켜서 CVD 처리실내로 도입시킬 수 있다. 수소 플라즈마의 도움으로 기판상에 탄화텅스텐 필름을 성장시킨다. 텅스텐 대 탄소의 비율은, 수소 플라즈마의 펄스 지속기간을 조절함으로써 제어할 수 있다.
화학 증착 필름은 소정의 두께로 증착시킬 수 있다. 예를 들면, 형성된 필름의 두께는 1 마이크로미터 미만, 바람직하게는 500 나노미터 미만, 더욱 바람직하게는 200 나노미터 미만일 수 있다. 두께가 50 나노미터 미만인 필름, 예를 들어 두께가 약 0.1 내지 약 20 나노미터인 필름도 제조할 수 있다.
또한, 본 발명의 유기금속 화합물 전구체들을 본 발명의 방법에 사용하여 ALD 공정 또는 기판을 전구체, 산화제 및 비활성 기체 스트림의 교류 펄스에 노출시키는 원자층 핵형성(ALN) 기법에 의해 필름을 형성할 수도 있다. 순차적인 층 증착 기법은 예컨대 미국 특허 제 6,287,965호 및 미국 특허 제 6,342,277호에 개시되어 있다. 상기 특허들의 개시 내용은 모두 본 명세서에 참고 인용하였다.
예를 들면, 한 ALD 사이클에서, 기판을 단계식으로 (a) 비활성 기체; (b)전 구체 증기를 운반하는 비활성 기체; (c) 비활성 기체; 및 (d) 산화제 단독 또는 비활성 기체와 산화제에 노출시킨다. 일반적으로, 각 단계는 장치가 허용하는 만큼 짧게(예: 밀리초), 그리고 공정이 요구하는 시간만큼 길게(예: 수 초 또는 분) 수행할 수 있다. 한 사이클의 지속 기간은 밀리초만큼 짧을 수 있고 수 분만큼 길 수도 있다. 사이클을 수 분 내지 수 시간 범위의 기간에 걸쳐 반복한다. 제조된 필름의 두께는 소수의 나노미터 만큼 얇거나 또는 1 밀리미터(mm) 정도로 두꺼울 수도 있다.
본 발명은 본 발명의 유기금속 전구체로부터 기판상에, 예를 들면 마이크로전자 소자 구조상에 금속 물질, 예를 들면 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드를 형성하는 방법을 포함하며, 상기 방법은 상기 유기금속 전구체를 증기로 기화시키는 것과, 상기 증기를 기판과 접촉시켜서 기판상에 상기 금속 물질을 형성하는 것을 포함한다. 텅스텐, 질화텅스텐, 탄화텅스텐 또는 텅스텐 카보나이트라이드를 기판상에 증착시킨 후에, 기판에 구리로 금속화를 수행하거나, 기판을 상자성 박막과 통합시킬 수 있다.
또한, 본 발명의 방법은 초임계 유체를 사용해서 수행할 수도 있다. 당분야에 알려진 초임계 유체를 사용하는 필름 증착 방법의 예로서는, 화학 유체 증착; 초임계 유체 운반 화학 증착; 초임계 유체 화학 증착; 및 초임계 액침(immersion) 증착을 들 수 있다.
화학 유체 증착 방법은, 예컨대 고순도 필름을 제조하고, 복잡한 표면을 피복하고 종횡비가 높은 형체를 충전하는데 적합하다. 예를 들면 화학 유체 증착법 의 일례가 미국 특허 제 5,789,027호에 개시되어 있다. 초임계 유체를 사용하여 필름을 형성하는 방법도 미국 특허 제 6,541,278 B2호에 개시되어 있다. 상기 두 특허 공보의 개시 내용은 모두 본 명세서에 참고 인용하였다.
본 발명의 한 실시양태에서, 가열된 패턴형성된 기판을 용매, 예컨대 준임계 또는 초임계 유체, 예를 들면 준임계 또는 초임계 CO2와 같은 용매의 존재하에 1종 이상의 유기금속 화합물 전구체에 노출시킨다. CO2의 경우에, 용매 유체는 약 1000 psig를 초과하는 압력 및 약 30℃ 이상의 온도로 제공된다.
전구체를 분해시켜서 기판상에 금속 필름을 형성한다. 또한, 반응에 의해 전구체로부터 유기 물질이 생성된다. 상기 유기 물질은 용매 유체에 의해 가용화되어 기판으로부터 쉽게 제거된다.
일례로서, 증착 공정을 하나 이상의 기판을 수용한 반응실에서 수행한다. 처리실 전체를 예를 들면 요로 등에 의해 가열함으로써 기판을 소정의 온도로 가열한다. 예를 들면 처리실에 진공을 가함으로써 유기금속 화합물의 증기를 생성할 수 있다. 비등점이 낮은 화합물의 경우에, 처리실은 화합물의 기화를 일으키는데 충분히 높은 온도를 가질 수 있다. 증기가 가열된 기판 표면과 접촉함에 따라서, 증기는 분해되어 금속 또는 금속 탄화물 필름을 형성한다. 전술한 바와 같이, 유기금속 화합물 전구체는 단독으로 또는 1종 이상의 성분, 예를 들면 다른 유기금속 전구체, 비활성 운반 기체 또는 반응성 기체와 함께 사용될 수 있다.
본 발명의 방법에 의해 필름을 제조하는데 사용될 수 있는 시스템에서, 원료 를 직접 기체 혼합 매니폴드(manifold)로 공급하여, 필름 성장이 이루어지는 증착 반응기에 공급될 처리 기체를 생성한다. 원료로서는 운반 기체, 반응성 기체, 소기용 기체, 전구체, 에칭/세정 기체 등을 들 수 있으나, 이들에 제한되는 것은 아니다. 질량 흐름 제어기, 밸브, 압력 변환기 및 당분야에 알려진 기타 수단을 사용하여 처리 기체 조성을 정확히 제어한다. 배기 매니폴드는 증착 반응기로부터 배출되는 기체뿐만 아니라 우회된 스트림을 진공 펌프에 운반할 수 있다. 배기 펌프 하류의 감쇠(abatement) 시스템을 사용하여 배기 가스로부터 유해 물질을 제거할 수 있다. 상기 증착 시스템은 잔류 기체 분석기를 포함하는 동일계상 분석 시스템을 구비하여, 처리 기체의 조성을 측정할 수도 있다. 제어 및 데이터 획득 시스템은 다양한 공정 파라미터들(예를 들면, 온도, 압력, 유속 등)을 모니터할 수 있다.
전술한 바와 같은 유기금속 화합물 전구체를 사용하여 단일의 금속을 포함하는 필름 또는 단일의 금속 탄화물을 포함하는 필름을 제조할 수 있다. 복합 필름, 예컨대 복합 금속 탄화물 필름도 증착시킬 수 있다. 이와 같은 필름은, 예컨대 몇 가지 유기금속 전구체를 사용함으로써 제조된다. 또한, 운반 기체, 증기 또는 기타 산소원을 사용하지 않고 금속 필름을 제조할 수도 있다.
본 발명의 방법에 의해 제조된 필름은 당분야에 알려진 기법, 예를 들면 X선 회절법, 오거(Auger) 분광분석법, X선 광전자 발광 분광분석법, 원자력 현미경 분석법, 주사 전자 현미경 분석법, 및 기타 당업자에게 알려진 기법에 의해 특성 분석될 수 있다. 또한, 필름의 저항률과 열 안정성도 당분야에 알려진 기법에 의해 측정할 수 있다.
본 발명의 유기금속 화합물은 반도체 용도에서 화학 증착 또는 원자층 증착 전구체로서 사용되는 용도외에도, 예를 들면 촉매로서, 연료 첨가제로서, 그리고 유기 합성에도 유용하게 사용될 수 있다.
당업자라면 본 발명의 다양한 개조예 및 변경예를 명확히 파악할 수 있을 것이며, 이와 같은 개조예와 변경예도 모두 첨부된 청구의 범위에 의해 정해지는 본 발명의 기술사상과 보호범위내에 포함되는 것임을 알아 두어야 한다.
실시예 1
리튬 에틸시클로펜타디에나이드의 합성
오븐 건조된 2.0 리터 둥근 바닥 3목 플라스크에 테플론 코팅된 자기 막대, 500 mL 적하 깔대기 및 스톱코크 어댑터를 장착시켰다. 플라스크상의 나머지 목 부분을 고무 마개로 밀봉하였다. 이어서, 플라스크를 타이건(Tygon®) 튜브를 통해서 질소/진공 매니폴드에 연결시키고, 플라스크의 내용물을 배기시킨 다음 다시 질소로 3회 충전시켰다.
이어서, 무수 헥산(500 mL)을 캐뉼라를 통해 플라스크내로 공급하고, 2.0 리터 플라스크를 냉각조 내부에서 -10℃로 냉각시켰다. 상기 용액에, 분해한 직후의 에틸시클로펜타디엔(97.5 그램, 1.06 몰)을 첨가하였다. 이어서, n-부틸리튬(380 밀리리터, 헥산중 2.5 M, 1.0 몰)을 적하 깔대기에 첨가하였다. 이어서, n-부틸리 튬 용액을 교반하에 에틸시클로펜타디엔 용액에 적가하였다. 열전대를 통해서 반응 온도를 모니터하고, 첨가하는 3 시간 동안 온도를 -10℃ 내지 0℃로 유지시켰다. 첨가하는 동안에, 불용성 백색 침전(리튬 에틸시클로펜타디에나이드)의 존재가 드러나게 되었다.
일단 첨가를 완결한 다음, 실험 장치를 비활성 대기 글러브박스 내부로 옮겼다. 반응 플라스크의 내용물을 조대 프릿을 통해 여과하고, 백색 고형물을 헥산 100 mL로 3회 세정하였다. 이어서, 솜털같은 백색 고형물을 진공하에 건조시켜서 리튬 에틸시클로펜타디에나이드 100.1 그램을 수득하였다.
실시예 2
Li[(EtCp)W(CO) 3 ]의 합성
오븐 건조된 3.0 리터 플라스크에 응축기, 기계 교반 샤프트 및 고무 마개를 장착시켰다. 스톱코크 어댑터를 응축기에 장착시킨 후에, 조립체를 타이건 튜브를 사용하여 스톱코크 어댑터를 통해 질소/진공 매니폴드에 연결시켰다. 플라스크의 내용물을 배기시킨 다음 다시 질소로 3회 충전시켰다.
진공하에서, 장치를 질소/진공 매니폴드로부터 분리시켜서, 비활성 대기 글러브박스 내부로 옮겼다. 고무 마개를 제거하고, 텅스텐 헥사카르보닐(300 그램, 0.85 몰)을 3.0 리터 플라스크내로 넣었다. 고무 마개를 다시 막고, 플라스크를 글러브박스에서 꺼낸 다음 다시 진공/질소 매니폴드에 연결시켰다.
이어서, 디메톡시에탄(1.0 리터, 무수)를 슈어실(Sureseal®) 병으로부터 캐 뉼라를 통해 반응 용기내로 넣고, 용액을 교반시켰다. 텅스텐 헥사카르보닐은 상기 용액에서 무시할 만한 수준의 용해도를 나타내었으며, 불균일 용액중에 분산된 백색 현탁물로 나타났다.
비활성 대기 글러브 박스 내부에서, 1목 2.0 리터 둥근 바닥 플라스크에, 리튬 에틸시클로펜타디에나이드(85.3 그램) 및 테플론 코팅된 교반 막대를 부가하였다. 목 부분을 고무 마개로 밀봉하여 글러브 박스로부터 제거하였다. 이어서, 교반하에 비활성 질소 패드하에서 디메톡시에탄(500 mL, 무수)를 캐뉼라를 통해 1목 플라스크내로 넣었다. 리튬 에틸시클로펜타디에나이드가 디메톡시에탄에 완전히 용해되어 담황색 용액으로 되었다.
이어서, 상기 리튬 에틸시클로펜타디에나이드 용액을 캐뉼라를 통해 디메톡시옥산과 W(CO)6을 함유하는 플라스크내로 두 반응 플라스크를 교반시키면서 넣었다. 일단 첨가를 완결한 다음, 응축기를 통해 물을 유동시키기 시작하고 반응 용기의 내용물을 환류 가열하였다. 1일 경과시에, 용액의 색깔은 백색 현탁물이 있는 담황색 용액으로부터 암적색으로 변하였다. 초기에는, 반응 용기의 내용물들을 교반시키기는데 충분한 토오크를 발생시키기 위해 기계적 교반이 필요하지만, 1일 경과 후에는, 테플론 코팅된 자기 막대를 기계적인 교반 장치 대신에 사용하였다. 이 시점에서, 충분한 양의 고형물이 용액으로 전환되어, 테플론 교반 막대로 용액중에서 교반을 유지시킬 수 있었다.
3일 동안 완만한 환류를 계속 유지시킨 후에, 용액을 실온으로 냉각시켰다. 이 시점에서, Li[(EtCp)W(CO)3]의 적색 용액을 차후 합성을 위한 합성 시약으로서 사용하거나, 분리시킬 수 있다. 염의 분리는 감압하에 용매를 제거하거나, 펜탄과 같은 비극성 용매를 첨가함으로써 수행할 수 있다. 상기 용액을 휘발성 W계 유기금속의 제조시에 분리시키지 않고 합성 중간체로서 사용하는 경우가 가장 많다.
실시예 3
(EtCp)W(CO) 3 H의 합성
3목 1.0 리터 플라스크에, 교반 막대 및 2개의 고무 마개와 스톱코크 어댑터를 연결하였다. 이어서, 플라스크를 질소/진공 매니폴드에 연결하고 배기시킨 다음 3회 재충전하였다. 이어서, 디메톡시에탄(500 밀리리터)를 캐뉼라를 통해 용기에 넣고 액체를 교반하였다. 이어서, 빙초산(51 그램, 0.85 몰)을 고무 마개를 통해 시린지를 사용해서 용기에 첨가하였다. 이어서, 용액에 N2를 30분동안 발포시켰다.
디메톡시에탄중의 Li[(EtCp)W(CO)3 용액(DME 1.5 리터중의 0.85 몰 Li[(EtCp)W(CO)3])을 실시예 2에 설명된 바와 같이 제조하였다. 고무 마개를 제거하고, 오븐 건조된 비활성 대기 1.0 리터 적하 깔대기로 대체하였다.
이어서, DME중의 빙초산 용액을 비활성 N2 패드하에서 캐뉼라를 통해 1.0 리터 플라스크로부터 1.0 리터 적하 깔대기로 옮겼다. 적하 깔대기의 내용물을 2시간에 걸쳐서 적가하였다. 이 기간 동안에 적색 용액으로부터 갈색 고형물이 침전 되었다. 첨가를 완결한 후에, 반응 플라스크의 내용물을 밤새 교반시켰다.
이어서, 3.0 리터 플라스크를 비활성 대기 글러브 박스 내부로 옮기고, 반응 용기의 내용물을 조대 프릿을 통해 3.0 리터 2목 둥근 바닥 플라스크내로 여과하였다. 프릿상에 수집된 고형물을 버렸다. 일단 여과된 용액이 옮겨진 다음에는, 플라스크에 비활성 대기 증류 헤드를 장착시키고, 질소 패드하에 글러브 박스로부터 제거하였다.
초기에 증류를 대기압하에 수행하여 디메톡시에탄을 제거하였다. 일단 디메톡시에탄이 대부분 제거되면, 증류를 감압하에 수행하였다. 여러번의 유분을 수집하였으며, 증류하는 동안에 W(CO)6가 조기 승화한 증거가 존재하였다. 소정의 생성물인 황색 액체 생성물 (EtCp)W(CO)3H 248 그램을 3X10-1 토르의 압력하에 81℃의 헤드부 온도에서 수집하였다. 1H NMR, TGA, GC 및 DSC에 의해서 (EtCp)W(CO)3H를 특성 분석하였다.
실시예 4
(EtCp)W(CO) 3 CH 3 의 합성
3목 1.0 리터 플라스크에, 교반 막대 및 2개의 고무 마개와 스톱코크 어댑터를 연결시켰다. 이어서, 플라스크를 질소/진공 매니폴드에 연결하고 배기시킨 다음 3회 재충전하였다. 이어서, 디메톡시에탄(500 밀리리터)를 캐뉼라를 통해 용기내로 넣고 액체를 교반하였다. 이어서, 브로모메탄(81 그램, 0.85 몰)을 고무 마 개를 통해 시린지에 의해서 용기에 첨가하였다. 이어서, 용액을 30분 동안 N2로 발포시켰다.
디메톡시에탄중의 Li[(EtCp)W(CO)3] 용액(DME 1.5 리터중의 0.85 몰 Li[(EtCp)W(CO)3])을 실시예 2에 설명된 바와 같이 제조하였다. 고무 마개를 제거하고, 오븐 건조된 비활성 대기 1.0 리터 적하 깔대기로 대체하였다.
이어서, DME중의 브로모메탄 용액을 비활성 N2 패드하에 캐뉼라를 통해서 1.0 리터 플라스크로부터 1.0 리터 적하 깔대기로 옮겼다. 적하 깔대기의 내용물을 2시간에 걸쳐서 적가하였다. 이 기간 동안에 적색 용액으로부터 갈색 고형물이 침전되었다. 첨가를 완료한 후에, 반응 플라스크의 내용물을 밤새 교반시켰다.
이어서, 3.0 리터 플라스크를 비활성 대기 글러브 박스 내부로 옮기고, 반응 용기의 내용물을 조대 프릿을 통해 3.0 리터 2목 둥근 바닥 플라스크내로 여과하였다. 프릿상에 수집된 고형물을 버렸다. 일단 여과된 용액이 옮겨진 다음에는, 플라스크에 비활성 대기 증류 헤드를 장착시키고, 질소 패드하에 글러브 박스로부터 제거하였다.
초기에 증류를 대기압하에 수행하여 디메톡시에탄을 제거하였다. 일단 디메톡시에탄이 대부분 제거되면, 증류를 감압하에 수행하였다. 여러번의 유분을 수집하였으며, 증류하는 동안에 W(CO)6가 조기 승화한 증거가 존재하였다. 소정의 생성물인 황색 액체 생성물 (EtCp)W(CO)3CH3 227 그램을 3X10-1 토르의 압력하에 94℃의 헤드 부 온도에서 수집하였다.

Claims (20)

  1. 화학식 (L1)yM(L2)z-y로 표시되며, 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같은 유기금속 화합물.
  2. 제 1 항에 있어서, 상기 식에서 M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택된 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 (i) 히드리도, 할로 및 탄소 원자수 1 내지 12인 알킬기중에서 선택된 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 니트로실중에서 선택된 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴 및 이소니트릴중에서 선택된 치환 또는 미치환된 중성 2전자 공여체 리간드인 화합물.
  3. 제 1 항에 있어서, (i) M은 산화수(+2)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고, 나머지 세개의 L2 리간드들은 동일하거나 상이하고 각각 (0)의 전하를 갖는 치환 또는 미치환된 중성 2전자 공여체 리간드인 화합물; (ii) M은 산화수(0)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (+1)의 전하를 갖는 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고, 나머지 두개의 L2 리간드들은 동일하거나 상이하고 각각 (0)의 전하를 갖는 치환 또는 미치환된 중성 2전자 공여체 리간드인 화합물; 및 (iii) M은 산화수(0)인 텅스텐(W)이고, L1은 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며, 하나의 L2 리간드는 (-1)의 전하를 갖는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고, 나머지 두개의 L2 리간드들은 동일하거나 상이하고 각각 (+1)의 전하를 갖는 치환 또는 미치환된 양이온성 2전자 공여체 리간드인 화합물중에서 선택된 화합물.
  4. 제 1 항에 있어서,
    (i) 화학식 L1M"(L3)x(L4)y'(L5)z'로 표시되는 화합물 (상기 식에서 M"는 n의 산화 상태를 갖는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3은 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이고; n은 정수 0 또는 2이며; z'는 정수 0 또는 1이고; x는 z'-n+1과 같은 정수이되, 단, x가 0 이상인 것을 조건으로 하며; y'는 7n/2+2x-4z'와 같은 정수이되, 단, y'가 0 이상인 것을 조건으로 하고; M"의 산화수와 L1, L3, L4 및 L5의 전하의 합계는 0과 같음);
    (ii) 화학식 (L1)yM(CO)x'(L6)z-y-x'로 표시되는 화합물 (상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L6는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드이며; x'는 0 내지 3의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L6 및 CO기의 전하의 합계는 0과 같음);
    (iii) 화학식 L1M'L4(L5)3로 표시되는 화합물 (상기 식에서 M'는 5족 금속이 고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L4는 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L5는 동일하거나 상이하고, 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며, M'의 산화수와 L1, L4 및 L5의 전하의 합계는 0과 같음);
    (iv) 화학식 L1M'L3(L4)2로 표시되는 화합물 (상기 식에서 M'는 5족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L4는 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며, M'의 산화수와 L1, L3 및 L4의 전하의 합계는 0과 같음);
    (v) 화학식 (L1)yM(NO)x"(L7)z-y-x"로 표시되는 화합물 (상기 식에서 M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L7는 동일하거나 상이하고, (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, 또는 (ii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; x"는 0 내지 2의 정수이고; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L1, L7 및 NO기의 전하의 합계는 0과 같음);
    (vi) 화학식 L1M'(L3)2L5로 표시되는 화합물 (상기 식에서 M'은 5족 금속이 고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L3는 동일하거나 상이하고, 치환 또는 미치환된 양이온성 2전자 공여체 리간드이고; L5는 치환 또는 미치환된 음이온성 2전자 공여체 리간드이며; M'의 산화수와 L1, L3 및 L5의 전하의 합계는 0과 같음);
    (vii) 화학식 (L8)yM(L4)z-y로 표시되는 화합물 (상기 식에서 M은 5족 금속 또는 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이고; L8은 치환된 킬레이트화 디엔 리간드이며; y는 정수 1이며; z는 M의 원자가이고; M의 산화수와 L4 및 L8의 전하의 합계는 0과 같음); 및
    (viii) 화학식 (L8)M"(L4)4로 표시되는 화합물 (상기 식에서 M"은 6족 금속이고; L4은 동일하거나 상이하고, 치환 또는 미치환된 중성 2전자 공여체 리간드이며; L8은 치환된 킬레이트화 디엔 리간드이고; M"의 산화수와 L4 및 L8의 전하의 합계는 0과 같음)중에서 선택된 화합물.
  5. 제 4 항에 있어서,
    (i) 화학식 L1M"(L3)x(L4)y'(L5)z'로 표시되는 화합물 (상기 식에서 M"는 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 시클 로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 니트로실중에서 선택되고; L4는 치환 또는 미치환된 카르보닐, 포스핀, 아민, 니트릴, 알킨 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기중에서 선택됨);
    (ii) 화학식 (L1)yM(CO)x'(L6)z-y-x'로 표시되는 화합물 (상기 식에서 M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L6은 (i) 치환 또는 미치환된 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기, 및 (ii) 치환 또는 미치환된 니트로실중에서 선택됨);
    (iii) 화학식 L1M'L4(L5)3로 표시되는 화합물 (상기 식에서 M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L4은 치환 또는 미치환된 카르보닐, 포스핀, 아민, 니트릴, 알킨 및 알켄중에서 선택되며; L5는 치환 또는 미치환된 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기중에서 선택됨);
    (iv) 화학식 L1M'L3(L4)2로 표시되는 화합물 (상기 식에서 M'는 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 니트로실중에서 선택되고; L4은 치환 또는 미치환된 카르보닐, 포스핀, 아민, 니트릴, 알킨 및 알켄중에서 선택됨);
    (v) 화학식 (L1)yM(NO)x"(L7)z-y-x"로 표시되는 화합물 (상기 식에서 M는 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L1은 치환 또는 미치환된 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L7은 (i) 치환 또는 미치환된 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기, 및 (ii) 치환 또는 미치환된 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴 및 이소니트릴중에서 선택됨);
    (vi) 화학식 L1M'(L3)2L5로 표시되는 화합물 (상기 식에서 M'은 탄탈(Ta), 니오븀(Nb) 및 바나듐(V)중에서 선택되고; L1은 치환 또는 미치환된 시클로펜타디에닐, 시클로헵타디에닐, 펜타디에닐, 피롤릴, 이미다졸릴, 피라졸릴 및 보라타벤질중에서 선택되며; L3은 치환 또는 미치환된 니트로실중에서 선택되며; L5는 치환 또는 미치환된 히드리도, 할로 및 탄소 원자수 1 내지 12의 알킬기중에서 선택됨);
    (vii) 화학식 (L8)yM(L4)z-y로 표시되는 화합물 (상기 식에서 M은 텅스텐(W), 탄탈(Ta), 몰리브덴(Mo), 니오븀(Nb), 바나듐(V) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 카르보닐, 포스피노, 아미노, 알케닐, 알키닐, 니트릴 및 이소니트릴중에서 선택되며; L8은 알킬 치환된 1,4-시클로헥사디에닐, 알킬 치환된 1,3-시클로펜타디에닐, 알킬 치환된 1,5-시클로헵타디에닐 및 알킬 치환된 1,6-시클로옥타디에닐중에서 선택됨); 및
    (viii) 화학식 (L8)M"(L4)4로 표시되는 화합물 (상기 식에서 M"은 텅스텐(W), 몰리브덴(Mo) 또는 크롬(Cr)중에서 선택되고; L4은 치환 또는 미치환된 카르보닐, 포스핀, 아민, 알켄, 알킨, 니트릴 및 이소니트릴중에서 선택되며; L8은 알킬 치환된 1,4-시클로헥사디엔, 알킬 치환된 1,3-시클로펜타디엔, 알킬 치환된 1,5-시클로헵타디엔 및 알킬 치환된 1,6-시클로옥타디엔중에서 선택됨)중에서 선택된 화합물.
  6. 제 1 항에 있어서, 트리스(카르보닐)(메틸시클로펜타디에닐)히드리도텅스텐, (MeCp)W(CO)3H;
    트리스(카르보닐)(에틸시클로펜타디에닐)히드리도텅스텐, (EtCp)W(CO)3H;
    트리스(카르보닐)(펜타메틸시클로펜타디에닐)히드리도텅스텐, Cp*W(CO)3H;
    트리스(카르보닐)(메틸시클로펜타디에닐)메틸텅스텐, (MeCp)W(CO)3CH3;
    트리스(카르보닐)(에틸시클로펜타디에닐)메틸텅스텐, (EtCp)W(CO)3CH3;
    트리스(카르보닐)(펜타메틸시클로펜타디에닐)메틸텅스텐, Cp*W(CO)3CH3;
    트리스(카르보닐)(메틸시클로펜타디에닐)에틸텅스텐, (MeCp)W(CO)3C2H5;
    트리스(카르보닐)(에틸시클로펜타디에닐)에틸텅스텐, (EtCp)W(CO)3C2H5;
    트리스(카르보닐)(펜타메틸시클로펜타디에닐)에틸텅스텐, Cp*W(CO)3C2H5;
    트리스(아세토니트릴)(메틸시클로펜타디에닐)히드리도텅스텐, (MeCp)W(NCCH3)3H;
    트리스(아세토니트릴)(에틸시클로펜타디에닐)히드리도텅스텐, (EtCp)W(NCCH3)3H;
    트리스(아세토니트릴)(펜타메틸시클로펜타디에닐)히드리도텅스텐, Cp*W(NCCH3)3H;
    트리스(카르보닐)(시클로헵타디에닐)히드리도텅스텐, (C7H9)W(CO)3H;
    트리스(카르보닐)(2,4-디메틸펜타디에닐)히드리도텅스텐, ((CH3)2C5H5)W(CO)3H;
    트리스(카르보닐)(2,5-디메틸피롤릴)히드리도텅스텐, ((CH3)2C4H2N)W(CO)3H;
    메틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, (MeCp)W(NO)2H;
    에틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, (EtCp)W(NO)2H;
    펜타메틸시클로펜타디에닐비스(니트로실)히드리도텅스텐, Cp*W(NO)2H;
    메틸시클로펜타디에닐비스(니트로실)메틸텅스텐, (MeCp)W(NO)2CH3;
    에틸시클로펜타디에닐비스(니트로실)메틸텅스텐, (EtCp)W(NO)2CH3;
    펜타메틸시클로펜타디에닐비스(니트로실)메틸텅스텐, Cp*W(NO)2CH3;
    메틸시클로펜타디에닐비스(니트로실)에틸텅스텐, (MeCp)W(NO)2C2H5;
    에틸시클로펜타디에닐비스(니트로실)에틸텅스텐, (EtCp)W(NO)2C2H5;
    펜타메틸시클로펜타디에닐비스(니트로실)에틸텅스텐, Cp*W(NO)2C2H5;
    시클로헵타디에닐비스(니트로실)히드리도텅스텐, (C7H9)W(NO)2H;
    (2,4-디메틸펜타디에닐)비스(니트로실)히드리도텅스텐, ((CH3)2C5H5)W(NO2)H;
    (2,5-디메틸피롤릴)비스(니트로실)히드리도텅스텐, ((CH3)2C4H2N)W(NO2)H;
    메틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, (MeCp)W(NO)H2;
    에틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, (EtCp)W(NO)H2;
    펜타메틸시클로펜타디에닐니트로실비스(히드리도)텅스텐, Cp*W(NO)H2;
    메틸시클로펜타디에닐니트로실비스(메틸)텅스텐, (MeCp)W(NO)(CH3)2;
    에틸시클로펜타디에닐니트로실비스(메틸)텅스텐, (EtCp)W(NO)(CH3)2;
    펜타메틸시클로펜타디에닐니트로실비스(메틸)텅스텐, Cp*W(NO)(CH3)2;
    메틸시클로펜타디에닐니트로실비스(에틸)텅스텐, (MeCp)W(NO)(C2H5)2;
    에틸시클로펜타디에닐니트로실비스(에틸)텅스텐, (EtCp)W(NO)(C2H5)2;
    펜타메틸시클로펜타디에닐니트로실비스(에틸)텅스텐, Cp*W(NO)(C2H5)2;
    시클로헵타디에닐니트로실비스(에틸)텅스텐, (C7H9)W(NO)(C2H5)2;
    2,4-디메틸펜타디에닐니트로실비스(에틸)텅스텐, ((CH3)2C5H5)W(NO)(C2H5)2; 및
    2,5-디메틸피롤릴니트로실비스(에틸)텅스텐, ((CH3)2C4H2N)W(NO)(C2H5)2 중에서 선택된 화합물.
  7. 금속 할라이드, 염 및 환원제를 제 1 용매의 존재하에서 중간체 반응물을 생성하는데 충분한 반응 조건하에 반응시키는 것과, 상기 중간체 반응물과 염기 물질을 제 2 용매의 존재하에서 유기금속 화합물을 제조하는데 충분한 반응 조건하에 반응시키는 것을 포함하는,
    화학식 (L1)yM(L2)z-y로 표시되며, 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같은 유기금속 화합물의 제조 방법.
  8. 제 7 항에 있어서, 상기 금속 할라이드가 텅스텐 헥사클로라이드, 몰리브덴 헥사클로라이드, 크롬 헥사클로라이드, 탄탈 펜타클로라이드, 니오븀 펜타클로라이드 또는 바나듐 펜타클로라이드를 포함하고; 상기 염이 나트륨 시클로펜타디엔, 칼륨 시클로펜타디엔, 리튬 시클로펜타디엔 또는 마그네소센을 포함하며; 상기 환원제는 나트륨 비스(2-메톡시에톡시)알루미늄 디하이드라이드, 나트륨 보로하이드라이드 또는 리튬 알루미늄 하이드라이드를 포함하고; 상기 제 1 용매는 디메톡시에탄(DME), 톨루엔 또는 이들의 혼합물을 포함하며; 상기 중간체 반응물은 Li[(EtCp])W(CO)3], Na[(EtCp)W(CO)3], 및 PPN[(2,5-디메틸피롤릴)W(CO)3]중에서 선택되고; 상기 염기 물질은 수산화나트륨, 수산화칼륨 또는 에틸 아세테이트를 포함하고; 상기 제 2 용매는 톨루엔, 헥산 또는 이들의 혼합물을 포함하는 것인 제조 방법.
  9. 화학식 (L1)yM(L2)z-y로 표시되며, 상기 식에서, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같은 유기금속 전구체 화합물을 분해시킴으로써 필름, 코팅 또는 분말을 제조하는 방법.
  10. 제 9 항에 있어서, 상기 유기 금속 전구체 화합물을 분해시키는 것은 열, 화학, 광화학 또는 플라즈마 활성화 분해에 의한 것인 방법.
  11. 제 9 항에 있어서, 상기 필름, 코팅 또는 분말이 화학 증착, 원자층 증착, 플라즈마 지원 화학 증착 또는 플라즈마 지원 원자층 증착에 의해 제조되는 것인 방법.
  12. (i) 유기금속 전구체 화합물을 처리실내로 도입하는 것과; (ii) 기판을 약 100℃ 내지 약 400℃의 온도로 가열하는 것과; (iii) 상기 유기금속 전구체 화합물 을 처리 기체의 존재하에 해리시켜서 상기 기판상에 금속층을 증착시키는 것을 포함하며, 여기서 상기 유기금속 전구체 화합물은 화학식 (L1)yM(L2)z-y (식중, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)로 표시되는 것인, 처리실에서 기판을 처리하는 방법.
  13. 제 12 항에 있어서, 상기 기판상에 텅스텐, 질화텅스텐, 탄화텅스텐, 또는 텅스텐 카보나이트라이드를 증착시키는 방법.
  14. 제 12 항에 있어서, 상기 금속층을 화학 증착, 원자층 증착, 플라즈마 지원 화학 증착 또는 플라즈마 지원 원자층 증착에 의해 상기 기판상에 증착시키는 방법.
  15. 제 12 항에 있어서, 상기 유기금속 전구체 화합물을 해리시키는 것은 약 0.6 와트/cm2 내지 약 3.2 와트/cm2 의 전력 밀도하에 플라즈마를 발생시키는 것을 더 포함하는 방법.
  16. 제 12 항에 있어서, 상기 증착된 금속층을 약 0.6 와트/cm2 내지 약 3.2 와트/cm2 의 전력 밀도하에 발생된 플라즈마에 노출시키는 것을 더 포함하는 방법.
  17. 제 12 항에 있어서, 상기 금속층상에 제 2 금속층을 증착시키는 것을 더 포함하고, 여기서 상기 제 2 금속층은 구리를 포함하며 전해도금 기법에 의해 증착시키는 방법.
  18. 유기금속 전구체 화합물을 기화시켜서 증기를 형성하는 것과, 상기 증기를 기판과 접촉시켜서 상기 기판상에 금속 물질을 형성하는 것을 포함하며, 여기서 상기 유기금속 전구체 화합물은 화학식 (L1)yM(L2)z-y (식중, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)로 표시되는 것인, 유기금속 전구체 화합물로부터 기판상에 금속 물질을 형성하는 방법.
  19. 제 18 항에 있어서, 상기 기판상에 텅스텐, 질화텅스텐, 탄화텅스텐, 또는 텅스텐 카보나이트라이드를 증착시키며, 이후에 상기 기판을 구리로 금속화하거나 상자성 박막과 통합시키는 방법.
  20. 유기금속 전구체 화합물을 기화시켜서 증기를 형성하는 것과, 상기 증기를 기판과 접촉시켜서 상기 기판상에 금속 함유 필름을 증착시키는 것과, 차후에 상기 기판을 구리로 금속화하거나, 상기 기판을 상자성 박막과 통합시키는 것을 포함하며, 여기서 상기 유기금속 전구체 화합물은 화학식 (L1)yM(L2)z-y (식중, M은 5족 금속 또는 6족 금속이고; L1은 치환 또는 미치환된 음이온성 6전자 공여체 리간드이며; L2는 동일하거나 상이하고 (i) 치환 또는 미치환된 음이온성 2전자 공여체 리간드, (ii) 치환 또는 미치환된 양이온성 2전자 공여체 리간드, 또는 (iii) 치환 또는 미치환된 중성 2전자 공여체 리간드이며; y는 정수 1이고; z는 M의 원자가이며; M의 산화수와 L1 및 L2의 전하의 합계는 0과 같음)로 표시되는 것인, 마이크로전자 소자 구조물의 제조 방법.
KR1020097008617A 2006-09-28 2007-09-27 유기금속 전구체 화합물 KR101434696B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US84765306P 2006-09-28 2006-09-28
US60/847,653 2006-09-28
US11/900,382 US8153831B2 (en) 2006-09-28 2007-09-11 Organometallic compounds, processes for the preparation thereof and methods of use thereof
US11/900,382 2007-09-11
PCT/US2007/079707 WO2008039916A1 (en) 2006-09-28 2007-09-27 Organometallic precursor compounds

Publications (2)

Publication Number Publication Date
KR20090095546A true KR20090095546A (ko) 2009-09-09
KR101434696B1 KR101434696B1 (ko) 2014-08-27

Family

ID=39012097

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097008617A KR101434696B1 (ko) 2006-09-28 2007-09-27 유기금속 전구체 화합물

Country Status (7)

Country Link
US (1) US8153831B2 (ko)
EP (1) EP2069373B1 (ko)
JP (1) JP2010504999A (ko)
KR (1) KR101434696B1 (ko)
CN (1) CN101516900A (ko)
SG (1) SG177928A1 (ko)
WO (1) WO2008039916A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014189339A1 (ko) * 2013-05-24 2014-11-27 주식회사 유피케미칼 텅스텐 화합물을 이용한 텅스텐-함유 막의 증착 방법 및 상기 텅스텐 화합물을 포함하는 텅스텐-함유 막 증착용 전구체 조성물
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
TWI425110B (zh) * 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8062977B1 (en) * 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
ATE535534T1 (de) * 2008-10-07 2011-12-15 Air Liquide Metall-organische niobium- und vanadium-vorläufer zur dünnschichtablagerung
US8425987B2 (en) * 2008-12-31 2013-04-23 Intel Corporation Surface charge enhanced atomic layer deposition of pure metallic films
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
JP6022228B2 (ja) 2011-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
KR101369285B1 (ko) * 2012-04-13 2014-03-06 한국과학기술연구원 2차원 나노구조의 텅스텐 카바이드 및 그 제조방법
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR20140028992A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US20160122867A1 (en) * 2013-05-24 2016-05-05 Up Chemical Co., Ltd. Deposition method for tungsten-containing film using tungsten compound, and precursor composition for depositing tungsten-containing film, comprising tungsten compound
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102442621B1 (ko) 2015-11-30 2022-09-13 삼성전자주식회사 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
KR20170134234A (ko) * 2016-05-27 2017-12-06 주식회사 테스 탄화금속 박막의 증착 방법
CN109690755A (zh) * 2016-09-30 2019-04-26 英特尔公司 使用含钨粘合层增强互连可靠性能以实现钴互连的微电子器件和方法
KR20180038823A (ko) * 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR102474876B1 (ko) 2017-06-15 2022-12-07 삼성전자주식회사 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
WO2020131614A1 (en) * 2018-12-19 2020-06-25 Entegris, Inc. Methods for depositing a tungsten or molybdenum layer in the presence of a reducing co-reactant
US11524973B2 (en) 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
CN110568102A (zh) * 2019-09-11 2019-12-13 苏州普耀光电材料有限公司 一种利用气相色谱仪测定mo源纯度的方法
CN111233940B (zh) * 2020-04-01 2022-08-30 苏州欣溪源新材料科技有限公司 钨配合物及其制备方法与应用
CN111825728B (zh) * 2020-07-16 2022-11-04 苏州欣溪源新材料科技有限公司 二氯二茂钨类配合物及其制备方法与应用
CN111777649A (zh) * 2020-07-16 2020-10-16 苏州欣溪源新材料科技有限公司 二烷基二茂钼类配合物及其制备方法与应用
CN111747994A (zh) * 2020-07-16 2020-10-09 苏州欣溪源新材料科技有限公司 二胺基二茂钼类配合物及其制备方法与应用
TW202217037A (zh) * 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
KR20220145619A (ko) * 2021-04-22 2022-10-31 주식회사 한솔케미칼 유기 금속 화합물 제조방법 및 이의 방법으로 얻어진 유기 금속 화합물을 이용한 박막
WO2023154404A1 (en) * 2022-02-11 2023-08-17 Entegris, Inc. Organometallic precursors and related methods
KR20240038417A (ko) 2022-09-16 2024-03-25 오션브릿지 주식회사 금속 전구체 화합물 및 이를 이용한 박막 형성 방법
JP2024047686A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜システム
CN115584487A (zh) * 2022-10-18 2023-01-10 合肥安德科铭半导体科技有限公司 一种双(烷基亚胺基)双(烷基胺基)钨(vi)的制备方法及应用
KR20240055399A (ko) 2022-10-20 2024-04-29 오션브릿지 주식회사 원자층증착용 전구체 조성물 및 이를 이용한 박막 증착 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05209272A (ja) * 1991-12-20 1993-08-20 Nec Corp タングステン膜の成長方法
JP3862900B2 (ja) * 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
JP2005068456A (ja) * 2003-08-28 2005-03-17 Tokyo Electron Ltd 成膜方法
JP4277195B2 (ja) * 2003-11-05 2009-06-10 Jsr株式会社 化学的気相成長材料および金属タングステン膜の製造方法
JP4386177B2 (ja) * 2004-01-26 2009-12-16 Jsr株式会社 導電性積層膜およびその形成方法
US7244858B2 (en) 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
JP2006128611A (ja) * 2004-09-30 2006-05-18 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
WO2007041089A2 (en) * 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014189339A1 (ko) * 2013-05-24 2014-11-27 주식회사 유피케미칼 텅스텐 화합물을 이용한 텅스텐-함유 막의 증착 방법 및 상기 텅스텐 화합물을 포함하는 텅스텐-함유 막 증착용 전구체 조성물
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
EP2069373A1 (en) 2009-06-17
JP2010504999A (ja) 2010-02-18
WO2008039916A8 (en) 2009-09-03
KR101434696B1 (ko) 2014-08-27
US8153831B2 (en) 2012-04-10
SG177928A1 (en) 2012-02-28
EP2069373B1 (en) 2016-12-28
WO2008039916A1 (en) 2008-04-03
CN101516900A (zh) 2009-08-26
US20080081127A1 (en) 2008-04-03

Similar Documents

Publication Publication Date Title
KR101434696B1 (ko) 유기금속 전구체 화합물
US8221837B2 (en) Organometallic compounds and processes for preparation thereof
US20090205968A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7547796B2 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205538A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
WO2007041089A2 (en) Organometallic compounds and methods of use thereof
US20090203928A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090202740A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
WO2005103318A1 (en) High nucleation density organometallic compounds
US7959986B2 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7667065B2 (en) High nucleation density organometallic compounds

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170809

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 6