KR20090088796A - 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치 - Google Patents

패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치 Download PDF

Info

Publication number
KR20090088796A
KR20090088796A KR1020090008501A KR20090008501A KR20090088796A KR 20090088796 A KR20090088796 A KR 20090088796A KR 1020090008501 A KR1020090008501 A KR 1020090008501A KR 20090008501 A KR20090008501 A KR 20090008501A KR 20090088796 A KR20090088796 A KR 20090088796A
Authority
KR
South Korea
Prior art keywords
pattern
semiconductor device
tolerance
developing
solvent
Prior art date
Application number
KR1020090008501A
Other languages
English (en)
Inventor
사토루 시무라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090088796A publication Critical patent/KR20090088796A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)

Abstract

하드마스크를 필요로 하지 않고 미세한 패턴을 고정밀도로 형성할 수 있고, 종래에 비하여 공정의 간략화와 반도체 장치의 제조 비용의 저감을 도모할 수 있는 패턴 형성 방법, 반도체 장치의 제조 방법 및 제조 장치를 제공한다. 에칭의 마스크가 되는 소정 형상의 패턴을 형성하는 방법으로서, 산 발생제를 포함하는 화학 증폭형 레지스트를 패터닝하여 제 1 패턴(106)을 형성하는 공정과, 염기성 용액 또는 염기성 가스를 상기 제 1 패턴(106)에 접촉시켜, 용제 내성 및 현상액 내성을 갖는 제 1 패턴(107)을 형성하는 공정과, 산 발생제를 포함하는 화학 증폭형 레지스트를 패터닝하여 제 2 패턴(108)을 형성하는 공정을 구비하고 있다.

Description

패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치 {PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS}
본 발명은 반도체 웨이퍼 등의 기판에 플라즈마 에칭 등의 에칭 처리를 실시하는 때에 사용하는 에칭 마스크를 형성하기 위한 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치에 관한 것이다.
종래부터, 반도체 장치 등의 제조 공정에 있어서는, 반도체 웨이퍼 등의 기판에 플라즈마 에칭 등의 에칭 처리를 실시하여, 미세한 회로 패턴 등을 형성하는 것이 행해지고 있다. 이러한 에칭 처리 공정에서는, 포토레지스트를 이용한 포토리소그래피 공정에 의하여 마스크를 형성하는 것이 행해지고 있다.
이러한 포토리소그래피 공정에서는, 형성되는 패턴의 미세화에 대응하기 위하여 다양한 기술이 개발되고 있다. 그 하나로서, 소위 더블 패터닝이 있다. 이 더블 패터닝은, 포토레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 리소그래피 공정과 에칭 공정에 의하여 비결정 탄소 등의 하드마스크로 이루어진 제 1 패턴을 형성하고, 이 제 1 리소그래피 공정 후에 다시 포토레지스트를 도포, 노 광, 현상하여 제 2 패턴을 형성하는 제 2 리소그래피 공정의 두 단계의 패터닝을 행함으로써, 한 번의 패터닝으로 마스크를 형성하는 경우보다 미세한 간격의 마스크를 형성할 수 있도록 한 것이다(예를 들면, 특허 문헌 1 참조).
특허 문헌 1 : 미국특허 7064078호
상기한 바와 같이, 종래의 더블 패터닝 기술에서는, 하드마스크를 이용함으로써 두 번의 리소그래피 공정을 가능하게 하고 있다. 이 때문에, 하드마스크가 되는 비결정 탄소층 등의 성막 공정, 또는 이 비결정 탄소층 등의 에칭 공정이 필요해지므로, 공정이 복잡해지며, 반도체 장치의 제조 비용이 증대된다고 하는 과제가 있었다.
본 발명은, 상기의 종래의 사정에 대처하여 이루어진 것으로, 하드마스크를 필요로 하지 않고 미세한 패턴을 고정밀도로 형성할 수 있고, 종래에 비하여 공정의 간략화와 반도체 장치의 제조 비용의 저감을 도모할 수 있는 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치를 제공하고자 하는 것이다.
청구항 1의 발명은, 기판 상의 피에칭층을 에칭하는 마스크가 되는 소정 형상의 패턴을 형성하는 패턴 형성 방법으로서, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 공정과, 염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 해당 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 공정과, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 공정을 구비한 것을 특징으로 한다.
청구항 2의 발명은, 청구항 1에 기재된 패턴 형성 방법으로서, 상기 용제 내 성 및 현상액 내성 부여 공정은, 자외선 조사를 행하는 공정을 포함하는 것을 특징으로 한다.
청구항 3의 발명은, 청구항 1 또는 2에 기재된 패턴 형성 방법으로서, 상기 용제 내성 및 현상액 내성 부여 공정과, 상기 제 2 패턴 형성 공정과의 사이에, 가열 공정을 구비한 것을 특징으로 한다.
청구항 4의 발명은, 청구항 1 ~ 3 중 어느 한 항에 기재된 패턴 형성 방법으로서, 상기 염기성 용액 또는 염기성 가스가 아민계 재료를 포함하는 것을 특징으로 한다.
청구항 5의 발명은, 기판 상의 피에칭층을 마스크를 거쳐 에칭하는 공정을 갖는 반도체 장치의 제조 방법으로서, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 공정과, 염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 해당 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 공정과, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 공정을 구비한 패턴 형성 방법에 의하여 상기 마스크가 형성되는 것을 특징으로 한다.
청구항 6의 발명은, 청구항 5에 기재된 반도체 장치의 제조 방법으로서, 상기 용제 내성 및 현상액 내성 부여 공정은, 자외선 조사를 행하는 공정을 포함하는 것을 특징으로 한다.
청구항 7의 발명은, 청구항 5 또는 6에 기재된 반도체 장치의 제조 방법으로 서, 상기 용제 내성 및 현상액 내성 부여 공정과, 상기 제 2 패턴 형성 공정과의 사이에, 가열 공정을 구비한 것을 특징으로 한다.
청구항 8의 발명은, 청구항 5 ~ 7 중 어느 한 항에 기재된 반도체 장치의 제조 방법으로서, 상기 염기성 용액 또는 염기성 가스가 아민계 재료를 포함하는 것을 특징으로 한다.
청구항 9의 발명은, 기판 상의 피에칭층을 에칭하기 위한 마스크를 형성하는 반도체 장치의 제조 장치로서, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 수단과, 염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 해당 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 수단과, 산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 수단을 구비한 것을 특징으로 한다.
본 발명에 의하면, 하드마스크를 필요로 하지 않고 미세한 패턴을 고정밀도로 형성할 수 있고, 종래에 비하여 공정의 간략화와 반도체 장치의 제조 비용의 저감을 도모할 수 있는 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치를 제공할 수 있다.
이하, 본 발명의 상세를, 도면을 참조하여 실시예에 대하여 설명한다.
도 1은 본 발명의 실시예에 따른 기판의 일부를 확대하여 모식적으로 나타내 어 본 실시예의 공정을 나타낸 것이며, 도 2는 본 실시예의 공정을 나타낸 순서도이다. 도 1에 도시한 바와 같이, 기판(101)에는 하층막(102), 폴리실리콘층(103), 하드마스크층(104), BARC(반사 방지막)(105) 등의 층이 아래쪽으로부터 이 순서대로 형성되어 있다.
우선, 도 1a에 도시한 바와 같이, BARC(반사 방지막)(105) 상에 산 발생제를 포함하는 화학 증폭형 레지스트를 도포하고 노광, 현상을 행함으로써, 소정의 패턴으로 패터닝된 제 1 패턴(106)을 형성하는 제 1 패턴 형성 공정을 행한다(도 2의 단계(201)).
이어서, 도 1b에 도시한 바와 같이, 염기성 용액 또는 염기성 가스를 제 1 패턴(106)에 접촉시켜 해당 제 1 패턴(106)에 용제 내성 및 현상액 내성을 부여하여, 용제 내성 및 현상액 내성을 갖는 제 1 패턴(107)으로 하는 용제 내성 및 현상액 내성 부여 공정을 행한다(도 2의 단계(202)). 이 용제 내성 및 현상액 내성 부여 공정에서는, 상기의 염기성 용액 또는 염기성 가스로서, 예를 들면 아민계 재료(예를 들면, NH3, (C2H5)3N, C6H12N4, C6H11NHC6H11 등)의 용액 또는 가스 등을 사용할 수 있다. 이와 같이, 염기성 용액 또는 염기성 가스를 제 1 패턴(106)에 접촉시킴으로써, 화학 증폭형 레지스트의 산 발생제의 작용을 저해할 수 있고, 후술하는 제 2 패턴 형성 공정을 실시해도 제 1 패턴(106)이 용제 또는 현상액에 용해되는 것을 방지할 수 있다. 또한, 용제 내성 및 현상액 내성을 갖는 제 1 패턴(107)은, 적어도 제 1 패턴(106)의 표면 부분을 덮도록 설치되어 있으면 좋으나, 패턴 전체를 용 제 내성 및 현상액 내성을 갖는 제 1 패턴(107)으로 해도 좋다.
또한, 용제 내성 및 현상액 내성 부여 공정에서는, 상기와 같은 염기성 용액 또는 염기성 가스와의 접촉과 자외선 조사를 병용할 수도 있다. 자외선 조사는, 화학 증폭형 레지스트의 산 발생제로부터 산을 발생시키기 위한 것으로, 이 발생시킨 산을 염기성 용액 또는 염기성 가스에 의하여 중화함으로써, 제 1 패턴(106)의 용제 내성 및 현상액 내성을 강화할 수 있다. 이 자외선 조사는, 염기성 용액 또는 염기성 가스와의 접촉과 동시에 행하거나, 또는 염기성 용액 또는 염기성 가스와의 접촉 전후에 행한다.
이어서, 도 1c에 도시한 바와 같이, 다시 표면에 산 발생제를 포함하는 화학 증폭형 레지스트를 도포하여 노광, 현상을 행함으로써, 제 1 패턴(106)(용제 내성 및 현상액 내성을 갖는 제 1 패턴(107))의 사이에 소정의 패턴으로 패터닝된 제 2 패턴(108)을 형성하는 제 2 패턴 형성 공정을 행한다(도 2의 단계(203)).
상기와 같은 공정에 의하여, 에칭의 마스크가 되는 패턴이 완성된다. 그리고, 이 패턴을 마스크로 하여, 도 1d에 도시한 바와 같이, 우선, BARC(반사 방지막)(105)을 에칭하고, 그 후, 상기의 패턴이 전사된 하드마스크층(104)을 마스크로 하여 폴리실리콘층(103)의 에칭을 행한다.
이상과 같이, 본 실시예의 패턴 형성 방법에서는, 제 1 패턴(106)에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 공정을 행함으로써, 제 2 패턴 형성 공정을 행할 때에 제 1 패턴(106)이 용제 또는 현상액에 용해되어 버리는 것을 방지할 수 있고, 하드마스크를 이용하지 않고 더블 패터닝에 의한 패 턴을 형성할 수 있다. 이에 의해, 종래와 같이 하드마스크층의 성막 공정 또는 에칭 공정이 불필요하게 되어, 공정의 간략화와 반도체 장치의 제조 비용의 저감을 도모할 수 있다.
상기의 용제 내성 및 현상액 내성 부여 공정을, 실제로 암모니아 증기를 사용하여 행하여, 용제 내성 및 현상액 내성 부여의 효과를 확인하였다. 그 결과, 암모니아(NH3) 증기에 의한 용제 내성 및 현상액 내성 부여 공정을 실시한 제 1 패턴(라인과 스페이스의 비가 1 : 1의 선 폭 70 nm의 패턴)에서는, 용제(PGMEA(폴리에틸렌글리콜모노메틸에테르아세테이트))에 60 초 침지(浸漬)해도, 현상액(TMAH(테트라메틸암모니움하이드로옥사이드))에 60 초 침지해도 용해되지 않고 패턴 형상을 유지할 수 있었다. 이에 대해, 용제 내성 및 현상액 내성 부여 공정을 실시하지 않은 경우, 용제(PGMEA)에 60 초 침지하면 패턴이 용해되고, 현상액(TMAH)에 60 초 침지해도 패턴이 용해되어 버렸다.
또한, 트리에틸아민((C2H5)3N) 증기를 사용하여 용제 내성 및 현상액 내성 부여의 효과를 두 종류의 화학 증폭형 레지스트(레지스트 A와 레지스트 B)에 의하여 확인하였다. 그 결과, 레지스트 A에 대해서는 트리에틸아민((C2H5)3N) 증기에 의한 용제 내성 및 현상액 내성 부여 공정을 실시한 제 1 패턴(라인과 스페이스의 비가 1 : 1의 선폭 70 nm의 패턴)에서는, 용제(PGMEA)에 60 초 침지해도, 현상액(TMAH)에 60초 침지해도 용해되지 않고 패턴 형상을 유지할 수 있었다. 이에 대해, 용제 내성 및 현상액 내성 부여 공정을 실시하지 않은 경우, 용제(PGMEA)에 60 초 침지 하면 패턴이 용해되고, 현상액(TMAH)에 60 초 침지해도 패턴이 용해되어 버렸다.
또한, 레지스트 B에 대해서는, 트리에틸아민((C2H5)3N) 증기에 의한 용제 내성 및 현상액 내성 부여 공정을 실시한 제 1 패턴(라인과 스페이스의 비가 1 : 2의 선 폭 55 nm의 패턴)에서는, 트리에틸아민 증기와 자외선 조사를 병용하면, 용제(PGMEA)에 60 초 침지해도, 현상액(TMAH)에 60 초 침지해도 용해되지 않고 패턴 형상을 유지할 수 있었다. 이에 대해, 용제 내성 및 현상액 내성 부여 공정을 실시하지 않은 경우, 또한 자외선 조사만을 행한 경우, 용제(PGMEA)에 60 초 침지하면 패턴이 용해되고, 현상액(TMAH)에 60 초 침지해도 패턴이 용해되어 버렸다.
상기와 같이, 용제 내성 및 현상액 내성 부여 공정에 의한 효과를 확인할 수 있었다. 여기서, 상기와 같은 용제 내성 및 현상액 내성 부여 공정을 실시한 경우, 염기성 성분이 과잉으로 공급되면, 제 2 패턴 형성 공정에서 도포된 산 발생제를 포함하는 화학 증폭형 레지스트에 대해 이 염기성 성분이 악영향을 줄 가능성이 있다. 이 때문에, 도 3에 도시한 바와 같이, 용제 내성 및 현상액 내성 부여 공정(202)과 제 2 패턴 형성 공정(203)과의 사이에, 가열 공정(202b)을 실시하여 과잉의 염기성 성분을 제거하면, 과잉의 염기성 성분이 제 2 패턴 형성 공정에서의 산 발생제를 포함하는 화학 증폭형 레지스트에 악영향을 주는 것을 방지할 수 있다.
도 4는, 상기의 패턴 형성 방법을 행하기 위한 반도체 장치의 제조 장치의 구성을 나타낸 것이다. 상기 도면에 도시한 바와 같이, 반도체 장치의 제조 장 치(300)는, 제 1 패턴 형성부(301)와, 용제 내성 및 현상액 내성 부여부(302)와, 제 2 패턴 형성부(303)를 구비하고 있다. 또한, 이들 각 부는, 반도체 웨이퍼 등의 기판을 반송하기 위한 기판 반송로(310)에 의하여 접속되어 있다.
제 1 패턴 형성부(301)는, 전술한 제 1 패턴(106)을 형성하기 위한 것이며, 도포 장치, 노광 장치 및 현상 장치 등을 구비하고 있다. 용제 내성 및 현상액 내성 부여부(302)는, 전술한 용제 내성 및 현상액 내성 부여 공정을 행하는 것이며, 기판을 염기성 용액에 침지 또는 염기성 가스에 노출시키기 위한 장치 및 필요에 따라 자외선 조사 장치 등을 구비하고 있다. 제 2 패턴 형성부(303)는, 전술한 제 2 패턴(108)을 형성하기 위한 것이며, 도포 장치, 노광 장치 및 현상 장치 등을 구비하고 있다. 이와 같이 구성된 반도체 장치의 제조 장치(300)에 의하여, 상술한 실시예에서의 일련의 공정을 실행할 수 있다. 또한, 제 1 패턴 형성부(301)와 제 2 패턴 형성부(303)는, 이들을 겸비한 한 개의 패턴 형성부로 구성해도 좋다. 또한 필요에 따라 전술한 가열 공정을 행하기 위한 가열부를 마련해도 좋다.
이상, 본 발명의 상세를 실시예에 대하여 설명하였으나, 본 발명은 이들 실시예에 한정되지 않고, 각종 변형이 물론 가능하다.
도 1은 본 발명의 일 실시예에 따른 패턴 형성 방법 및 반도체 장치의 제조 방법을 설명하기 위한 도면이다.
도 2는 도 1의 방법의 공정을 나타내는 순서도이다.
도 3은 변형예의 공정을 나타내는 순서도이다.
도 4는 본 발명의 일 실시예에 따른 반도체 장치의 제조 장치의 구성을 나타내는 블록도이다.
*부호의 설명*
101 : 기판
102 : 하층
103 : 폴리실리콘층
104 : 하드마스크층
105 : BARC
106 : 제 1 패턴
107 : 용매 내성 및 현상액 내성을 갖는 제 1 패턴
108 : 제 2 패턴

Claims (9)

  1. 기판 상의 피에칭층을 에칭하는 마스크가 되는 소정 형상의 패턴을 형성하는 패턴 형성 방법으로서,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 공정과,
    염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 상기 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 공정과,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 공정
    을 구비한 것을 특징으로 하는 패턴 형성 방법.
  2. 제 1 항에 있어서,
    상기 용제 내성 및 현상액 내성 부여 공정은, 자외선 조사를 행하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 용제 내성 및 현상액 내성 부여 공정과, 상기 제 2 패턴 형성 공정과의 사이에, 가열 공정을 구비한 것을 특징으로 하는 패턴 형성 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 염기성 용액 또는 염기성 가스가 아민계 재료를 포함하는 것을 특징으로 하는 패턴 형성 방법.
  5. 기판 상의 피에칭층을 마스크를 거쳐 에칭하는 공정을 갖는 반도체 장치의 제조 방법으로서,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 공정과,
    염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 상기 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 공정과,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 공정
    을 구비한 패턴 형성 방법에 의하여 상기 마스크가 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제 5 항에 있어서,
    상기 용제 내성 및 현상액 내성 부여 공정은, 자외선 조사를 행하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제 5 항 또는 제 6 항에 있어서,
    상기 용제 내성 및 현상액 내성 부여 공정과, 상기 제 2 패턴 형성 공정과의 사이에, 가열 공정을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제 5 항 또는 제 6 항에 있어서,
    상기 염기성 용액 또는 염기성 가스가 아민계 재료를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 기판 상의 피에칭층을 에칭하기 위한 마스크를 형성하는 반도체 장치의 제조 장치로서,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 1 패턴을 형성하는 제 1 패턴 형성 수단과,
    염기성 용액 또는 염기성 가스를 상기 제 1 패턴에 접촉시켜, 상기 제 1 패턴에 용제 내성 및 현상액 내성을 부여하는 용제 내성 및 현상액 내성 부여 수단과,
    산 발생제를 포함하는 화학 증폭형 레지스트를 도포, 노광, 현상하여 제 2 패턴을 형성하는 제 2 패턴 형성 수단
    을 구비한 것을 특징으로 하는 반도체 장치의 제조 장치.
KR1020090008501A 2008-02-15 2009-02-03 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치 KR20090088796A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008034463A JP2009194207A (ja) 2008-02-15 2008-02-15 パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JPJP-P-2008-034463 2008-02-15

Publications (1)

Publication Number Publication Date
KR20090088796A true KR20090088796A (ko) 2009-08-20

Family

ID=40955426

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090008501A KR20090088796A (ko) 2008-02-15 2009-02-03 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치

Country Status (5)

Country Link
US (1) US20090208852A1 (ko)
JP (1) JP2009194207A (ko)
KR (1) KR20090088796A (ko)
CN (1) CN101510511A (ko)
TW (1) TW201005794A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2287669A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5728517B2 (ja) * 2013-04-02 2015-06-03 富士フイルム株式会社 化学増幅型レジスト膜のパターニング用有機系処理液の製造方法、パターン形成方法、及び、電子デバイスの製造方法
JP7154081B2 (ja) * 2018-09-19 2022-10-17 東京エレクトロン株式会社 マスクの形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2919004B2 (ja) * 1990-07-12 1999-07-12 沖電気工業株式会社 パターン形成方法
US5648198A (en) * 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
JPH08199375A (ja) * 1995-01-19 1996-08-06 Mitsubishi Electric Corp レジストパターンの形成方法
JP3087726B2 (ja) * 1998-05-25 2000-09-11 日本電気株式会社 半導体装置の製造プロセスにおけるパターニング方法
US6420098B1 (en) * 2000-07-12 2002-07-16 Motorola, Inc. Method and system for manufacturing semiconductor devices on a wafer
DE10153496B4 (de) * 2001-10-31 2007-01-04 Infineon Technologies Ag Verfahren zur Aromatisierung und Cycloaliphatisierung von Fotoresists im UV-Bereich
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4772618B2 (ja) * 2006-07-31 2011-09-14 東京応化工業株式会社 パターン形成方法、金属酸化物膜形成用材料およびその使用方法

Also Published As

Publication number Publication date
CN101510511A (zh) 2009-08-19
TW201005794A (en) 2010-02-01
US20090208852A1 (en) 2009-08-20
JP2009194207A (ja) 2009-08-27

Similar Documents

Publication Publication Date Title
JP4476979B2 (ja) 半導体基板の液浸リソグラフィ形成方法および半導体ウェハの処理方法
KR100811431B1 (ko) 반도체 소자의 제조 방법
TWI442470B (zh) 圖案形成方法及半導體裝置之製造方法
US11467497B2 (en) Method of forming mask
US7638267B2 (en) Pattern forming method and manufacturing method of semiconductor device
KR20090088796A (ko) 패턴 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
US20070092843A1 (en) Method to prevent anti-assist feature and side lobe from printing out
KR20160135636A (ko) 화학 증폭형 공중합체 레지스트의 방법 및 조성
US20050181313A1 (en) Method for forming openings in a substrate using a packing and unpacking process
US20130330672A1 (en) Method for enhancing lithographic imaging of isolated and semi-isolated features
KR101168393B1 (ko) 이중 노광 공정을 이용한 미세 패턴 형성 방법
KR19990003857A (ko) 감광막 형성 방법
JP2008066467A (ja) パターン形成方法
JP2010113195A (ja) 露光用マスク及び半導体装置の製造方法
TWI825960B (zh) 半導體裝置的製造方法
KR100596859B1 (ko) 반도체 소자의 미세패턴 형성 방법
JP2658859B2 (ja) レジストパターンの形成方法
KR20170076580A (ko) 패턴 형성 방법
JPH10333341A (ja) レジストパターン形成方法及び半導体装置の製造方法
JPH11153867A (ja) レジストパターン形成方法
JPH07199483A (ja) レジストパターンの形成方法
JP2005223118A (ja) 超臨界処理方法
KR20070066165A (ko) 레티클 제조 방법
JP2020046549A (ja) マスクの形成方法
JPH08199375A (ja) レジストパターンの形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application