KR20080110119A - 반도체 소자 및 그의 제조 방법 - Google Patents

반도체 소자 및 그의 제조 방법 Download PDF

Info

Publication number
KR20080110119A
KR20080110119A KR1020070058477A KR20070058477A KR20080110119A KR 20080110119 A KR20080110119 A KR 20080110119A KR 1020070058477 A KR1020070058477 A KR 1020070058477A KR 20070058477 A KR20070058477 A KR 20070058477A KR 20080110119 A KR20080110119 A KR 20080110119A
Authority
KR
South Korea
Prior art keywords
silicon nitride
oxide film
deuterium
gate
gate oxide
Prior art date
Application number
KR1020070058477A
Other languages
English (en)
Other versions
KR100889550B1 (ko
Inventor
박지환
Original Assignee
주식회사 동부하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 동부하이텍 filed Critical 주식회사 동부하이텍
Priority to KR1020070058477A priority Critical patent/KR100889550B1/ko
Priority to US12/139,347 priority patent/US20080308905A1/en
Publication of KR20080110119A publication Critical patent/KR20080110119A/ko
Application granted granted Critical
Publication of KR100889550B1 publication Critical patent/KR100889550B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 반도체 소자 제조에 있어서, 특히 게이트 산화막의 신뢰성을 향상시키는데 적당한 반도체 소자 및 그 제조 방법에 관한 것으로, 게이트 산화막 내에 존재하는 수소의 영향으로 그 게이트 산화막의 전기적 특성이 저하되는 것을 방지하면서 게이트 산화막의 신뢰성도 향상시키도록, 반도체 기판 상에 형성되는 게이트 산화막과, 상기 게이트 산화막 상에 형성되는 실리콘 질화막과, 상기 실리콘 질화막 상에 형성되는 게이트 폴리실리콘층과, 상기 게이트 폴리실리콘층이 형성된 후에 중수소 이온을 주입함으로써 형성되는 중수소 이온 주입층으로 구성되는 반도체 소자와 그의 제조 방법에 관한 발명이다.
게이트 폴리실리콘층, 실리콘 질화막, 게이트 산화막, 중수소, 중수소 이온 주입

Description

반도체 소자 및 그의 제조 방법{semi-conductor device, and method thereof}
도 1은 본 발명에 따른 반도체 소자의 형성 구조를 나타낸 도면.
도 2는 본 발명에 따른 반도체 소자에 중수소 이온을 주입하는 공정 예를 나타낸 도면.
*도면의 주요부분에 대한 부호의 설명*
10 : 게이트 폴리실리콘층 20 : 실리콘 질화막
30 : 게이트 산화막 40 : 반도체 기판
본 발명은 반도체 소자 제조에 관한 것으로, 특히 게이트 산화막의 신뢰성을 향상시키는데 적당한 반도체 소자 및 그 제조 방법에 관한 것이다.
일반적으로 반도체 소자의 고집적화에 따라 고속 동작과 낮은 소모 전류의 특성을 갖는 박막 반도체 소자 제조 기술이 많이 도입되고 있다.
종래의 박막 반도체 소자 제조 공정에서는 박막을 증착하는 방식으로 반도체 기판 위에 일정 온도에서 낮은 압력으로 비정질 실리콘 박막을 증착하는 저압 화학 기상 증착(LP-CVD) 방식을 사용한다.
기존 LP-CVD 방식은 퍼니스(Furnace)를 이용한다. 상세하게, 퍼니스를 이용하여 게이트 산화막을 형성한 뒤에 바로 SiH4 가스를 이용한 LP-CVD을 실시하여 폴리실리콘을 증착함으로써 소자를 형성한다.
상기한 방식에 의해 게이트 산화막은 많은 수소를 함유하게 된다. 뿐만 아니라, 이후에 수소를 사용하는 모든 공정에서도 게이트 산화막에 수소가 함유하는 식의 영향을 미칠 수 있다.
그런데 게이트 산화막 내의 수소는 전자 트랩에 의한 게이트 산화막의 신뢰성(Reliability) 특성을 저하시키는 원인으로 작용한다.
더욱이 반도체 소자의 고직접화에 따라 소자 크기가 점점 작아지면서 막 내에 잔류하는 수소에 의해 전기적 특성이 저하되는 문제가 점점 부각되고 있는 실정이다.
본 발명의 목적은 상기한 점을 감안하여 안출한 것으로, 게이트 산화막의 신뢰성을 향상시키는데 적당한 반도체 소자 및 그 제조 방법을 제공하는 데 있다.
본 발명의 또다른 목적은 산화막 내에 존재하는 수소의 영향으로 그 산화막의 전기적 특성이 저하되는 것을 방지하는데 적당한 반도체 소자 및 그 제조 방법을 제공하는데 있다.
상기한 목적들을 달성하기 위한 본 발명에 따른 반도체 소자 제조 방법의 특징은, 반도체 기판 상에 게이트 산화막을 형성하는 단계와, 상기 게이트 산화막 상에 실리콘 질화막을 형성하는 단계와, 상기 실리콘 질화막 상에 게이트 폴리실리콘층을 형성한 후에 중수소 이온을 주입하는 단계로 이루어지는 것이다.
바람직하게, 상기 게이트 산화막의 형성 후에 ALD(Atomic Layer Deposition)에 의하여 상기 실리콘 질화막을 수 나노미터(nm)로 균일하게 증착하여 형성한다.
바람직하게, 상기 중수소 이온을 1E16 dose/cm2 이상의 주입량으로 주입한다.
바람직하게, 상기 중수소 이온을 주입하기 위한 이온 주입 각도는 30 내지 60도로 유지한다.
바람직하게, 상기 중수소 이온 주입 이후에 상기 게이트 폴리실리콘층 상에 폴리실리콘층을 형성하는 단계를 더 포함하여 이루어진다.
바람직하게, 상기 중수소 이온이 상기 반도체 기판까지 주입시킨다.
상기한 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 특징은, 반도체 기판 상에 형성되는 게이트 산화막; 상기 게이트 산화막 상에 형성되는 실리콘 질화막; 상기 실리콘 질화막 상에 형성되는 게이트 폴리실리콘층; 그리고 상기 게이트 폴리실리콘층이 형성된 후에 중수소 이온을 주입함으로써 형성되는 중수소 이온 주입층으로 구성되는 것이다.
바람직하게, 상기 중수소 이온 주입층은 상기 게이트 산화막과 상기 실리콘 질화막과 상기 게이트 폴리실리콘층에 확산된다.
본 발명의 다른 목적, 특징 및 이점들은 첨부한 도면을 참조한 실시 예들의 상세한 설명을 통해 명백해질 것이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시 예의 구성과 그 작용을 설명하며, 도면에 도시되고 또 이것에 의해서 설명되는 본 발명의 구성과 작용은 적어도 하나의 실시 예로서 설명되는 것이며, 이것에 의해서 상기한 본 발명의 기술적 사상과 그 핵심 구성 및 작용이 제한되지는 않는다.
본 발명은 반도체 소자를 구성하는 산화막의 신뢰성 특성 향상을 위해, 중수소(Heavy Hydrogen)(Deuterium:D2)를 이온 주입하여 산화막에 존재하도록 한다. 여기서, 중수소는 수소에 비해 질량이 크고, 수소에 비해 실리콘과의 결합 확률이 높다는 특성을 가진다.
특히, 본 발명에서는 중수소 이온 주입을 통해 게이트 산화막과 게이트 전극 간에 계면 특성을 향상시킨다.
도 1은 본 발명에 따른 반도체 소자의 형성 구조를 나타낸 도면이고, 도 2는 본 발명에 따른 반도체 소자에 중수소 이온을 주입하는 공정 예를 나타낸 도면이다.
도 1 및 도 2를 참조하면, 본 발명에 따른 반도체 소자는 하부부터 반도체 기판(40), 게이트 산화막(30), 실리콘 질화막(20) 및 게이트 폴리실리콘층(10)이 적층된 구조이다.
반도체 기판(40)에 게이트 산화막(30)과 실리콘 질화막(20)과 게이트 폴리실 리콘층(10)을 차례로 증착한다.
보다 상세하게, 반도체 기판(40)의 활성 영역 상부에 산화물을 증착하여 게이트 산화막(30)을 형성한다.
이어, 게이트 산화막 상에 실리콘 질화물을 증착하여 실리콘 질화막(20)을 형성한다. 이때, 실리콘 질화막(20)의 형성을 위해 ALD(Atomic Layer Deposition)에 의한 증착을 실시한다. 특히 ALD(Atomic Layer Deposition)를 이용하여 실리콘 질화물을 수 나노미터(nm)로 균일하게 증착시킨다. 상기 ALD를 이용하여 수 나노미터의 실리콘 질화물을 게이트 산화막 상부에 증착함으로써, 이온 주입 시 게이트 산화막의 손상을 방지할 수 있다. 또한 p-MOS에서의 붕소 침투(Boron Penetration)에 대한 확산 방지를 위하여 ALD를 이용하여 실리콘 질화물을 수 나노미터로 균일하게 증착시킨다.
이어, 실리콘 질화막(20) 상에 폴리실리콘을 증착하여 게이트 폴리실리콘층(10)을 형성한다.
상기와 같이 반도체 기판(40)의 활성 영역에 게이트 산화막(30), 실리콘 질화막(20) 및 게이트 폴리실리콘층(10)이 순차적으로 적층된 이후에, 높은 주입량으로 중수소 이온을 주입한다.
상기 중수소 이온 주입은 매우 얕은 길이로 주입이 이루어지도록 주입 조건을 설정한다.
상세하게, 중수소 이온의 주입 량은 1E16 dose/cm2 이상으로 설정한다. 그리 고 중수소 이온을 주입하기 위한 이온 주입 각도는 적층면에 대해 높은 주입 각도를 유지하되 4스텝으로 스캔하도록 설정한다. 예로써, 중수소 이온 주입 각도를 30 내지 60도로 유지한다. 또한, 예로써, 중수소 이온이 반도체 기판(40)의 일정 깊이까지 주입되도록 이온 주입 조건을 설정한다.
상기와 같이 게이트 폴리실리콘층(10)이 형성된 후에 중수소 이온 주입에 의해 중수소 이온 주입층이 형성된다. 그에 따라, 중수소 이온 주입층은 상기 게이트 산화막(30)과 상기 실리콘 질화막(20)과 상기 게이트 폴리실리콘층(10)에 확산되는 구조로 형성되며, 도 2에 도시된 바와 같이, 반도체 기판(40)까지 어느 정도 확산될 수 있다.
상기 중수소 이온 주입층이 형성된 후에 게이트 폴리실리콘층을 형성하고, 게이트 형성 마스크 패턴을 이용하여 적층된 막들을 차례로 제거하여 게이트 전극을 형성한다.
한편, 상기한 본 발명의 다른 예로써, 반도체 기판(40) 상에 게이트 산화막(30)과 실리콘 질화막(20)을 증착한 후에 전술된 중수소 이온을 기판 전체 면에 주입하는 것도 고려한다. 이 경우에는 중수소 이온 주입 후에 게이트 폴리실리콘층을 형성한다. 그리고, 게이트 형성 마스크 패턴을 이용하여 적층된 막들을 차례로 제거하여 게이트 전극을 형성한다.
이상 설명한 내용을 통해 당업자라면 본 발명의 기술 사상을 이탈하지 아니하는 범위에서 다양한 변경 및 수정이 가능함을 알 수 있을 것이다.
따라서, 본 발명의 기술적 범위는 실시 예에 기재된 내용으로 한정하는 것이 아니라 특허 청구범위에 의해서 정해져야 한다.
이상에서와 같이 본 발명에서는 중수소(Deuterium:D2)를 이온 주입하여 게이트 산화막에 존재하도록 함으로써, 게이트 산화막의 전기적 특성 및 신뢰성을 향상시킨다.
또한, 게이트 산화막 내에 존재하는 수소의 영향으로 인해 게이트 산화막의 전기적 특성이 저하되는 것을 방지할 수 있다.

Claims (8)

  1. 반도체 기판 상에 게이트 산화막을 형성하는 단계;
    상기 게이트 산화막 상에 실리콘 질화막을 형성하는 단계; 그리고
    상기 실리콘 질화막 상에 게이트 폴리실리콘층을 형성한 후에 중수소 이온을 주입하는 단계로 이루어지는 것을 특징으로 하는 반도체 소자 제조 방법.
  2. 제 1 항에 있어서, 상기 게이트 산화막의 형성 후에 ALD(Atomic Layer Deposition)에 의하여 상기 실리콘 질화막을 수 나노미터(nm)로 균일하게 증착하여 형성하는 것을 특징으로 하는 반도체 소자 제조 방법.
  3. 제 1 항에 있어서, 상기 중수소 이온을 1E16 dose/cm2 이상의 주입량으로 주입하는 것을 특징으로 하는 반도체 소자 제조 방법.
  4. 제 1 항에 있어서, 상기 중수소 이온을 주입하기 위한 이온 주입 각도는 30 내지 60도로 유지하는 것을 특징으로 하는 반도체 소자 제조 방법.
  5. 제 1 항에 있어서, 상기 중수소 이온 주입 이후에 상기 게이트 폴리실리콘층 상에 폴리실리콘층을 형성하는 단계를 더 포함하여 이루어지는 것을 특징으로 하는 반도체 소자 제조 방법.
  6. 제 1 항에 있어서, 상기 중수소 이온이 상기 반도체 기판까지 주입시키는 것을 특징으로 하는 반도체 소자 제조 방법.
  7. 반도체 기판 상에 형성되는 게이트 산화막;
    상기 게이트 산화막 상에 형성되는 실리콘 질화막;
    상기 실리콘 질화막 상에 형성되는 게이트 폴리실리콘층; 그리고
    상기 게이트 폴리실리콘층이 형성된 후에 중수소 이온을 주입함으로써 형성되는 중수소 이온 주입층으로 구성되는 것을 특징으로 하는 반도체 소자.
  8. 제 7 항에 있어서, 상기 중수소 이온 주입층은 상기 게이트 산화막과 상기 실리콘 질화막과 상기 게이트 폴리실리콘층에 확산되는 것을 특징으로 하는 반도체 소자.
KR1020070058477A 2007-06-14 2007-06-14 반도체 소자 및 그의 제조 방법 KR100889550B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070058477A KR100889550B1 (ko) 2007-06-14 2007-06-14 반도체 소자 및 그의 제조 방법
US12/139,347 US20080308905A1 (en) 2007-06-14 2008-06-13 Semi-conductor device, and method of making the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070058477A KR100889550B1 (ko) 2007-06-14 2007-06-14 반도체 소자 및 그의 제조 방법

Publications (2)

Publication Number Publication Date
KR20080110119A true KR20080110119A (ko) 2008-12-18
KR100889550B1 KR100889550B1 (ko) 2009-03-23

Family

ID=40131511

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070058477A KR100889550B1 (ko) 2007-06-14 2007-06-14 반도체 소자 및 그의 제조 방법

Country Status (2)

Country Link
US (1) US20080308905A1 (ko)
KR (1) KR100889550B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320448B1 (ko) * 2011-12-29 2013-10-22 한국원자력연구원 다결정 실리콘 적층체 및 그의 누설전류 제어 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9018693B2 (en) * 2007-07-20 2015-04-28 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6221705B1 (en) * 1997-07-28 2001-04-24 Texas Instruments Incorporated Method for improving performance and reliability of MOS technologies and data retention characteristics of flash memory cells
KR100261168B1 (ko) * 1998-04-30 2000-07-01 김영환 반도체 소자의 제조방법
JP2002076336A (ja) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp 半導体装置およびsoi基板
US7365403B1 (en) * 2002-02-13 2008-04-29 Cypress Semiconductor Corp. Semiconductor topography including a thin oxide-nitride stack and method for making the same
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7776726B2 (en) * 2006-05-04 2010-08-17 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320448B1 (ko) * 2011-12-29 2013-10-22 한국원자력연구원 다결정 실리콘 적층체 및 그의 누설전류 제어 방법

Also Published As

Publication number Publication date
US20080308905A1 (en) 2008-12-18
KR100889550B1 (ko) 2009-03-23

Similar Documents

Publication Publication Date Title
KR100735534B1 (ko) 나노 크리스탈 비휘발성 반도체 집적 회로 장치 및 그 제조방법
KR100690925B1 (ko) 나노 크리스탈 비휘발성 반도체 집적 회로 장치 및 그 제조방법
KR20130118963A (ko) 실리콘 질화물 및 실리콘 산질화물의 플라즈마 처리
CN103681671A (zh) 具有钨栅电极的半导体器件及其制造方法
US7935591B2 (en) Method for fabricating PMOS transistor and method for forming dual gate using the same
KR100942961B1 (ko) 주상 구조의 폴리실리콘 게이트전극을 구비한 반도체소자의제조 방법
US8247303B2 (en) Semiconductor device and method of manufacturing the same
KR100821089B1 (ko) 반도체 소자 및 그 제조 방법
KR100889550B1 (ko) 반도체 소자 및 그의 제조 방법
US20100001353A1 (en) SANOS Memory Cell Structure
CN102543716B (zh) 金属硅化物阻挡层的形成方法
CN104009037B (zh) 半导体器件及其制造方法
JP2007012666A (ja) 誘電体膜の形成方法
US9099472B2 (en) Semiconductor constructions, methods of forming conductive structures and methods of forming DRAM cells
CN102456556A (zh) 金属硅化物的形成方法
KR20120131813A (ko) 반도체 소자의 제조 방법
CN111952317B (zh) 三维存储器及其制备方法
CN102543824B (zh) 一种浅沟槽隔离制作方法
KR100943492B1 (ko) 반도체 소자 제조 방법
CN108447782B (zh) 栅介质层的制造方法
KR100835519B1 (ko) 반도체 소자의 제조 방법
KR20080074647A (ko) 리세스 게이트를 갖는 반도체 소자의 제조방법
KR100315018B1 (ko) 디램디바이스의전하저장전극형성방법
KR20080000787A (ko) 메모리 소자의 듀얼 폴리 게이트 및 그 제조 방법
KR100305720B1 (ko) 반도체 소자의 게이트 전극 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120221

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee