KR20080022225A - 이미저용 매립된 컨덕터 - Google Patents

이미저용 매립된 컨덕터 Download PDF

Info

Publication number
KR20080022225A
KR20080022225A KR1020087002377A KR20087002377A KR20080022225A KR 20080022225 A KR20080022225 A KR 20080022225A KR 1020087002377 A KR1020087002377 A KR 1020087002377A KR 20087002377 A KR20087002377 A KR 20087002377A KR 20080022225 A KR20080022225 A KR 20080022225A
Authority
KR
South Korea
Prior art keywords
forming
insulating layer
contact
layer
silicide
Prior art date
Application number
KR1020087002377A
Other languages
English (en)
Other versions
KR101008748B1 (ko
Inventor
호와드 이. 로드즈
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20080022225A publication Critical patent/KR20080022225A/ko
Application granted granted Critical
Publication of KR101008748B1 publication Critical patent/KR101008748B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

기판(11) 표면의 광-변환 장치(21) 및 그로부터 전하 또는 신호가 출력되거나 수용되는 적어도 하나의 컨택 영역(277)을 가지는 픽셀 셀. 제1 절연층(233)이 상기 광-변환 장치 및 상기 적어도 하나의 컨택 영역 위에 위치한다. 상기 픽셀 셀은 상기 적어도 하나의 컨택 영역과 접촉하는 적어도 하나의 컨덕터를 더 포함한다. 상기 컨덕터는 상기 제1 절연층을 통해 확장하고, 상기 적어도 하나의 컨택 영역과 접촉하는 폴리실리콘 물질(271)을 포함한다. 더 나아가서, 실리사이드 및 내화 금속 중 적어도 하나를 포함하는 전도성 물질(272)은, 상기 폴리실리콘 물질의 위에 있으면서 접촉할 수 있다.

Description

이미저용 매립된 컨덕터{BURIED CONDUCTOR FOR IMAGERS}
본 발명은 일반적으로는 반도체 장치분야와, 보다 특별하게는 이미지 센서에서 사용하기 위해 개선된 컨덕터와 관련되어 있다.
CMOS 이미지 센서들은 전하 결합 장치(Charge Coupled Device; CCD) 이미지 센서들에 대한 저비용 대안으로서 사용이 증가하고 있다. CMOS 이미지 센서에서, 한 픽셀 셀의 능동 소자(active element)들은 필요한 아래의 기능을 수행한다: (1)광자(photon)를 전하로 변환(charge conversion); (2) 이미지 전하의 축적; (3) 전하 증폭이 수반된 감지 노드(sensing node)로 전하의 전달; (4) 감지 노드로 전하를 전달하기 전에 감지 노드의 알려진 상태(known state)로 리셋(resetting); (5) 판독을 위한 픽셀의 선택; 및 (6) 감지 노드로부터 픽셀 전하를 나타내는 신호의 출력과 증폭.
위에서 논의된 형태의 CMOS 이미지 센서는, 예를 들어 닉슨 등이 쓴, "256×256 CMOS 능동 픽셀 센서 카메라-온-어-칩", IEEE 저널 오브 솔리드-스테이트 서키츠. 권 31(12), 페이지 2046-2050 (1996), 및 멘디스 등이 쓴,"COMS 능동 픽셀 이미지 센서", IEEE 트랜색션즈 온 일렉트론 디바이시즈, 권 41(3), 페이지 452-453 (1994)에서 논의된 바와 같이 일반적으로 알려져 있다. 예를 들어, 마이크론 테크 놀로지 인크에 부여된 미국 특허번호 6,140,630, 미국 특허번호 6,376,868, 미국 특허번호 6,310,366, 미국 특허번호 6,326,652, 미국 특허번호 6,204,524 및 미국 특허번호 6,333,205에, 대표적인 CMOS 이미지 센서 회로, 그 공정 단계, 및 이미지 센서 회로의 다양한 CMOS 요소의 기능에 대한 상세한 기재가 기술된다. 선행 특허 각각의 명세서들은 전체로서 참조가 되어 이 속에 일체화된다.
도 1A는 통상적인 픽셀 셀(10)을 포함하는 통상적인 CMOS 픽셀 셀(1)의 개략도이다. 도 1B는 도 1A의 픽셀 셀(10)의 상부 평면도(top plan view)를 보여주고, 반면에 도 1C는 라인 1C-1C' 따라 도 1B의 픽셀 셀(10)의 단면도를 보여준다. 전형적으로, 픽셀 셀(10)은 기판(11)의 표면에 형성된다(도 1C). 한 픽셀(10)은 다른 픽셀(10) 및 주변 회로(보이지 않는)로부터, 얕은 트렌치 분리(Shallow Trench Isolation; STI) 영역으로 도시된, 분리 영역(12)(도 1C)에 의해 분리되어 있다. 기판(11)은 제1 전도도 형태, 예를 들면 p-형으로 도핑되고 접지 전위로 대전되어 있다.
선행기술에서 알려진 바와 같이, 픽셀 셀(10)은 빛의 광자를 받는 것 및 그 광자들을 전자에 의해 운반된 전하로 전환하는 것에 의해 작용한다. 이를 위해, 픽셀 셀(10) 각각은, 핀드 포토다이오드(pinned photodiode)와 같이 도시되지만, 논-핀드 포토다이오드(non-pinned photodiode), 포토게이트(photogate), 포토컨덕터(photoconductor), 또는 다른 감광성 장치로도 될 수 있는, 광-변환 장치(photo-conversion device)(21)를 포함한다. 포토다이오드(21)는 n-형 포토다이오드 전하 축적 영역(22) 및 p-형 표면층(23)(도 1C)을 포함한다.
각 픽셀 셀(10)은 또한 트랜스퍼 트랜지스터(transfer transistor)(27)를 포함하고, 이는 게이트 전극(30b)에서 트랜스퍼 컨트롤 신호 TX를 수용한다. 트랜스퍼 트랜지스터는 포토다이오드(21) 및 플로팅 확산 영역(25)에 연결된다. 작동 중에, 포토다이오드 전하 축적 영역(22)에서 플로팅 확산 영역(25)으로 전하를 이동시키기 위하여, TX 신호는 트랜스퍼 트랜지스터(27)를 작동한다.
픽셀 셀(20)은 나아가 게이트 전극(30b)에서 리셋 컨트롤 신호(reset control signal) RST를 수용하는 리셋 트랜지스터(28)를 포함한다. 리셋 트랜지스터(28)는 플로팅 확산 영역(25)에 연결되고 컨택(61)을 통해 전원 공급장치, Vaa-pix에 결합된 소스/드레인(source/drain) 영역(60)을 포함한다. RST 신호에 대응하여, 리셋 트랜지스터(28)는 확산 영역(25)을 사전 결정된 전하 수준 Vaa-pix로 리셋하기 위해 작동한다.
소스 폴로워 트랜지스터(source follower transistor)(29)는, 확산 영역(25)으로부터 전하 레벨을 수용하고 확산하는 컨택(61)을 통해, 플로팅 확산 영역(25)에 결합된 게이트 전극(30b)을 갖는다. 소스 폴로워 트랜지스터(29)는 또한 전원 공급장치, Vaa-pix에 결합된 제1 소스/드레인 영역(60), 및 로우 선택(row select) 트랜지스터(26)에 연결된 제2 소스/드레인 영역(60)을 포함한다. 로우 선택 트랜지스터(26)는 그 게이트 전극(30b)에 로우 선택 컨트롤 신호 ROW_SEL을 수용한다. ROW_SEL 신호에 대응하여, 로우 선택 트랜지스터(26)는 픽셀 셀(10)을 칼럼(column) 라인(22)에 결합하고, 이는 로우 선택 트랜지스터(26)의 소스/드레인 영역(60)으로 결합된다. 로우 선택 게이트 전극(30b)이 작동될 때, 출력 전원이 칼 럼 라인(22)을 통해 상기 픽셀 셀(20)로부터 출력된다.
도 1C에 도시된 바와 같이, 트랜지스터 게이트(30b)들은 게이트 스택(30)의 부분이다. 도 1C는 게이트 스택(30)을 가지는 트랜스퍼 트랜지스터(27) 및 리셋 트랜지스터(28)만 보여주지만, 상기 소스 폴로워 트랜지스터(29) 및 로우 선택 트랜지스터(26)도 역시 저마다의 게이트 스택(30)을 포함한다. 상기 게이트 스택(30)은 게이트 옥사이드층(gate oxide layer)의 역할을 하는 제1 절연층(30a)을 포함한다. 게이트 전극의 역할을 하는 전도성 물질층(30b)은 제1 절연층(30a) 위에 도포된다. 게이트 스택 절연층(30c)은 게이트 전극(30b) 위에 도포된다. 추가로, 게이트 스택(30)은, 게이트 전극(30b) 및 게이트 스택 절연층(30c) 사이에 하나의 실리사이드(silicide)층 또는 베리어 층(barrier layer)및 내화성 금속(refractory metal) 층과 같은 고 전도성 물질층을 포함할 수 있다. 그렇지만, 이러한 고 전도성 물질들이 픽셀 셀(10)의 게이트 스택들(30)에 포함될 때, 암전류(dark current)는 극적으로 증가할 수 있다.
증가된 암전류를 초래하지 않을, 낮은 저항 컨덕터를 포함하는 픽셀 셀을 가지는 것이 바람직하다.
본 발명의 대표적인 실시예는 기판의 표면에 광-변환(photo-conversion) 장치를 가지는 픽셀 셀, 및 전하 또는 신호가 출력되거나 수용되는 적어도 하나의 컨택 영역을 제공한다. 제1 절연층은 광-변환 장치 및 적어도 하나의 컨택 영역 위에 존재한다. 픽셀 셀은 나아가 적어도 하나의 컨택 영역과 접촉하는 적어도 하나의 컨덕터를 포함한다. 컨덕터는 제1 절연층을 통해 확장되고 적어도 하나의 컨택 영역과 접촉하는 폴리실리콘 물질을 포함한다. 또한, 실리사이드 및 내화성 금속 중 적어도 하나를 포함하는, 전도성 물질이 있을 수 있고 상기 폴리실리콘 물질과 접촉할 수 있다.
본 발명의 앞서 말한 그리고 그 밖의 장점들 및 형상들은 그에 수반하는 도면들을 참조로 하여, 아래 제공된 대표적인 실시예의 상세한 기술로부터 보다 명백해질 것이다.
도 1A는 통상적인 CMOS 픽셀 셀의 개략도이다.
도 1B는 도 1A의 픽셀 셀의 상부 평면도이다.
도 1C는 라인 1C-1C'을 따라 취한 도 1B의 픽셀 셀의 단면도이다.
도 2는 본 발명의 대표적인 실시예에 따른 픽셀 셀의 단면도이다.
도 3A 내지 3I는 공정의 다양한 단계들에서 도 2의 픽셀 셀을 묘사한다.
도 4는 본 발명의 또 하나의 대표적인 실시예에 따른 픽셀 셀의 단면도이다.
도 5는 본 발명의 또 하나의 대표적인 실시예에 따른 픽셀 셀의 단면도이다.
도 6은 본 발명의 대표적인 실시예에 따른 CMOS 이미지 센서의 블록도이다.
도 7은 도 6의 CMOS 이미지 센서를 포함하는 프로세서 시스템의 블록도이다.
아래의 상세한 기술에서, 그 부분을 형성하고 본 발명이 그 안에서 실현될 수 있는 특수한 실시예들을 도시하는, 상기 수반하는 도면들로 참조는 준비된다. 도면들에서, 유사한 참조 번호들은 여러 관점을 통해 실체상 유사한 성분들을 기술한다. 이러한 실시예들은 그 기술에서 숙련된 사람들이 본 발명을 실현하는 것이 가능하도록 충분히 자세하게 기술되며, 다른 실시예들이 이용될 수 있다는 것 및 본 발명의 정신과 관점으로부터 벗어남이 없이 구조적, 논리적 및 전기적 변화들이 있을 수 있다는 것이 이해되어야 한다.
"웨이퍼" 및 "기판"이라는 용어들은 실리콘, 실리콘-온-인슐레이터(silicon-on-insulator; SOI), 또는 실리콘-온-사파이어(silicon-on-sapphire; SOS) 기술, 도핑된 및 도핑되지 않는 반도체, 기저 반도체 기초에 의해 지지되는 에피텍셜(epitaxial)층, 및 다른 반도체 구조들을 포함하는 것으로 이해되어야 한다. 더 나아가, 아래의 기술에서 지시가 "웨이퍼" 또는 "기판"으로 될 때, 선행 공정 단계들은 기저 반도체 구조 또는 기초에 영역들이나 접합들을 형성하는 데 이용되는 것일 수 있다. 추가로, 상기 반도체는 실리콘-기반일 필요는 없고, 실리콘-게르마늄(germanium), 게르마늄, 또는 갈륨-비소(gallium arsenide)에 기반을 둘 수 있다.
"픽셀" 또는 "픽셀 셀" 이라는 용어는 전자기 방사(electromagnetic radiation)를 전기적 신호로 변환하기 위한 광-변환 장치를 포함하는 화소 단위 셀을 지시한다.
도면들을 참조하면, 도 2는 본 발명의 대표적인 실시예에 따른 픽셀 셀(200) 의 단면도를 묘사한다. 상기 픽셀 셀(200)은, 상기 픽셀 셀(200)이 낮은 저항(즉, 고 전도도) 컨덕터(270)를 포함한다는 것을 제외하고는, 도 1A 내지 1C에 묘사된 픽셀 셀(10)과 유사하다. 또한, 상기 픽셀 셀(200)은 분리 영역(12)을 둘러싸며 그 하부인 p-형 웰(p-type well)(241) 및 플로팅 확산 영역(25) 하부인 p-형 웰(242), 리셋 트랜지스터(28), 및 트랜스퍼 트랜지스터(27)의 부분을 포함할 수 있다. 나아가, 도 2에 도시된 바와 같이, 제1, 제2, 제3, 및 제4 절연층(233, 234, 250, 251) 각각은 상기 기판(11) 및 상기 게이트 스택들(30) 위에 형성된다. 제1 절연층(233)은 게이트 스택들(30)에 측벽 스페이서들(sidewall spacers)을 형성한다.
컨덕터들(270)은, 전하 또는 신호의 출력 및/또는 수용이 가능한 도전성 영역(277)들과 접촉한다. 따라서, 컨덕터들(270)은 다양한 라인들(70)(예를 들어, 로우(row) 라인들, 출력 신호 라인들, 전원 공급 라인들, 및/또는 주변 회로)의 상기 픽셀 셀(200)로의 경로(route)를 제공할 수 있다. 도 2는 트랜스퍼 트랜지스터(27) 및 리셋 트랜지스터(28)의 게이트 전극들(30b)과 접촉하는 컨덕터들(270), 플로팅 확산 영역(25), 및 리셋 트랜지스터(28)의 소스/드레인 영역(60)을 묘사한다. 상기 컨덕터들(270)은 제1 및 제2 전도성층들(271, 272)을 각각 포함한다. 제1 및 제2 전도성 층들(271, 272)은 제2 및 제3 절연층들(234, 250)을 통해 형성된다. 컨덕터가 게이트 전극(30b)과 접촉하기 위해, 제1 전도성 층(271)도 게이트 스택 절연층(30c)을 통해 역시 확장된다.
바람직하게, 제1 전도성 층(271)은 폴리실리콘 층이다. 제2 전도성 층(272)은 상기 제1 전도성 층(271) 위에 형성된다. 상기 제2 전도성 층(272)은 단일 물질 층 또는 한가지 물질보다 많은 층들을 포함하는 복합층이 될 수 있다. 예를 들어, 제2 전도성 층(272)은 특히, 텅스텐 실리사이드(tungsten silicide), 티타늄 실리사이드(titanium silicide), 텅스텐 실리사이드(tungsten silicide), 코발트 실리사이드(cobalt silicide), 몰리브덴 실리사이드(molybdenum silicide), 또는 탄탈륨 실리사이드(tantalum silicide) 등과 같은 실리사이드 층; 또는 특히, 텅스텐 나이트라이드(WNx)/텅스텐, 티타늄 나이트라이드/텅스텐(TiN/W) 등과 같은 베리어 금속(Barrier Metal)/내화성 금속 층이 될 수 있다.
아래에 보다 상세하게 기술된 바와 같이, 상기 포토다이오드(21) 및 여러 제1 절연층들(233, 234, 및 250)이 형성된 뒤에 상기 컨덕터들(270)은 형성된다. 그러므로, 상기 포토다이오드(21)는 컨덕터(270)가 형성될 때 절연층들(233, 234, 및 250)에 의해 보호된다. 이 방법에서는, 암 전류(dark current)가 컨덕터(270)의 형성에 의해 증가되지 않는다.
도 3A 내지 3I는 본 발명의 대표적인 실시예에 따라 픽셀 셀(200)의 형성을 묘사한다. 여기에 기술된 임의의 작용을 위해서, 논리적으로 선행 작용의 결과를
요구하는 것들을 제외하고는 어떤 특별한 순서도 요구되지 않는다. 따라서, 아래의 작용들이 일반적인 순서로 구현되고 있는 것으로 기술되기는 하지만, 그 순서는 대표적일 뿐이고 원한다면 바뀔 수 있다.
도 3A는 초기 제조 단계에서의 픽셀 셀(200)을 도시한다. 도시된 대표적인 실시예에서, 기판(11)은 제1 전도도 형의 실리콘 기판으로, 이 대표적인 실시예에서는 p-형이다. 분리 영역(12)은 기판(11)에 형성되고 절연 물질로 채워진다. 상기 절연 물질은, 예를 들어 실리콘 옥사이드(silicon oxide)과 같은 옥사이드 물질; 옥시나이트라이드(oxynitride); 실리콘 나이트라이드(silicon nitride)와 같은 나이트라이드 물질; 실리콘 카바이드(silicon carbide); 고온 폴리머(high temperature polymer); 또는 다른 적절한 절연 물질이 될 수 있다. 도 3A에 도시된 바와 같이, 분리 영역(12)은 얕은 트렌치 분리(STI) 영역일 수 있다. 상기 STI 영역(12)을 위한 절연 물질은 바람직하게 고밀도 플라즈마(high density plasma; HDP) 옥사이드로, 좁은 트렌치를 효과적으로 채울 수 있는 고성능을 가진 물질이다.
도핑된 p-형 웰들(241, 242)은 도 3A에 또한 도시된 바와 같이 기판(11) 내부로 주입된다. p-웰들(241, 242)은 포토다이오드(21)(도 2)가 형성된 영역으로부터 멀리 떨어진 기판에 형성된다. 상기 p-웰들(241, 242)은 인접한 픽셀 셀(보이지 않는)과 공유될 수 있다. 상기 p-웰들(241, 242)은 임의의 알려진 방법으로 형성될 수 있다. 예를 들어, 포토레지스트(photoresist)층(보이지 않는)은 상기 p-웰들(241, 242)이 형성된 영역 위에 개구부를 가지도록 기판(11) 위에 패터닝될 수 있다. 보론(boron)과 같은 p-형 도판트(dopant)가 포토레지스트에 있는 개구부를 통해 기판(11) 안으로 주입될 수 있다. 상기 p-웰들(241, 242)은 기판(11)의 인접한 부분들보다 더 높은 p-형 도판트 농도를 가지도록 형성된다.
도 3B는 상기 트랜스퍼 트랜지스터(27)(도 2) 및 상기 리셋 트랜지스터(28)(도 2) 게이트 스택들(30)의 형성을 묘사한다. 보이지 않지만, 소스 폴로워 및 로우 선택 트랜지스터(29, 26)(도 1A 및 1B)는 아래 설명된 바대로, 각각 트랜스퍼 및 리셋 트랜지스터들(27, 28)과 동시에 형성될 수 있다.
게이트 스택들(30)을 형성하기 위해, 제1 절연층(30a)으로 예를 들어 실리콘 옥사이드가 기판(11) 위에 성장되거나 도포될 수 있다. 상기 제1 절연층(30a)은 후속하여 형성된 게이트 전극(30b)에 적합한 게이트 옥사이드 역할을 한다. 다음, 전도성 층(30b)이 상기 옥사이드 층(30a) 위에 도포된다. 상기 전도성 층(30b)은 트랜지스터들(27, 28)(도 2)에 적합한 게이트 전극 역할을 한다. 상기 게이트 전극(30b)은 예를 들어 n-형인 제2 전도도 형태로 도핑될 수 있는 폴리실리콘 층일 수 있다. 여기서 게이트 스택 절연층으로 언급된, 제2 절연층(30c)은 게이트 전극(30b) 위에 도포된다. 상기 게이트 스택 절연층(30c)은 예를 들어, TEOS, 실리콘 옥사이드(SiO2), 나이트라이드(예를 들어 실리콘 나이트라이드), 옥시나이트라이드(실리콘 옥시나이트라이드), ON(옥사이드-나이트라이드), NO(나이트라이드-옥사이드) 또는 ONO(옥사이드-나이트라이드-옥사이드)로 형성될 수 있다.
상기 게이트 스택 층들(30a, 30b, 30c)는 퍼니스(furnace)내 성장법, 화학 기상 증착법(CVD) 또는 플라즈마 강화 화학 기상 증착법(PECVD) 등과 같은 통상적인 방법들로 형성될 수 있다. 그 다음에 상기 층들(30a, 30b, 30c)은 도 3B에 도시된 다층 게이트 스택(30)을 형성하기 위해 패터닝되고 에칭된다.
본 발명은 위에서 기술된 게이트 스택들(30)의 구조로 제한되지는 않는다. 상기 기술에서 요망되고 알려진 바와 같이 추가적 층들이 더해질 수도 있고 또는 게이트 스택들(30)이 바뀔 수도 있다.
도 3C에 묘사된 바와 같이, 도핑된 n-형 영역(22)은 기판(11)에 주입된다. 예를 들어, 포토레지스트 층(보이지 않는)은 포토다이오드(21)(도 2)가 형성될 기판(11)의 표면 위에 개구부를 가지도록 기판(11) 위에 패터닝될 수 있다. 인(Phosphorus), 비소(Arsenic) 또는 안티몬(Antimony)과 같은 n-형 도판트가 개구부를 통해 기판(11) 안으로 주입될 수 있다. 상기 영역(22)의 도핑 프로파일(doping profile)을 맞추기 위해 복수의 주입이 사용될 수 있다. 원한다면, 도핑된 영역(22)을 형성하기 위해 각도를 가진 주입이 실행될 수 있어서, 상기 기판(11)의 표면에 대해 90도가 아닌 다른 각에서 이온 주입이 수행된다.
도 3C에서 도시된 바와 같이, 상기 n-형 영역(22)은 상기 트랜스퍼 게이트 스택(30)과 인접한 점으로부터 그리고 상기 트랜스퍼 게이트 스택(30) 및 분리 영역(12) 사이의 기판(11) 내에 확장하여 형성된다. 상기 영역(22)은 포토-제너레이티드(photo-generated) 전하 수집을 위해 감광성(photosensitive) 전하 축적 영역을 형성한다.
도 3C에 도시된 구조를 얻기 위해, 알려진 방법들에 의해 플로팅 확산 영역(25) 및 소스/드레인 영역(60)은 주입된다. 플로팅 확산 영역(25) 및 소스/드레인 영역(60)은 n-형 영역으로 형성된다. 인(Phosphorus), 비소(Arsenic) 또는 안티몬(Antimony)과 같은 임의의 적절한 n-형 도판트가 사용될 수 있다. 플로팅 확산 영역(25)은 n-형 포토다이오드 영역(22) 반대편 트랜스퍼 게이트 스택(30)의 옆에 형성된다. 소스/드레인 영역(60)은 플로팅 확산 영역(25) 반대 리셋 게이트 스택의 옆에 형성된다.
도 3D는 제1 절연층(233)의 형성을 묘사한다. 이 층(233)은 특히 이 기술에서 알려진 방법들에 의해 형성된, 테트라에틸 오르소실리케이트(tetraethyl orthosilicate; TEOS), 실리콘 다이옥사이드, 실리콘 나이트라이드, 옥시나이트라이드 등과 같은 임의의 적절한 물질이 될 수 있다.
도 3E는 기판(11) 내 표면층(23)의 형성을 도시한다. 도시된 실시예에서, 붕소(boron), 인듐(indium), 또는 임의의 다른 적당한 p-형 도판트와 같은 p-형 도판트가 p-형 표면층(23)을 형성하기 위해 사용될 수 있다. 원한다면, 대신으로, 표면층(23)이 n-형 영역(22)(도 3C) 앞에 형성될 수 있다.
상기 제1 절연층(233)은 도 3E에서 도시된 바와 같이 에칭된다. 상기 층(233)의 남은 부분들은 리셋 게이트 스택(30)의 측벽 및 트랜스퍼 게이트 스택(30)의 측벽에 측벽 스페이서들(spacers)을 형성한다. 층(233)은 트랜스퍼 게이트 스택(30) 및 포토다이오드(21)의 부분 위에 남는다. 대신으로, 측벽 스페이서들(보이지 않는)만 게이트 스택(30)에 남도록 제1 절연층(233)이 패터닝/에칭될 수 있다.
선택적으로, 도 3E에 도시된 구조를 얻기 위해, 제2 절연층(234)(예를 들어, TEOS층)이 제1 절연층(233) 위에 형성될 수 있다.
도 3F에 묘사된 바와 같이, 제2 절연층(234) 위로 제3 절연층(250)이 형성된다. 도 3F의 실시예에서, 제3 절연층(250)은 보로포스포실리케이트 글라스(borophosphosilicate glass; BPSG)이다. 대신에, 제3 절연층은 예를 들어, 실리콘 다이옥사이드, 보로실리케이트 글라스(borosilicate glass; BSG), 또는 포스포 실리케이트 글라스(phosphosilicate glass) 등이다. 제3 절연층(250)은 예를 들어, 화학적 기계적 연마(chemical mechanical polish; CMP) 단계에 의해 평탄화된다.
도 3G에 도시된 바와 같이, 개구부들(252, 253)은 제2 절연층(234) 및 제3 절연층(234)에 형성된다. 상기 개구부들(252, 253)은 임의의 알려진 기술에 의해 형성될 수 있다. 상기 개구부들(252)은 게이트 스택들(30)의 게이트 전극들(30b)을 노출시키기 위해 형성된다. 상기 개구부들(253)은 플로팅 확산 영역(25) 및 소스/드레인 영역(60)을 노출시키기 위해 형성된다.
도 3H는 개구부들(252, 253)을 채우는, 제1 전도성 층(271)의 형성을 묘사한다. 바람직하게, 제1 전도성 층(271)은 폴리실리콘층이다. 제2 전도성 층(272)은 제1 전도성 층(271) 위에 형성된다. 상기 제2 전도성 층(272)은 단일 물질층 또는 한가지 물질 이상의 층을 포함하는 복합층들일 수 있다. 예를 들어, 상기 제2 전도성 층(272)은 특히 텅스텐 실리사이드(tungsten silicide), 티타늄 실리사이드(titanium silicide), 코발트 실리사이드(cobalt silicide), 몰리브덴 실리사이드(molybdenum silicide), 또는 탄탈륨 실리사이드(tantalum silicide) 등과 같은 실리사이드 층; 또는 특히 텅스텐 나이트라이드(WNx)/텅스텐, 티타늄 나이트라이드/텅스텐(TiN/W) 등과 같은 베리어 금속/내화성 금속 층이 될 수 있다. 상기 제2 전도성 층(272)은 낮은 저항이 필요하지 않은 경우의 응용을 위해서는 요구되지 않는다는 것이 인식되어야 한다.
도 3I에 도시된 것처럼, 상기 컨덕터들(270)을 형성하기 위해 제1 및 제2 전도성 층들(271, 272)은 패터닝된다. 위에서 지적된 바와 같이, 상기 컨덕터들(270) 이 형성될 때 포토다이오드(21)가 보호되기 때문에, 상기 컨덕터들(270)의 형성에 의해 암 전류는 중대하게 증가하지 않는다. 도시된 실시예에서, 상기 포토다이오드(21)는 제1, 제2, 및 제3 절연층들(233, 234, 250)에 의해 보호된다.
도 2에 도시된 구조를 얻기 위해, 제4 절연층(251)은 상기 컨덕터들(270) 및 제3 절연층(250) 위에 형성된다. 제4 절연층(251)은 예를 들어, 실리콘 다이옥사이드, BSG, PSG, 또는 BPSG가 될 수 있다. 상기 픽셀(200)의 다른 구조들(보이지 않는)을 형성하기 위해 통상적인 공정 방법들이 사용된다. 예를 들어, 차폐(shielding), 및 컨덕터들(270)을 로우 라인들(row lines)(70)에 연결하기 위한 금속화 층들; 및 상기 픽셀(200)의 다른 연결들이 형성된다.
도 4는 본 발명의 다른 하나의 대표적인 실시예에 따른 픽셀 셀(400)의 단면도이다. 픽셀 셀(400)은, 제1 전도성 층(271)이 제3 절연층(250) 안에서만 형성된다는 것을 제외하고는, 픽셀 셀(200)(도 2)과 유사하다. 제1 전도성층(271)이 형성된 뒤이고 제2 전도성 층이 형성되기 전에, 제1 전도성 층(271)이 예를 들어 화학적 기계적 연마(chemical mechanical polish; CMP) 단계에 의해 평탄화되는 것을 제외하고는, 픽셀 셀(400)은 도 3A 내지 3I와 관련하여 위에서 기술된 바와 같이 형성될 수 있다. 따라서, 제2 전도성 층(272)만 패터닝된다.
추가로, 도 4의 실시예에는, 제2 전도성 층(272)이 나아가 폴리실리콘층을 포함할 수 있다. 그러므로, 상기 제2 전도성 층(272)은 예를 들어, 폴리실리콘/실리사이드 또는 폴리실리콘/베리어 금속/내화성 금속 층 구조를 가질 수 있다.
도 5는 본 발명의 다른 하나의 대표적인 실시예에 따른 픽셀 셀(500)의 단면 도이다. 상기 픽셀 셀(500)은, 제3 평탄화된 절연층이 생략된 것을 제외하고는, 픽셀 셀(200)(도 2)과 유사하다. 제3 평탄화된 절연층(250) 형성단계가 생략되고, 상기 제2 절연층(250) 및 상기 게이트 절연층(30c)을 통해 상기 개구부들(252)이 형성되며; 제2 절연층(234)을 통해 상기 개구부들(253)이 형성되는 것을 제외하고는, 상기 픽셀 셀(500)은 도 3A 내지 3I와 관련하여 위에서 기술된 바와 같이 형성될 수 있다.
위의 실시예들이 4T 픽셀 셀(200(도 2), 400(도 4), 및 500(도 5))과 관련하여 기술되었지만, 상기 픽셀 셀들(200, 400, 500)의 형태는 단지 대표적이고 또한 본 발명은 트랜지스터 수를 달리하는 다른 픽셀 회로와 결합할 수 있다. 제한함이 없이, 이와 같은 회로는 트랜지스터 3개인(3T) 픽셀 셀 또는 5개(5T) 혹은 그 이상의 트랜지스터를 갖는 픽셀 셀을 포함할 수 있다. 3T 셀은 트랜스퍼 트랜지스터를 생략하지만, 광-변환 장치에 인접한 리셋 트랜지스터를 가질 수 있다. 5T, 6T, 및 7T 픽셀 셀들은 4T 픽셀 셀에 비해, 셔터(shutter) 트랜지스터, CMOS 포토게이트(photogate) 트랜지스터, 및 안티-블루밍(anti blooming) 트랜지스터와 같은 하나, 둘, 또는 3개 트랜지스터의 추가만큼 다르다.
전형적인 단일 칩 CMOS 이미지 센서(600)가 블록도로 도시되었다. 상기 이미지 센서(600)는 하나 또는 그 이상의 픽셀 셀을 가지는, 예를 들어 위에서 기술된 바와 같이 낮은 저항 컨덕터들(270)을 포함하는 픽셀 셀(200)(도 2), 픽셀 셀 어레이(680)를 포함한다. 상기 어레이(680)의 픽셀 셀들은 미리 결정된 로우와 칼럼의 숫자로 배열된다. 대신으로, 상기 픽셀 어레이(680)는 픽셀 셀들(400(도 4) 및/또 는 500(도 5))을 포함할 수 있다.
동작시에, 어레이(680)에 있는 픽셀 셀의 로우들(rows)은 하나씩 읽힌다. 따라서, 어레이(680)의 로우에 있는 픽셀 셀들은 판독을 위해 동시에 하나의 로우 선택 라인씩 모두 선택되고, 선택된 로우에 있는 각 픽셀 셀은 수용된 빛이 표시하는 신호를 그 칼럼에 대한 판독 라인으로 제공한다. 어레이(680)에서, 각 칼럼은 또한 선택 라인을 가지고, 각 칼럼의 상기 픽셀 셀들은 상기 칼럼 선택 라인들에 대응하여 선택적으로 판독된다.
어레이(680)내 로우 라인들(row lines)은 로우 어드레스 디코더(row address decoder)(681)에 대응하여, 로우 드라이버(682)에 의해 선택적으로 활성화된다. 칼럼 선택 라인들은 칼럼 어드레스 디코더(column address decoder)(685)에 대응하여, 칼럼 드라이버(column driver)(684)에 의해 선택적으로 활성화된다. 픽셀 신호 판독을 위해 적절한 로우 및 칼럼 라인들을 선택함으로써 어드레스 디코더들(681, 685)을 컨트롤하는 타이밍 컨트롤 회로(683)에 의해 상기 어레이(680)는 작동된다.
칼럼 판독 라인들(column readout lnes)에 있는 신호들은 각각의 픽셀 셀에 대해 전형적으로 픽셀 리셋 신호(Vrst) 및 픽셀 이미지 신호(Vphoto)를 포함한다. 두 신호는 모두 칼럼 드라이버(column driver)(684)에 대응하여 샘플/홀드 회로(sample and hold circuit; S/H)(686)로 해석된다. 차동 증폭기(differential amplifier(AMP))(687)에 의해 각 픽셀 셀에 대해 차동 신호(differential signal)(Vst-Vphoto)가 만들어지고, 각 픽셀 셀의 차동 신호는 아날로그 디지탈 변환기(Analog to Digital Converter; ADC)(688)에 의해 디지탈화된다. 상기 아날로 그 디지탈 변환기(688)은 디지탈화된 픽셀 신호를, 이미지 출력을 정의하는 디지탈 신호를 제공하기 전에 적절한 이미지 공정을 수행하는, 이미지 프로세서(689)에 공급한다.
도 7은 도 6의 이미지 센서(600)를 포함하는 프로세서 시스템(700)을 도시한다. 상기 프로세서 시스템(700)은 이미지 센서 장치들을 포함할 수 있는 디지탈 회로를 가지는 대표적인 시스템이다. 그러한 시스템은 컴퓨터 시스템, 카메라 시스템, 스캐너, 머신 비전(machine vision), 자동차 항법장치, 비데오 전화, 감시 시스템(surveillance system), 자동 초점 시스템, 스타 트래커 시스템(star tracker system), 움직임 검출 시스템(motion detection system), 화상 안정화 시스템(image stabilization system), 및 데이타 압축 시스템(data compression system)을 제한없이 포함할 수 있다.
예를 들어 카메라 시스템인 상기 시스템(700)은 일반적으로, 버스(763) 위로 입력/출력(I/O) 장치(761)와 통신하는, 중앙 처리 장치(central processing unit; CPU)(760)를 포함한다. 이미지 센서(600)는 또한 버스(763) 위로 CPU(760)와 통신한다. 상기 시스템(700)은 또한 랜덤 액세스 메모리(random access memory; RAM)(762), 및 버스(763) 위로 CPU(760)와 역시 통신하는 플래시 메모리와 같은 착탈 가능한 메모리(removable memory)(764)를 포함할 수 있다. 이미지 센서(600)는, 단일 집적 회로 또는 프로세서와 다른 칩에 메모리 저장을 수반하거나 수반하지 않은 채, CPU, 디지털신호처리기(digital signal processor), 또는 마이크로프로세서(microprocessor)와 같은 프로세서(processor)와 결합될 수 있다.
위의 기술 및 도면들은 대표적이고, 본 발명의 목적들, 형상들 및 장점들을 달성하는 바람직한 실시예를 도시했다는 점이 다시 인식되어야 한다. 상기 본 발명이 도시된 실시예들 만으로 제한되는 것은 의도되지 않았다. 아래 청구항들의 정신 및 관점 안에 속하는 본 발명에 대한 임의의 개량(modification)은 본 발명의 부분으로 고려되어야 한다.
본 발명의 이미지 센서 장치들을 포함하는 디지탈 회로를 가지는 시스템은 컴퓨터 시스템, 카메라 시스템, 스캐너, 머신 비전(machine vision), 자동차 항법장치, 비데오 전화, 감시 시스템(surveillance system), 자동 초점 시스템, 스타 트래커 시스템(star tracker system), 움직임 검출 시스템(motion detection system), 화상 안정화 시스템(image stabilization system), 및 데이타 압축 시스템(data compression system) 등으로 이용 가능하다.

Claims (67)

  1. 기판 표면의 광-변환 장치(photo-conversion device);
    전하 또는 신호가 출력되거나 수신되는 적어도 하나의 컨택 영역;
    상기 광-변환 장치 및 상기 적어도 하나의 컨택 영역 위의 제1 절연층; 및
    상기 적어도 하나의 컨택 영역과 접촉하는 적어도 하나의 컨덕터를 포함하는 픽셀 셀로서, 상기 적어도 하나의 컨덕터는,
    상기 제1 절연층을 통해 확장하고 상기 적어도 하나의 컨택 영역과 접촉하는 폴리실리콘을 포함하는 물질을 포함하는, 픽셀 셀.
  2. 청구항 1에 있어서,
    전도성 물질이 상기 폴리실리콘을 포함하는 물질 위에 접촉하여 있고, 상기 전도성 물질은 실리사이드 및 내화성 금속(refractory metal) 중 적어도 하나를 포함하는, 픽셀 셀.
  3. 청구항 1에 있어서,
    상기 적어도 하나의 컨택 영역은 게이트 전극인, 픽셀 셀.
  4. 청구항 2에 있어서,
    상기 게이트 전극은 트랜스퍼, 리셋, 로우 선택(row select), 및 소스 폴로 워(source follower) 트랜지스터 중 하나의 게이트 전극인, 픽셀 셀.
  5. 청구항 1에 있어서,
    상기 적어도 하나의 컨택 영역은 플로팅 확산 영역인, 픽셀 셀.
  6. 청구항 1에 있어서,
    상기 적어도 하나의 컨택 영역은 트랜지스터의 소스/드레인 영역인, 픽셀 셀.
  7. 청구항 2에 있어서,
    상기 전도성 물질은 실리사이드를 포함하는, 픽셀 셀.
  8. 청구항 7에 있어서,
    상기 전도성 물질은 텅스텐 실리사이드, 티타늄 실리사이드, 코발트 실리사이드, 몰리브덴 실리사이드, 및 탄탈륨 실리사이드로 이루어진 그룹으로부터 선택된 실리사이드를 포함하는, 픽셀 셀.
  9. 청구항 2에 있어서,
    상기 전도성 물질은 베리어 금속(Barrier Metal)/내화성 금속 층을 포함하는, 픽셀 셀.
  10. 청구항 9에 있어서,
    상기 전도성 물질은 텅스텐 나이트라이드/텅스텐 층을 포함하는, 픽셀 셀.
  11. 청구항 9에 있어서,
    상기 전도성 물질은 티타늄 나이트라이드/텅스텐 층을 포함하는, 픽셀 셀.
  12. 청구항 2에 있어서,
    상기 전도성 물질은 텅스텐 나이트라이드 층을 포함하는, 픽셀 셀.
  13. 청구항 1에 있어서,
    상기 광-변환 장치 위 및 상기 제1 절연층 아래에 제2 절연층을 더 포함하는, 픽셀 셀.
  14. 청구항 1에 있어서,
    상기 폴리실리콘을 포함하는 물질은 상기 제1 절연층의 상부 표면과 같은 평면에 상부 표면을 가지는, 픽셀 셀.
  15. 청구항 1에 있어서,
    상기 폴리실리콘을 포함하는 물질의 상부 표면은 상기 제1 절연층의 상부 표 면 위에 있는, 픽셀 셀.
  16. 청구항 1에 있어서,
    복수의 컨택 영역 및 복수의 컨덕터들을 더 포함하고, 각 컨덕터는 각각의 컨택 영역과 접촉하는, 픽셀 셀.
  17. 기판 표면의 광-변환 장치;
    상기 광-변환 장치에 결합되고, 게이트 전극, 및 상기 게이트 전극 위의 게이트 절연체를 가지는, 제1 트랜지스터;
    상기 광-변환 장치 및 상기 제1 트랜지스터 위의 적어도 하나의 절연층; 및
    상기 게이트 전극과 접촉하는 제1 컨덕터를 포함하는 픽셀 셀로서, 상기 컨덕터는,
    상기 게이트 전극과 접촉하기 위해 상기 제1 절연층 및 상기 게이트 절연체를 통해 확장되는 폴리실리콘을 포함하는 물질을 포함하는, 픽셀 셀.
  18. 청구항 17에 있어서,
    상기 폴리실리콘을 포함하는 물질의 위에 전도성 물질이 접촉하여 있고, 상기 전도성 물질은 실리사이드 및 내화성 금속 중 적어도 하나를 포함하는, 픽셀 셀.
  19. 청구항 17에 있어서,
    상기 제1 트랜지스터에 결합된 플로팅 확산 영역; 및
    상기 플로팅 확산 영역과 접촉하는 제2 컨덕터를 더 포함하고, 상기 제2 컨덕터는,
    상기 게이트 전극과 접촉하기 위해 적어도 하나의 절연층과 상기 게이트 절연체를 통해 확장되는 폴리실리콘을 포함하는 물질, 및 상기 폴리실리콘을 포함하는 물질 위에 접촉하여 있는 전도성 물질을 포함하는, 픽셀 셀.
  20. 청구항 17에 있어서,
    상기 광-변환 장치 위 및 상기 적어도 하나의 절연층 아래에 제2 절연층을 더 포함하는, 픽셀 셀.
  21. 청구항 17에 있어서,
    상기 적어도 하나의 절연층은 보로포스포실리케이트 글라스(borophosphosilicate glass)를 포함하는, 픽셀 셀.
  22. 청구항 17에 있어서,
    상기 적어도 하나의 절연층은 테트라에틸 오르소실리케이트(tetraethyl orthosilicate)를 포함하는, 픽셀 셀.
  23. 기판; 및
    픽셀 셀의 어레이를 포함하는 이미지 센서로서, 적어도 하나의 픽셀 셀은,
    기판 표면의 광-변환 장치;
    전하 또는 신호가 그로부터 출력되거나 수신되는 적어도 하나의 컨택 영역;
    상기 광-변환 장치 및 상기 적어도 하나의 컨택 영역 위의 제1 절연층; 및
    상기 적어도 하나의 컨택 영역과 접촉하는 적어도 하나의 컨덕터를 포함하고, 상기 적어도 하나의 컨덕터는,
    상기 제1 절연층을 통해 확장하고 상기 적어도 하나의 컨택 영역과 접촉하는 폴리실리콘을 포함하는 물질을 포함하는, 이미지 센서.
  24. 청구항 23에 있어서,
    상기 폴리실리콘을 포함하는 물질의 위에 전도성 물질이 접촉하여 있고, 상기 전도성 물질은 실리사이드 및 내화성 금속 중 적어도 하나를 포함하는, 이미지 센서.
  25. 청구항 23에 있어서,
    상기 적어도 하나의 컨택 영역은 게이트 전극인, 이미지 센서.
  26. 청구항 25에 있어서,
    상기 게이트 전극은 트랜스퍼, 리셋, 로우 선택, 및 소스 폴로워 트랜지스터 중 하나의 게이트 전극인, 이미지 센서.
  27. 청구항 23에 있어서,
    상기 적어도 하나의 컨택 영역은 플로팅 확산 영역인, 이미지 센서.
  28. 청구항 23에 있어서,
    상기 적어도 하나의 컨택 영역은 트랜지스터의 소스/드레인 영역인, 이미지 센서.
  29. 청구항 24에 있어서,
    상기 전도성 물질은 실리사이드 층을 포함하는, 이미지 센서.
  30. 청구항 29에 있어서,
    상기 전도성 물질은 텅스텐 실리사이드, 티타늄 실리사이드, 코발트 실리사이드, 몰리브덴 실리사이드, 또는 탄탈륨 실리사이드로 이루어진 그룹으로부터 선택된 실리사이드를 포함하는, 이미지 센서.
  31. 청구항 24에 있어서,
    상기 전도성 물질은 베리어 금속/내화성 금속 층을 포함하는, 이미지 센서.
  32. 청구항 31에 있어서,
    상기 전도성 물질은 텅스텐 나이트라이드/텅스텐 층을 포함하는, 이미지 센서.
  33. 청구항 31에 있어서,
    상기 전도성 물질은 티타늄 나이트라이드/텅스텐 층을 포함하는, 이미지 센서.
  34. 청구항 24에 있어서,
    상기 전도성 물질은 텅스텐 나이트라이드 층을 포함하는, 이미지 센서.
  35. 청구항 23에 있어서,
    상기 광-변환 장치 위 및 상기 제1 절연층 아래에 제2 절연층을 더 포함하는, 이미지 센서.
  36. 청구항 23에 있어서,
    복수의 컨택 영역들 및, 각 컨덕터가 각각의 컨택 영역과 접촉하는, 복수의 컨덕터들을 더 포함하는, 이미지 센서.
  37. 청구항 23에 있어서,
    상기 적어도 하나의 컨덕터에 결합된 적어도 하나의 라인을 더 포함하고, 상기 라인은 적어도 하나의 픽셀 셀 외부의 회로에 결합된, 이미지 센서.
  38. 청구항 23에 있어서,
    상기 적어도 하나의 픽셀 셀은 4-트랜지스터 픽셀 셀인, 이미지 센서.
  39. 청구항 23에 있어서,
    상기 적어도 하나의 픽셀 셀은 3-트랜지스터 픽셀 셀인, 이미지 센서.
  40. 청구항 23에 있어서,
    상기 적어도 하나의 픽셀 셀은 전하 결합 장치-타입의 픽셀 셀인, 이미지 센서.
  41. (i)프로세서; 및
    (ii)상기 프로세서에 결합된 이미지 센서를 포함하는 프로세서 시스템으로서, 상기 이미지 센서는,
    기판; 및
    픽셀 셀의 어레이를 포함하고, 적어도 하나의 픽셀 셀은,
    기판 표면의 광-변환 장치;
    전하 또는 신호가 출력되거나 수신되는 적어도 하나의 컨택 영역;
    상기 광-변환 장치 및 적어도 하나의 컨택 영역 위의 제1 절연층; 및
    상기 적어도 하나의 컨택 영역과 접촉하는 적어도 하나의 컨덕터를 포함하고, 상기 적어도 하나의 컨덕터는,
    상기 제1 절연층을 통해 확장하고 상기 적어도 하나의 컨택 영역과 접촉하는, 폴리실리콘을 포함하는 물질을 포함하는, 프로세서 시스템.
  42. 청구항 41에 있어서,
    상기 폴리실리콘을 포함하는 물질의 위에 전도성 물질이 접촉하여 있고, 상기 전도성 물질은 실리사이드 및 내화성 금속 중 적어도 하나를 포함하는, 프로세서 시스템.
  43. 청구항 41에 있어서,
    상기 이미지 센서는 CMOS 이미지 센서인, 프로세서 시스템.
  44. 청구항 41에 있어서,
    상기 이미지 센서는 전하 결합 장치 이미지 센서인, 프로세서 시스템.
  45. 픽셀 셀을 형성하는 방법으로서,
    기판의 표면에 광-변환 장치를 형성하는 단계;
    제1 컨택 영역을 형성하는 단계;
    상기 광-변환 장치 및 상기 제1 컨택 영역 위로 적어도 제1 절연층을 형성하는 단계;
    상기 제1 절연층에 적어도 하나의 개구부를 형성하는 단계;
    상기 개구부 내에 상기 컨택 영역과 접촉하여 폴리실리콘을 포함하는 물질을 제공하는 단계를 포함하는, 픽셀 셀 형성 방법.
  46. 청구항 45에 있어서,
    상기 폴리실리콘을 포함하는 물질의 위에 접촉하여 전도성 물질층을 형성하는 단계를 더 포함하고, 전도성 물질을 형성하는 단계는 실리사이드 및 내화성 금속 중 적어도 하나를 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  47. 청구항 45에 있어서,
    상기 적어도 하나의 컨택 영역을 형성하는 단계는 게이트 전극을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  48. 청구항 47에 있어서,
    상기 적어도 하나의 컨택 영역을 형성하는 단계는, 트랜스퍼, 리셋, 로우 선택, 및 소스 플로워 트랜지스터 중 하나의 게이트 전극을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  49. 청구항 45에 있어서,
    상기 적어도 하나의 컨택 영역을 형성하는 단계는 플로팅 확산 영역을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  50. 청구항 45에 있어서,
    상기 적어도 하나의 컨택 영역을 형성하는 단계는 트랜지스터의 소스/드레인 영역을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  51. 청구항 46에 있어서,
    상기 전도성 물질을 제공하는 단계는 실리사이드층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  52. 청구항 51에 있어서,
    상기 실리사이드층을 형성하는 단계는, 텅스텐 실리사이드, 티타늄 실리사이드, 코발트 실리사이드, 몰리브덴 실리사이드, 및 탄탈륨 실리사이드 층으로 이루어진 그룹으로부터 선택된 실리사이드를 포함하는 실리사이드층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  53. 청구항 46에 있어서,
    상기 전도성 물질을 제공하는 단계는 베리어 금속/내화성 금속 층을 형성하 는 단계를 포함하는, 픽셀 셀 형성 방법.
  54. 청구항 53에 있어서,
    상기 전도성 물질을 제공하는 단계는 텅스텐 나이트라이드/텅스텐 층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  55. 청구항 53에 있어서,
    상기 전도성 물질을 제공하는 단계는 티타늄 나이트라이드/텅스텐 층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  56. 청구항 45에 있어서,
    상기 광-변환 장치 위 및 상기 제1 절연층 아래에 제2 절연층을 형성하는 단계를 더 포함하는, 픽셀 셀 형성 방법.
  57. 청구항 45에 있어서,
    상기 폴리실리콘을 포함하는 물질이 상기 제1 절연층의 상부 표면과 같은 평면에 상부 표면을 갖도록, 상기 폴리실리콘을 포함하는 물질 및 제1 절연층을 평탄화하는 단계를 더 포함하는, 픽셀 셀 형성 방법.
  58. 청구항 57에 있어서,
    상기 폴리실리콘을 포함하는 물질의 위에 접촉하여 전도성 물질층을 형성하는 단계를 더 포함하고, 전도성 물질을 형성하는 단계는 폴리실리콘/베리어 금속/내화성 금속 층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  59. 청구항 57에 있어서, 상기 폴리실리콘을 포함하는 물질의 위에 접촉하여 있는 전도성 물질을 형성하는 단계를 더 포함하고, 상기 전도성 물질을 형성하는 단계는 폴리실리콘/실리사이드 층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  60. 청구항 45에 있어서,
    복수의 컨택 영역을 형성하는 단계 및 복수의 개구부들의 형성 단계를 더 포함하고, 각 개구부는 각각의 컨택 영역을 노출하도록 형성되며, 상기 폴리실리콘을 포함하는 층은 각각의 개구부 내에 각각의 컨택 영역과 접촉하여 형성되는, 픽셀 셀 형성 방법.
  61. 청구항 45에 있어서,
    상기 적어도 하나의 컨덕터에 결합된 적어도 하나의 라인을 형성하는 단계; 및
    상기 적어도 하나의 픽셀 셀 외부의 회로에 상기 적어도 하나의 라인을 결합하는 단계를 더 포함하는, 픽셀 셀 형성 방법.
  62. 픽셀 셀을 형성하는 방법으로서, 상기 방법은,
    기판의 표면에 광-변환 장치를 형성하는 단계;
    상기 광-변환 장치에 결합되는 제1 트랜지스터를 형성하는 단계로, 상기 제1 트랜지스터는 게이트 전극 및 상기 게이트 전극 위의 게이트 절연체를 가지는, 단계;
    상기 광-변환 장치 및 상기 제1 트랜지스터 위로 적어도 하나의 절연층을 형성하는 단계;
    상기 적어도 하나의 절연층 및 상기 게이트 절연층에 제1 개구부를 형성하는 단계로, 상기 제1 개구부는 게이트 전극에 확장되는, 단계;
    상기 제1 개구부 내에 상기 게이트 전극과 접촉하여 폴리실리콘을 포함하는 층을 제공하는 단계를 포함하는, 픽셀 셀 형성 방법.
  63. 청구항 62에 있어서,
    상기 폴리실리콘을 포함하는 층의 위에 접촉하여 전도성 층을 제공하는 단계를 더 포함하고, 상기 전도성 층은 실리사이드 및 내화성 금속 중 적어도 하나를 포함하는, 픽셀 셀 형성 방법.
  64. 청구항 62에 있어서,
    상기 제1 트랜지스터에 결합되는 플로팅 확산 층을 형성하는 단계; 및
    제2 절연층에 제2 개구부를 형성하는 단계를 더 포함하고,
    상기 제2 개구부는 플로팅 확산 영역에 확장되고, 상기 폴리실리콘을 포함하는 층을 제공하는 단계는 상기 제2 개구부에 상기 폴리실리콘을 포함하는 층을 제공하는 단계를 포함하는, 픽셀 셀 형성 방법.
  65. 청구항 62에 있어서,
    상기 광-변환 장치 위로 제1 및 제2 절연층을 형성하는 단계를 더 포함하는, 픽셀 셀 형성 방법.
  66. 청구항 62에 있어서,
    상기 적어도 하나의 절연층을 형성하는 단계는 보로포스포실리케이트 글라스층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
  67. 청구항 62에 있어서,
    상기 적어도 하나의 절연층을 형성하는 단계는 테트라에틸 오르소실리케이트 층을 형성하는 단계를 포함하는, 픽셀 셀 형성 방법.
KR1020087002377A 2005-06-29 2006-06-20 이미저용 매립된 컨덕터 KR101008748B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/168,760 US8018015B2 (en) 2005-06-29 2005-06-29 Buried conductor for imagers
US11/168,760 2005-06-29

Publications (2)

Publication Number Publication Date
KR20080022225A true KR20080022225A (ko) 2008-03-10
KR101008748B1 KR101008748B1 (ko) 2011-01-14

Family

ID=37012140

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087002377A KR101008748B1 (ko) 2005-06-29 2006-06-20 이미저용 매립된 컨덕터

Country Status (8)

Country Link
US (2) US8018015B2 (ko)
EP (1) EP1897141B1 (ko)
JP (1) JP5483148B2 (ko)
KR (1) KR101008748B1 (ko)
CN (1) CN101253630B (ko)
SG (1) SG161295A1 (ko)
TW (1) TWI339892B (ko)
WO (1) WO2007005267A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348651B2 (en) * 2004-12-09 2008-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pinned photodiode fabricated with shallow trench isolation
JP4725095B2 (ja) * 2004-12-15 2011-07-13 ソニー株式会社 裏面入射型固体撮像装置及びその製造方法
KR100746222B1 (ko) * 2005-07-11 2007-08-03 삼성전자주식회사 이미지 센서의 제조방법들
KR101146590B1 (ko) * 2007-05-29 2012-05-16 삼성전자주식회사 다중우물 시모스 이미지센서 및 그 제조방법
WO2009055778A1 (en) * 2007-10-25 2009-04-30 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing lateral germanium detectors
JP2010206174A (ja) 2009-02-06 2010-09-16 Canon Inc 光電変換装置およびその製造方法ならびにカメラ
JP2010206172A (ja) * 2009-02-06 2010-09-16 Canon Inc 撮像装置およびカメラ
JP2010206173A (ja) 2009-02-06 2010-09-16 Canon Inc 光電変換装置およびカメラ
JP5564874B2 (ja) 2009-09-25 2014-08-06 ソニー株式会社 固体撮像装置、及び電子機器
KR101338782B1 (ko) * 2011-11-29 2014-01-10 엘지이노텍 주식회사 태양전지 및 이의 제조방법
US8853862B2 (en) * 2011-12-20 2014-10-07 International Business Machines Corporation Contact structures for semiconductor transistors
JPWO2013190759A1 (ja) * 2012-06-21 2016-02-08 パナソニックIpマネジメント株式会社 固体撮像素子及びその製造方法
US9287313B2 (en) * 2013-03-12 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Active pixel sensor having a raised source/drain
US9484373B1 (en) * 2015-11-18 2016-11-01 Omnivision Technologies, Inc. Hard mask as contact etch stop layer in image sensors
CN109686663A (zh) * 2018-12-27 2019-04-26 上海华力微电子有限公司 一种半导体结构及其制造方法
CN110444556B (zh) * 2019-08-30 2021-12-03 上海华力微电子有限公司 Cmos传感器及cmos传感器的形成方法
CN110828497B (zh) * 2019-11-19 2022-03-18 上海华力微电子有限公司 一种垂直栅cmos图像传感器及制造方法
US11152404B2 (en) * 2019-12-20 2021-10-19 Omnivision Technologies, Inc. Tunnel contact for a pixel cell in an imaging system
CN113130516A (zh) 2020-01-15 2021-07-16 联华电子股份有限公司 半导体影像感测元件及其制作方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5136355A (en) * 1987-11-25 1992-08-04 Marconi Electronic Devices Limited Interconnecting layer on a semiconductor substrate
US5057448A (en) * 1988-02-26 1991-10-15 Hitachi, Ltd. Method of making a semiconductor device having DRAM cells and floating gate memory cells
KR930004295B1 (ko) * 1988-12-24 1993-05-22 삼성전자 주식회사 Vlsi 장치의 n+ 및 p+ 저항영역에 저저항 접속방법
US5528081A (en) * 1993-06-25 1996-06-18 Hall; John H. High temperature refractory metal contact in silicon integrated circuits
JP3413078B2 (ja) 1997-10-06 2003-06-03 キヤノン株式会社 光電変換装置と密着型イメージセンサ
JP3988239B2 (ja) * 1998-03-19 2007-10-10 ソニー株式会社 固体撮像素子及びその製造方法
KR100291179B1 (ko) * 1998-06-29 2001-07-12 박종섭 자기정렬된실리사이드층을갖는씨모스이미지센서및그제조방법
US6392302B1 (en) * 1998-11-20 2002-05-21 Micron Technology, Inc. Polycide structure and method for forming polycide structure
US6639261B2 (en) * 1998-12-08 2003-10-28 Micron Technology, Inc. Method for forming a low leakage contact in a CMOS imager
US6287958B1 (en) * 1999-06-03 2001-09-11 Micron Technology, Inc. Method of manufacturing a self-aligned etch stop for polycrystalline silicon plugs on a semiconductor device
US6326652B1 (en) * 1999-06-18 2001-12-04 Micron Technology, Inc., CMOS imager with a self-aligned buried contact
JP3782297B2 (ja) * 2000-03-28 2006-06-07 株式会社東芝 固体撮像装置及びその製造方法
JP3664939B2 (ja) * 2000-04-14 2005-06-29 富士通株式会社 Cmosイメージセンサ及びその製造方法
IL156497A (en) * 2002-06-20 2007-08-19 Samsung Electronics Co Ltd Image sensor and method of fabricating the same
JP3795846B2 (ja) * 2002-08-29 2006-07-12 富士通株式会社 半導体装置
JP3840214B2 (ja) * 2003-01-06 2006-11-01 キヤノン株式会社 光電変換装置及び光電変換装置の製造方法及び同光電変換装置を用いたカメラ
KR100955735B1 (ko) * 2003-04-30 2010-04-30 크로스텍 캐피탈, 엘엘씨 씨모스 이미지 센서의 단위화소
JP2005005573A (ja) * 2003-06-13 2005-01-06 Fujitsu Ltd 撮像装置
KR100500573B1 (ko) * 2003-07-01 2005-07-12 삼성전자주식회사 금속 배선 및 그 제조 방법, 금속 배선을 포함하는 이미지소자 및 그 제조 방법
JP4578792B2 (ja) * 2003-09-26 2010-11-10 富士通セミコンダクター株式会社 固体撮像装置
KR100539253B1 (ko) 2004-03-10 2005-12-27 삼성전자주식회사 폴리실리콘 콘택 스터드를 갖는 cmos 이미지 디바이스
KR100719338B1 (ko) * 2004-06-15 2007-05-17 삼성전자주식회사 이미지 센서 및 그 형성 방법

Also Published As

Publication number Publication date
US20070200181A1 (en) 2007-08-30
US7842523B2 (en) 2010-11-30
TW200709401A (en) 2007-03-01
US8018015B2 (en) 2011-09-13
WO2007005267A1 (en) 2007-01-11
SG161295A1 (en) 2010-05-27
KR101008748B1 (ko) 2011-01-14
EP1897141A1 (en) 2008-03-12
JP5483148B2 (ja) 2014-05-07
CN101253630A (zh) 2008-08-27
US20070001235A1 (en) 2007-01-04
CN101253630B (zh) 2016-08-24
JP2008545275A (ja) 2008-12-11
TWI339892B (en) 2011-04-01
EP1897141B1 (en) 2019-06-12

Similar Documents

Publication Publication Date Title
KR101008748B1 (ko) 이미저용 매립된 컨덕터
US7638825B2 (en) Imaging with gate controlled charge storage
US7009227B2 (en) Photodiode structure and image pixel structure
US6744084B2 (en) Two-transistor pixel with buried reset channel and method of formation
US6897082B2 (en) Method of forming well for CMOS imager
US7608870B2 (en) Isolation trench geometry for image sensors
US20070029469A1 (en) CMOS imaging for ALC and CDS
US20050167774A1 (en) Barrier regions for image sensors
US20070045682A1 (en) Imager with gradient doped EPI layer
US8105864B2 (en) Method of forming barrier regions for image sensors

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 10