KR20070086852A - 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치 - Google Patents

고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치 Download PDF

Info

Publication number
KR20070086852A
KR20070086852A KR1020077015058A KR20077015058A KR20070086852A KR 20070086852 A KR20070086852 A KR 20070086852A KR 1020077015058 A KR1020077015058 A KR 1020077015058A KR 20077015058 A KR20077015058 A KR 20077015058A KR 20070086852 A KR20070086852 A KR 20070086852A
Authority
KR
South Korea
Prior art keywords
gas
chamber
distributor
processing system
stem section
Prior art date
Application number
KR1020077015058A
Other languages
English (en)
Other versions
KR100954257B1 (ko
Inventor
퀴웨이 리앙
시큉 루
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070086852A publication Critical patent/KR20070086852A/ko
Application granted granted Critical
Publication of KR100954257B1 publication Critical patent/KR100954257B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 프로세싱 챔버에 이용되는 가스 분배기가 개시된다. 상기 가스 분배기는 가스 유입구, 가스 배출구, 나선형 나사부를 가지는 스템 섹션을 포함한다. 상기 가스 분배기는 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스를 구비하는 본체, 상기 나선형 나사부와 상기 가스 편향 표면 사이에 배치된 측방향 시트, 및 상기 가스 유입구로부터 상기 스템 섹션 및 본체를 통해 상기 가스 배출구까지 연장하는 가스 통로를 더 포함한다. 특정 실시예에서, 측방향 시트는 실링 부재를 유지하도록 구성된다.

Description

고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스 전달 장치{SELF-COOLING GAS DELIVERY APPARATUS UNDER HIGH VACUUM FOR HIGH DENSITY PLASMA APPLICATIONS}
본 발명은 개략적으로 반도체 제조 장비에 관한 것이다. 특히, 본 발명은 반도체 제조 장비의 온도 제어에 관한 것이다. 단지 예로서, 본 발명은 고밀도 플라즈마 프로세싱 챔버와 함께 이용되는 자체-냉각식 가스 전달 장치에 적용된다. 본 발명의 방법 및 장치는 가스 전달 노즐의 자체 냉각등과 같은 용도 뿐만 아니라 기타 용도에도 적용될 수 있다.
화학기상증착(CVD)은 원하는 물질로 이루어진 얇은 층 또는 필름을 기판상에 형성하기 위해 반도체 산업에게 이용되는 가스 반응 프로세스이다. 일부 고밀도 플라즈마(HDP) 강화 CVD 프로세스는, 기판 표면의 방향적 편향(directional biasing)에 의해 표면에 대해 수직에 가까운 각도로 또는 표면에 대해 바람직한 각도로, 음으로 바이어스된 기판 표면상으로 양으로 대전된 플라즈마 이온을 끌어 당김으로써 필름 증착을 촉진하기 위해 RF-발생 플라즈마의 이용을 통한 물리적 이온 발생과 함께 반응성 화학 가스를 이용한다. 집적 회로(ICs)의 제조에 있어서의 하나의 목표는 기판상에 매우 얇으면서도 균일한 필름을 높은 생산량으로 형성하는 것이다.
많은 CVD 챔버에서, 가스 노즐들이 가스 전달 시스템의 일부로서 사용된다. 예를 들어, 박막 필름 증착 프로세스에서 이용되는 프로세스 가스가 챔버의 내측 표면에 배치된 하나 이상의 가스 노즐을 통해 챔버로 유입된다. 가스 노즐들은 세라믹을 포함하는 다양한 재료로 제조된다. 챔버 디자인에 따라, 일부 CVD 프로세스들, 특히 플라즈마 보조 CVD 프로세스들은 고온에서 작동되고, 챔버 내부에서 가스 노즐을 플라즈마 가열시킨다. 통상적으로 말단부에 하나 이상의 오리피스(orifices)가 위치되는 세라믹 노즐인 이러한 노즐들은 통상적인 HDP CVD 프로세스 중에 약 800 ℃ 이상의 온도까지 가열될 수 있다. 이러한 높은 온도는 프로세스 가스들이 기판 부근에 도달하기 전에 노즐 내부에서 조기에 분해되게 할 수 있으며, 그 결과 필름에 혼입될 수 있는 반응 부산물을 생성할 수 있다. 이러한 바람직하지 못한 프로세스는 증착 프로세스에서 불균일성, 입자 발생 및 기타 문제점들을 일으킬 수 있다.
그에 따라, HDP-CVD 및 기타 반도체 프로세싱 장치에 이용하기 위한 자체-냉각식 가스 전달 장치가 소위 당업계에서 요구되고 있다.
개략적으로, 본 발명은 반도체 제조 설비에 관한 것이다. 특히, 본 발명은 반도체 제조 장비의 온도 제어에 관한 것이다. 단지 예로서, 본 발명은 고밀도 플라즈마 프로세싱 챔버와 함께 이용되는 자체-냉각식 가스 전달 장치에 적용된다. 본 발명의 방법 및 장치는 가스 전달 노즐의 자체 냉각등과 같은 용도 뿐만 아니라 기타 용도에도 적용될 수 있다.
본 발명의 일 실시예에서, 반도체 프로세싱 챔버에서 이용하기 위한 가스 분배기가 제공된다. 가스 분배기는 가스 유입구 및 가스 배출구를 포함한다. 가스 분배기는 또한 나선형 나사부를 가지는 스템 섹션(stem section) 및 본체를 포함하며, 상기 본체는 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향(deflection) 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스(face)를 구비한다. 또한, 가스 분배기는 상기 나선형 나사부와 상기 가스 편향 표면 사이에 배치된 측방향 시트(lateral seat), 그리고 상기 가스 유입구로부터 상기 스템 섹션 및 본체를 통해 상기 가스 배출구까지 연장하는 가스 통로를 포함한다. 본 발명에 따른 특정 실시예에서, 상기 측방향 시트는 실링(sealing) 부재를 유지하도록 구성되며, 그러한 측방향 시트는 특정 실시예에서 O-링이다.
본 발명의 다른 실시예에서, 기판 프로세싱 시스템은 센터링된(centered) 원형 개구부를 포함하는 내측 상부 표면을 가지는 프로세싱 챔버, 상기 프로세싱 챔버내에 배치된 기판 지지 부재, 및 상기 챔버의 상부 표면내의 원형 개구부를 통해 상기 기판 지지 부재를 향해 연장하는 가스 분배기를 포함한다. 상기 가스 분배기는 가스 유입구, 가스 배출구, 나선형 나사부를 가지는 스템 섹션, 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스를 가지는 본체를 포함한다. 또한, 가스 분배기는 상기 나선형 나사부와 가스 편향 표면 사이에 배치된 측방향 시트, 그리고 상기 가스 유입구로부터 상기 스템 섹션 및 본체를 통해 상기 가스 배출구까지 연장하는 가스 통로를 포함한다. 기판 프로세싱 시스템은 상기 가스 분배기를 둘러싸는 가스 출구를 더 포함한다. 특정 실시예에서, 기판 프로세싱 시스템은 상기 챔버의 상부 표면의 센터링된 원형 개구부 위쪽에 놓이는 가스 전달 블럭(block)을 더 포함하며, 상기 가스 전달 블럭은 상기 가스 분배기의 스템 섹션의 나선형 나사부에 나사식으로 결합되는 나선형 나사부를 포함한다.
도 1은 통상적인 가스 전달 배플의 개략도이다.
도 2는 본 발명의 실시예에 따른 반도체 프로세싱 챔버의 개략도이다.
도 3은 열 접촉 저항 대 갭 가스 압력을 나타낸 그래프이다.
도 4는 본 발명의 일 실시예에 따른 가스 분배기의 개략도이다.
도 5는 본 발명의 일 실시예에 따른 가스 분배기를 개략적으로 도시한 단면도이다.
도 6은 본 발명의 실시예에 따른 가스 전달 블럭의 개략도이다.
도 7은 본 발명의 일 실시예에 따른 삽입 이전의 가스 전달 블럭 및 가스 분배기를 도시한 사시도이다.
도 8은 본 발명의 일 실시예에 따른 가스 전달 블럭에 결합된 가스 분배기를 개략적으로 도시한 단면도이다.
도 9는 본 발명의 실시예에 따른 가스 노즐의 개략적인 단면도이다.
본 발명은 개략적으로 반도체 제조 장비에 관한 것이다. 특히, 본 발명은 반도체 제조 장비의 온도 제어에 관한 것이다. 단지 예로서, 본 발명은 고밀도 플라즈마 프로세싱 챔버와 함께 이용되는 자체-냉각식 가스 전달 장치에 적용된다. 본 발명의 방법 및 장치는 가스 전달 노즐의 자체 냉각 등과 같은 용도 뿐만 아니라 기타 용도에도 적용될 수 있다.
도 1은 통상적인 가스 전달 배플의 개략도이다. 도 1에 도시된 바와 같이, 통상적인 가스 전달 배플은 그 배플의 상부에 위치된 가스 유입구(112) 및 그 배플의 하부 페이스에 위치된 가스 배출구(도시 안 됨)를 포함한다. 배플은 나사부(104)를 이용하여 가스 전달 장치에 나사체결된다. 가스 전달 장치(도시 안 됨)는 가스 소오스(source)에 유체연통가능하게 결합된다. 배플은 상기 나사부(104)에 인접한 스템 부분(102)을 구비한다. 스텝 부분은 O-링(109)에 대한 지지부를 제공하는 상부 표면(106) 및 상승 피쳐(feature)(108)를 포함하며, 상기 O-링은 상부 표면(106)상에 위치되고 상기 상승된 피쳐(108)를 둘러싼다. 배플이 가스 전달 장치에 부착되었을 때, O-링은 가스 전달 장치내의 실링 표면과 접촉하고 가스 유입구(112)를 통하지 않는 가스 유동에 대한 배리어(barrier)를 제공한다. 가스 전달 배플은 또한 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향 표면(110) 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스(도시 안 됨)를 구비한다.
도 2는 본 발명의 실시예에 따른 반도체 프로세싱 챔버의 개략도이다. 도 2에 도시된 반도체 프로세싱 챔버는 고밀도 플라즈마 화학기상증착(HDP-CVD) 시스 템(115)의 일 실시예이다. 시스템(115)은 챔버(117), 기판 지지부(118), 가스 전달 시스템(133), 원격 플라즈마 세정 시스템(150), 진공 시스템(170), 소오스 플라즈마 시스템(180A), 바이어스 플라즈마 시스템(180B)을 포함한다. 예시적인 HDP-CVD 시스템은 본 발명의 양수인인 어플라이드 머티어리얼스사가 제조하는 Ultima X HDP-CVD Centura 시스템이다.
본 발명의 실시예들은, ECR 플라즈마 형성 기술을 이용하는 챔버 및 챔버들의 일부를 적어도 부분적으로 둘러싸는 코일에 RF 에너지를 인가함으로써 플라즈마가 형성되는, 다양한 HDP-CVD 기판 프로세싱 챔버와 조합되어 실시될 수 있다. 본 발명의 실시예가 이용될 수 있는 유도-결합 HDP-CVD 챔버에 대해 이하에서 설명한다.
챔버(117)의 상부는 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 물질로 제조된 돔(114)을 포함한다. 돔(114)은 플라즈마 프로세싱 영역(116)의 상부 경계를 형성한다. 플라즈마 프로세싱 영역(116)은 알루미늄 산화물 또는 알루미늄 세라믹 물질로 제조되는 기판 지지부(118) 및 기판(113)의 상부 표면에 의해 바닥이 경계지어진다.
히터 플레이트(123) 및 저온 플레이트(124)가 돔(114)에 놓여지고 그 돔에 열적으로 결합된다. 히터 플레이트(123) 및 저온 플레이트(124)는 돔 온도를 약 100 ℃ 내지 200 ℃ 범위에 걸쳐 약 ±10 ℃로 제어할 수 있게 한다. 일반적으로, 플라즈마에 노출되면 기판 지지부(118)상에 위치된 기판이 가열된다. 기판 지지부(118)는 열 전달 가스(후방면 냉각 가스라고도 한다)를 기판의 후방면으로 전달 할 수 있는 내측 및 외측 통로(도시 안 됨)를 포함한다.
챔버(117)의 하부 부분은 챔버를 진공 시스템에 결합시키는 본체 부재(122)를 포함한다. 기판 지지부(118)의 베이스 부분(121)은 본체 부재(122)의 위쪽에 놓이고 그 본체 부재(122)와 함께 연속적인 내측 표면을 형성한다. 기판들은 챔버(117)의 측부에 위치된 삽입/제거 개구부(도시 안 됨)를 통해 로봇 블레이드(도시 안 됨)에 의해 챔버(117)의 내외로 이송된다. 모터(도시 안 됨)의 제어하에서, 승강 핀(도시 안 됨)이 상승되고 이어서 하강되어, 상부 로딩 위치(157)에 있는 로봇 블레이드로부터 기판이 기판 지지부(118)의 기판 수용 부분(119)상에 위치되는 하부 프로세싱 위치(156)로 기판을 이동시킨다. 기판 수용 부분(119)은 기판 프로세싱 중에 기판을 기판 지지부(118)에 고정하는데 이용될 수 있는 정전기 척(120)을 포함한다.
진공 시스템(170)은 트윈-블레이드 스로틀 밸브(126)를 수용하고 게이트 밸브(127) 및 터보-분자 펌프(128)에 부착되는 스로틀 본체(125)를 포함한다. 게이트 밸브(127)는 스로틀 본체(125)로부터 펌프(128)를 격리시킬 수 있으며, 또한 스로틀 밸브(126)가 완전히 개방되었을 때 배출 유동 용량을 제한함으로써 챔버 압력을 제어할 수 있다. 스로틀 밸브, 게이트 밸브, 및 터보-분자 펌프의 구성으로 인해, 챔버 압력을 약 1 mTorr 정도로 낮게 그리고 정확하고 안정적으로 제어할 수 있게 된다.
소오스 플라즈마 시스템(180A)은 상기 돔(114)에 장착된 상부 코일(129) 및 측부 코일(130)에 결합된다. 대칭적인 접지 실드(shield)(도시 안 됨)가 코일들 사이의 전기적 결합(coupling)을 감소시킨다. 상부 코일(129)은 상부 소오스 RF(SRF) 발전기(generator)(131A)에 의해 전력을 공급받는 반면, 측부 코일(130)은 SRF 발전기(131B)에 의해 전력을 공급받음으로써, 각 코일에 대해 독립적인 전력 레벨 및 작동 주파수를 허용한다. 특정 실시예에서, 상부 소오스 RF 발전기(131A)는 공칭적으로(nominally) 2 MHz에서 2,500 와트의 RF 전력을 제공하고, 측부 소오스 RF 발전기(131B)는 공칭적으로 2 MHz에서 5,000 와트의 RF 전력을 제공한다. 플라즈마-발생 효율을 개선하기 위해, 상부 및 측부 RF 발전기의 작동 주파수가 공칭 작동 주파수로부터 오프셋(offset)될 수 있다(예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz).
바이어스 플라즈마 시스템(180B)은 바이어스 RF(BRF) 발전기(131C) 및 바이어스 매칭 네트워크(132C)를 포함한다. 바이어스 플라즈마 시스템(180B)은 기판 부분(113)을 본체 부재(122)에 용량적으로(capacitively) 결합시키며, 이는 우호적인(complimentary) 전극들로서 작용한다. 바이어스 플라즈마 시스템(180B)은 소오스 플라즈마 시스템(180A)에 의해 생성된 플라즈마 종(예를 들어, 이온)을 기판의 표면으로 이송하는 것을 촉진하는 역할을 한다. 특정 실시예에서, 바이어스 RF 발전기는 13.56 MHz에서 5,000 와트의 RF 전력을 제공한다.
RF 발전기(131A 및 131B)는 디지털 방식으로 제어되는 신시사이저(synthesizer)를 포함하고 약 1.8 내지 약 2.1 MHz의 주파수 범위에 걸쳐 작동된다. 각 발전기는, 본원 발명이 속하는 기술분야의 기술자, 소위 당업자가 이해할 수 있는 바와 같이, 가장 낮은 반사 전력을 얻기 위해 챔버 및 코일로부터 다시 발 전기로 반사되는 전력을 측정하고 작동 주파수를 조정하는 RF 제어 회로(도시 안 됨)를 포함한다. 매칭 네트워크(132A 및 132B)는 발전기(131A 및 131B)의 출력 임피던스를 각 코일(129 및 130)과 매칭시킨다. 로드(load) 변화에 따라 발전기를 로드에 매칭시키기 위해, RF 제어 회로는 매칭 네트워크내의 커패시터의 값을 변화시킴으로써 양 매칭 네트워크를 튜닝할 수 있을 것이다. RF 제어 회로는 로드로부터 발전기로 다시 반사된 전력이 특정 한계치를 넘을 때 매칭 네트워크를 튜닝할 것이다. RF 제어 회로를 매칭 네트워크 튜닝으로부터 효과적으로 디스에이블(disable)시키고 또 일정한 매치를 제공하기 위한 하나의 방법은 반사 전력 한계를 예상 반사 전력 값 보다 크게 설정하는 것이다. 이는 매칭 네트워크 상수를 가장 최근의 조건으로 유지함으로써 플라즈마를 어떤 조건들로 안정화시키는 것을 도울 수 있을 것이다.
가스 전달 시스템(133)은 가스 전달 라인(138)(일부만이 도시됨)을 통해 다수의 소오스(134A...134N)로부터 가스를 제공한다. 도시된 특정 예에서, 가스 소오스(134A...134N)는 SiH4, O2, H2 및 NF3 에 대한 독립적인 소오스들 그리고 확대된 세정 프로세스에 대한 하나 이상의 소오스를 포함한다. 소위 당업자가 이해할 수 있는 바와 같이, 소오스(134A...134N)에 대해 이용된 실제 소오스들 그리고 챔버(117)로의 실제 전달 라인(138)의 연결은 챔버(117)내에서 실시되는 증착 및 세정 프로세스에 따라 달라진다. 각 소오스(134A...134N)로부터의 가스 유동은 소위 당업계에 공지된 바와 같이 하나 이상의 유동 제어부(도시 안 됨)에 의해 제어된 다. 가스들은 가스 링(137) 및/또는 상부 가스 전달 장치(145)를 통해 챔버(117)내로 도입된다.
가연성, 독성, 또는 부식성 가스들이 사용되는 실시예에서, 증착 또는 세정 프로세스 후에 가스 전달 라인내에 잔류하는 가스를 제거하는 것이 바람직할 것이다. 이는, 예를 들어 챔버(117)를 전달 라인(138)으로부터 격리시키고 전달 라인(138)을 진공 포어라인(foreline)(144)으로 배기시키기 위한, 밸브(143B)와 같은 3-방향 밸브에 의해 달성될 수 있다. 도 7a에 도시된 바와 같이, 밸브(143A 및 143C)와 같은 기타 유사 밸브가 다른 가스 전달 라인들에 제공될 수 있다. 배기되지 않은 가스 전달 라인(3-방향 밸브와 챔버 사이)의 부피를 최소화하기 위해, 그러한 3-방향 밸브는 실질적으로 챔버(117)에 인접하고 플라즈마 소오스(150)로부터 멀리 배치될 수 있다. 또한, 2-방향 밸브(온-오프 밸브)(도시 안 됨)가 질량 유동 제어부("MFC") 및 챔버 사이에 또는 가스 소오스와 MFC 사이에 위치될 수 있다.
챔버(117)는 또한 상부 가스 분배기(145) 및 상부 벤트(vent)(146)를 구비한다. 상부 가스 분배기(145) 및 상부 벤트(146)는 가스의 상부 및 측부 유동을 독립적으로 제어할 수 있게 허용하며, 이는 필름의 균일성을 개선할 수 있게 하고 또 필름의 증착 및 도핑 파라미터의 미세한 조정을 가능하게 한다. 상부 벤트(146)는 상부 가스 분배기(145) 주변의 환형 개구부이다. 일 실시예에서, 예를 들어 SiH4 의 하나의 소오스가 독립된 MFCs(도시 안 됨)를 통해 소오스 가스 노즐(139) 및 상부 가스 분배기(145)로 공급한다. 유사하게, 독립된 MFCs를 이용하여, 하나의 산 소 소오스로부터 상부 벤트(146) 및 가스 노즐(140)로의 산소 유동을 제어할 수 있을 것이다. 상부 가스 분배기(145) 및 상부 벤트(146)로 공급되는 가스들은 가스가 챔버(117)내로 유동할 때까지 독립적으로 유지되거나, 또는 챔버(117)내로 유동하기 전에 상부 플리넘(148)내에서 혼합될 수 있다. 다른 실시예에서, 동일한 가스의 독립된 소오스들을 이용하여 챔버의 여러 부분에 공급할 수도 있을 것이다.
건식 세정 작업으로 챔버 부품들로부터 증착 잔류물들을 주기적으로 세정하기 위해, 마이크로웨이프 플라즈마 소오스 또는 토로디얼(torodial) 플라즈마 소오스와 같은 원격 플라즈마 세정 시스템(150)이 제공된다. 세정 시스템은 반응기 공동(cavity)(153)내에서 소오스(134A...134N)의 하나 이상의 세정 가스 소오스(예를 들어, 분자 불소, 삼불화 질소, 기타 퍼플루오르화합물(perfluorocompound) 또는 그와 균등물, 또는 기타 아르곤과 같은 다른 가스와 혼합된 균등물)로부터 플라즈마를 생성하는 원격 플라즈마 발생기(151)를 포함한다. 이러한 플라즈마로부터 얻어진 반응 종들은 어플리케이터(applicator) 튜브(155)를 통해 세정 가스 공급 포트(154)를 통과하여 챔버(117)로 이송된다. 세정 플라즈마를 수용하는데 이용되는 물질(예를 들어, 공동(153) 및 어플리케이터 튜브(155))은 플라즈마의 공격에 대해 내성을 가져야 한다. 반응기 공동(154)과 공급 포트(154) 사이의 거리는 실질적으로 가능한 한 짧게 유지되어야 하는데, 이는 바람직한 플라즈마 종들의 농도가 반응기 공동(153)으로부터의 거리가 멀어 질 수록 감소될 것이기 때문이다. 원격 공동내에 세정 플라자마를 생성하는 것은, 인 시츄(in situ) 방식으로 형성되는 플라즈마에서 존재할 수 있는 글로우 방전(glow discharge)의 타격(bombardment), 온도 또는 방사선에 챔버 부품들을 노출시키는 것을 피할 수 있다. 결과적으로, 인 시츄 플라즈마 세정 프로세스에서 요구되는 것과 달리, 정전기 척(120)과 같이 비교적 민감한 부품들을 더미(dummy) 웨이퍼로 덮거나 기타 방식으로 보호할 필요가 없다.
시스템 제어부(160)는 시스템(115)의 작동을 제어한다. 제어부(160)는 예를 들어 프로세서(161)에 연결된 카드 랙(card rack) 및 하드 디스크 드라이브 및/또는 플로피 디스크 드라이브와 같은 메모리(162)를 포함할 수 있다. 카드 랙은 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어부 보드를 포함할 수 있다. 시스템 제어부(160)는 하드 디스크 드라이브에 저장된 컴퓨터 프로그램의 제어에 따라서, 또는 분리형 디스크에 저장된 프로그램과 같은 다른 컴퓨터 프로그램을 통해서 작동된다. 컴퓨터 프로그램은, 예를 들어, 타이밍, 가스 혼합, RF 전력 레벨 및 특정 프로세스의 기타 파라미터들을 지시한다.
본 발명의 일부 실시예에서, 반도체 프로세싱 챔버는 감압 상태에서 운전된다. 예를 들어, HDP-CVD 시스템은 저밀도 플라즈마 시스템 보다 낮은 압력 범위에서 일반적으로 작동된다. HDP-CVD 시스템에 채용되는 낮은 챔버 압력은 긴 평균 자유 이동 경로(mean-free-path) 및 작은 각 분포(angular distribution)를 가지는 활성 종들을 제공한다. 이러한 인자들은, 플라즈마의 밀도와 조합되어, 플라즈마로부터의 상당히 많은 성분들이 좁은 갭의 가장 깊은 부분에 까지 도달하는데 기여하며, 그에 따라 저밀도 플라즈마 CVD 시스템에서 증착된 필름에 비해 개선된 갭- 충진 능력을 가지는 필름을 제공한다. 따라서, 일반적으로, 가스 전달 시스템내의 가스 압력은 프로세싱 챔버내의 가스 압력 보다 높다.
도 3은 가스 분자로 충진된 갭을 가로질러 열을 전도하는 경우의 열 접촉 저항 대 갭 가스 압력의 그래프를 도시한 도면이다. 도 3에 도시된 바와 같이, 갭을 가로질러 열을 전도하는 가스의 능력은 갭내에 존재하는 가스 압력을 함수로 한다. 이러한 차트(chart)는 가스 분자로 충진된 갭을 가로지르는 가스 분자를 통한 열 전도도의 압력 의존도를 정량화한다. 예를 들어, 100 Torr의 높은 압력에서, 노멀라이즈화된(normalized) 열 접촉 저항은 약 0.57이다. 갭내의 가스의 압력이 감소됨에 따라, 열 접촉 저항이 예를 들어 0.1 Torr의 압력에서 2.05의 노멀라이즈화된 값까지 커진다. 갭내의 가스 분자의 밀도가 감소됨에 따라, 분자의 평균 자유 이동 경로가 감소되고, 그에 따라 접촉 저항이 커진다.
HDP-CVD 챔버의 작동 중에, 일반적으로, 챔버내의 플라즈마의 존재로 인해 기판뿐만 아니라 챔버의 부품들도 가열된다. 특히, 챔버 작동중에 가스 전달 배플의 온도는 높은 레벨까지 일반적으로 상승된다. 가스 전달 배플의 온도 증가에 따른 두 가지 부차적 결과는, 챔버내로 입자가 도입될 수 있다는 것과 챔버내의 가스 유동 균일성이 낮아질 수 있다는 것이다. 이러한 효과 모두는 필름 품질에 부정적인 영향을 미친다. 따라서, 본 발명의 일 실시예에서, 챔버 운전 중에 가스 전달 배플의 온도를 낮추는 것이 바람직하다.
도 1에 도시된 가스 전달 배플을 참조하면, 스템(102)의 상부 부분(106)이 O-링(109)을 지지한다. O-링은 가스가 가스 유입구(112)를 통해 전달될 때 가스 전달 시스템과 프로세싱 챔버 사이의 시일을 제공한다. 일부 HDP-CVD 시스템에서, 가스 전달 배플까지 연결된 가스 전달 라인(138)내의 가스 압력은 약 5 Torr 이다. 대조적으로, 프로세싱 챔버내의 압력은 그 보다 상당히 낮다. 물론, 챔버 압력은 용도에 따라 달라지나, 일부 증착 용도에서 챔버 압력은 약 5 mTorr 이다. 도 3을 참조하면, 가스 압력이 5 Torr 로부터 5 mTorr까지 감소됨에 따라, 주어진 갭에 대한 열 접촉 저항은 약 1.35로부터 약 2.07로 커지며, 즉 50% 이상 커진다.
도 1에 도시된 바와 같이, 가스 전달 시스템내에 존재하는 가스는 상승된 피쳐(108) 및 O-링(109)의 상부에서 가스 전달 배플과 접촉한다. 이러한 표면들은 가스 전달 배플로부터 전달 시스템내에 존재하는 가스로 열을 전달하는 제한된 표면적을 제공한다. 따라서, 전달 시스템내에 존재하는 가스는, 비록 압력이 높고 그에 따라 챔버내의 가스 보다 열을 전도할 수 있는 능력이 크지만, 가스 전달 배플을 위한 제한된 냉각 능력을 가진다.
도 4는 본 발명의 일 실시예에 따른 가스 분배기의 개략도이다. 도 4에 도시된 바와 같이, 가스 분배기(400)는 그 분배기의 상부 부분상에 위치하는 가스 유입구(420) 및 상기 분배기의 하부 페이스에 위치하는 가스 배출구(도시 안 됨)를 포함한다. 가스 전달 장치(도시 안 됨)가 프로세스 가스의 소오스에 유체전달 가능하게 연결된다. 분배기는 나선형 나사부(404)를 가지는 스템 부분(402)을 포함한다. 분배기는 나사부(404)를 이용하여 가스 전달 장치에 나사체결된다. 분배기는 또한 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향(deflection) 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페 이스(도시 안 됨)를 구비한다. 가스 통로가 가스 유입구(420)로부터 스템 섹션 및 본체를 통해 본체의 하부 페이스상의 가스 배출구(도시 안 됨)로 연장된다. 측방향 시트(406)가 나선형 나사부(404)와 가스 편향 표면(410) 사이에 배치된다.
본 발명의 일 실시예에서, 측방향 시트(406)는 실링 부재를 유지하도록 구성된다. 특정 실시예에서, 실링 부재는 O-링이다. 도 5는 본 발명의 일 실시예에 따른 가스 분배기(400)를 개략적으로 도시한 단면도이다. 이러한 도면은 가스 유입구(420), 스템 섹션(402), 및 나선형 나사부(404)를 포함하는 가스 분배기를 대안적으로 도시한다. 본체(414)는 가스 편향 표면(410) 및 하부 페이스(416)를 포함한다. 가스 통로(512)는 가스 유입구(420)로부터 가스 배출구(514)까지 연장한다. 도 5에 도시된 바와 같이, 가스 분배기의 하부 페이스에 존재하는 가스 배출구(514)는 다수의 가스 배출 포트(516)를 포함한다. 일 실시예에서, 하부 페이스에 3 개의 가스 배출구 포트(516)가 배치되고, 각 배출구는 다른 두 개의 배출구에 대해 120°의 각을 이룬다. 그러나, 이는 본 발명에 있어서 필수적인 것이 아니다. 대안적인 실시예들이 주어진 용도에 맞춰 상이한 개체수의 배출구 포트 및 상이한 기하학적인 배열을 가질 수 있을 것이다. 도 5에 도시된 바와 같이, 실링 부재(408)는 나선형 나사부(404)와 가스 편향 표면(410) 사이에서 측방향 시트(406)에 의해 지지된다.
도 6은 본 발명의 실시예에 따른 가스 전달 블럭의 개략도이다. 가스 전달 블럭(600)은 가스 전달 오리피스(620) 및 장착 플랜지(630)를 포함한다. 장착 플랜지는 반도체 프로세싱 챔버의 상부 표면에 부착된다. 냉각수는 냉각수 유입 포 트(610)에 의해 가스 전달 블럭으로 제공되고 냉각수 배출 포트(612)를 통해 가스 전달 블럭으로부터 배출된다. 챔버내에서 발생되고 블럭으로 전달된 열은 냉각수에 의해 제거되며, 그에 따라 가스 전달 블럭이 소정 온도에서 유지된다.
도 7은 본 발명의 일 실시예에 따른 삽입 이전의 가스 전달 블럭 및 가스 분배기를 도시한 사시도이다. 가스 분배기(400)는 가스 분배기의 스템 섹션(710)을 가스 전달 블럭의 가스 전달 오리피스(720)내로 삽입함으로써 가스 전달 블럭(600)내로 삽입된다. 나선형 나사부(712)가 가스 전달 블럭내에 있는 메이팅 나사부(도시 안 됨)내로 나사체결된다. 본 발명의 일 실시예에서, 가스 분배기가 챔버 내부에 위치되는 동안에, 장착 플랜지(630)가 프로세싱 챔버의 돔과 접촉한다.
도 8은 본 발명의 일 실시예에 따라 가스 전달 블럭에 결합된 가스 분배기를 개략적으로 도시한 단면도이다. 가스 분배기(400)는 나사부(816)에 의해 가스 전달 블럭(800)에 결합된다. 가스 분배기의 스템 섹션이 가스 전달 오리피스내로 삽입되어 스템 섹션의 외측 표면(810)이 가스 전달 오리피스의 내측 표면(804)에 의해 둘러싸이게 된다. 가스 분배기상에 존재하는 나사부(816)는 가스 전달 블럭에 존재하는 대응 나사부(806)에 결합된다. 도 8에 도시된 바와 같이, O-링(820)은 측방향 시트(406) 및 가스 전달 블럭 사이의 시일을 제공한다. 프로세스 가스가 가스 소오스(826)로부터 가스 유입구(830)로 유동하고, 가스 분배기와 가스 전달 블럭 사이의 갭(812)내로 그리고 가스 분배기의 나사부들과 가스 전달 블럭의 나사부들 사이의 갭(818)을 통과할 수 있다. 갭(812)은 소정(所定) 두께를 갖는다. 본 발명의 실시예에 따라, 갭(812)은 약 20 mils(0.020 인치)이다. 그 대신에, 다 른 실시예에서 두께는 약 10 mils 내지 약 30 mils 이다. 또한, 갭(818)은 소정 두께를 가진다. 다른 실시예에서, 갭(818)은 약 10 mils(0.010 인치)이다. 그 대신에, 다른 실시예에서 두께가 약 5 mils 내지 약 20 mils 이다. 물론, 갭(812 및 818)의 두께는 특정 용도에 따라 달라질 것이다.
특정 실시예에서, 갭(812)은, 스템(810)의 외측 표면과 가스 전달 블럭(804)의 내측 표면 사이의 거리를 감소시키면서도 가스 전달 블럭내로 가스 분배기가 삽입되는 것을 용이하게 할 수 있을 정도로 충분히 큰 갭이 가능하도록, 최소화된다. 일부 실시예에서, 갭내에 존재하는 프로세스 가스에 의한 열 전도를 통해, 감소된 갭 두께는 가스 분배기와 가스 전달 블럭 사이의 열 전달을 촉진한다.
스템 섹션은 소정 길이를 가진다. 본 발명의 일 실시예에 따라, 스템의 길이는 0.500 인치이다. 그 대신에, 다른 실시예에서, 그 길이는 약 0.200 인치 내지 약 2.0 인치가 된다. 물론, 스템의 길이는 특정 용도에 따라 달라질 것이다. 일부 실시예에서, 스템 섹션의 길이는 스템의 표면적을 증대시키도록 선택되며, 결과적으로 스템과 가스 전달 블럭의 내측 표면 사이의 갭내에 존재하는 프로세스 가스와 스템 사이의 열 접촉을 증대시키도록 선택된다.
나선형 나사부(816) 및 가스 편향 표면(840) 사이의 측방향 시트(822)의 위치는 스템 섹션의 외측 표면(810)과 가스 전달 블럭의 내측 표면(804) 사이의 갭(812)을 통해 프로세스 가스가 통과할 수 있게 허용한다. 실링 부재(822), 예를 들어 O-링이 측방향 시트에 의해 지지되는 실시예에서, 프로세스 가스는 실링 부재의 상부를 통과한다. 일부 실시예에서, 프로세스 가스의 압력이 약 5 Torr 이기 때문에, 갭(812)내에 존재하는 가스의 열 전도도는 가스 분배기로부터 가스 전달 블럭으로 열이 전달되는 것을 허용한다. 따라서, 플라즈마 가열 또는 기타 소오스로부터 발생되고 가스 분배기에 의해 흡수된 챔버내의 열이 갭을 통해서 그리고 가스 전달 블럭으로 전도된다.
도 6과 관련하여 전술한 바와 같이, 일 실시예의 가스 전달 블럭이 냉각수 유입 포트 및 냉각수 배출 포트를 포함한다. 냉각수의 이용에 의해 가스 전달 블럭을 소정 온도에서 유지할 수 있다. 따라서, 가스 분배기에 의해 흡수되고 가스 전달 블럭으로 전달되는 열이 최종적으로 냉각수로 전달되어 제거된다. 적절한 물 유입구/배출구 온도 및 유량, 그리고 냉각수의 제공에 대해서는, 반도체 프로세싱 챔버에 대해 선택된 작동 파라미터에 따라, 소위 당업자가 용이하게 이해할 수 있을 것이다.
본 발명에 따른 실시예들이 챔버 돔의 상부 표면에 장착된 가스 분배기를 이용하였지만, 이는 본 발명에서 필수적으로 요구되는 것이 아니다. 다른 기하학적 형상 및/또는 챔버 위치를 가지는 가스 분배기가 본 발명의 다른 실시예에서 이용될 수 있을 것이다. 예를 들어, 도 9는 본 발명의 실시예에 따른 가스 노즐의 개략적인 단면도이다. 가스 노즐들은 다양한 CVD 시스템내로 가스를 도입하는데 이용되며, 도 2에 도시된 바와 같이 HDP-CVD 챔버의 측부에 배치된 가스 전달 노즐(139)을 포함한다.
도 9에 도시된 가스 노즐은 기저부 및 말단부를 포함하며, 상기 기저부는 반도체 프로세싱 챔버의 챔버 벽에 연결되고 상기 말단부는 상기 챔버 벽으로부터 멀 어지는 방향을 따라 내측으로 배향되어 반도체 프로세싱 챔버의 내부로 배향된다. 도 9에 도시된 바와 같이, 가스 노즐의 기저 단부(920)가 가스 공급부와 결합되어 프로세스 가스를 챔버로 제공하도록 구성된다. 또한, 가스 노즐은 기저 단부에 인접한 스템 섹션(930) 및 상기 스템 섹션에 인접한 나선형 나사부 섹션(904)을 포함한다. 가스 분배기와 관련하여 전술한 바와 같이, 가스 노즐의 스템 섹션이 챔버 벽의 함몰 부분에 끼워져서, 스템 섹션의 외측 표면(942)과 챔버 벽내의 함몰부의 내측 표면(944) 사이에 소정 두께의 갭(940)을 형성할 것이다. 프로세스 가스는 챔버 벽에 결합된 가스 분배 시스템으로부터 가스 노즐의 기저 단부(920)로 유동하며, 스템 섹션의 외측 표면과 챔버 벽내의 함몰부의 내측 표면 사이에 갭(940)내로 통과할 수 있을 것이다. 갭(940)은 소정 두께를 가진다. 본 발명에 따른 실시예에서, 갭(940)은 약 20 mils(0.020 인치)이다. 그 대신에, 다른 실시예에서, 두께는 약 10 mils 내지 약 30 mils가 된다. 물론, 갭(940)의 두께는 특정 용도에 따라 달라질 것이다.
가스 통로(922)는 기저단부(920)로부터 말단 단부(924)까지 연장하며, 챔버내로의 가스 유동을 위한 노즐 개구부를 포함한다. 노즐 본체(928)는 말단 단부로부터 소정 거리 만큼 연장하고, 가스 통로(922)의 일부를 포함한다. 일 실시예에서, 노즐 본체의 길이는 약 2.5 인치이다. 그 대신에, 다른 실시예에서, 그 길이는 약 0.5 인치로부터 약 5.0 인치가 된다. 물론, 특정 용도에 따라 그 길이가 달라질 수 있을 것이다.
또한, 가스 노즐은 나선형 나사부 섹션과 노즐 본체 사이에 배치된 측방향 시트(908)를 포함한다. 일 실시예에서, 실링 부재(910)는 반도체 프로세싱 챔버의 챔버 벽과 측방향 시트와 접촉하도록 배치된다. 특정 실시예에서, 실링 부재는 O-링이다. 일부 실시예에서, O-링은 소정의 열적 및 기계적 특성을 가지는 물질로 제조된다. 예를 들어, O-링은 알루미늄 또는 플루오르폴리머 수지를 포함하는 다양한 물질로 제조될 수 있다. 일 실시예에서, O-링의 두께는 약 0.050 인치이다. 그 대신에, 그 두께가 약 0.020 인치 내지 약 0.200 인치가 될 수 있다. 물론, 그 두께는 특정 용도에 따라 달라질 수 있다.
챔버 벽에 결합된 가스 전달 장치를 통해 제공되는 프로세스 가스는 가스 노즐의 기저부으로부터 가스 통로를 통과하고 상기 챔버 벽과 상기 스템 섹션 사이의 갭(940)을 통과할 수 있다. 일 실시예에서, 프로세스 가스들이 약 5 Torr이기 때문에, 프로세스 가스들은 가스 노즐과 챔버 벽 사이의 갭을 가로질러 열 전도 경로를 제공하며, 그에 따라 가스 노즐을 냉각시킨다. 일부 실시예에서, 실링 부재는 갭의 말단 단부에서 프로세스 가스의 유동에 대한 배리어를 형성한다. 실링 부재가 거리(950) 만큼 나사부(904)의 일 단부로부터 분리되는 실시예에서, 추가적인 스템 섹션(932)이 가스 노즐로부터 챔버 벽으로 열을 전달할 수 있는 추가적인 표면적을 제공한다. 이러한 특정 실시예에서, 프로세스 가스들이 스템 섹션(930), 나사부(904), 및 스템 섹션(932)을 둘러싼다. 챔버 벽, 실링 부재(910) 및 측방향 시트(908) 사이의 접촉이 프로세스 가스의 유동에 대한 배리어를 제공하면서, 동시에 갭(940)을 통한 전도에 의해 가스 노즐이 냉각될 수 있게 허용한다.
특정 실시예를 참조하여 본 발명을 설명하였지만, 본 발명의 사상 및 범위내 에서 다양한 변화 및 개량이 가능하다는 것을 소위 당업자는 이해할 것이다. 이러한 균등 실시예 및 대안적인 실시예들은 본 발명의 범위내에 포함될 것이다.

Claims (20)

  1. 반도체 프로세싱 챔버에서 이용하기 위한 가스 분배기로서:
    가스 유입구;
    가스 배출구;
    나선형 나사부를 가지는 스템 섹션;
    상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스를 구비하는 본체;
    상기 나선형 나사부와 상기 가스 편향 표면 사이에 배치된 측방향 시트; 및
    상기 가스 유입구로부터 상기 스템 섹션 및 본체를 통해 상기 가스 배출구까지 연장하는 가스 통로를 포함하는
    가스 분배기.
  2. 제 1 항에 있어서,
    상기 스템 섹션의 길이가 0.2 내지 2.0 인치인
    가스 분배기.
  3. 제 1 항에 있어서,
    상기 측방향 시트가 실링 부재를 유지하도록 구성되는
    가스 분배기.
  4. 제 3 항에 있어서,
    상기 실링 부재가 O-링인
    가스 분배기.
  5. 제 4 항에 있어서,
    상기 O-링이 알루미늄 및 플루오르폴리머 수지로 이루어진 그룹에서 선택된 물질로 제조되는
    가스 분배기.
  6. 제 1 항에 있어서,
    상기 가스 분배기가 알루미늄인
    가스 분배기.
  7. 기판 프로세싱 시스템으로서:
    센터링된 원형 개구부를 포함하는 내측 상부 표면을 가지는 프로세싱 챔버;
    상기 프로세싱 챔버내에 배치된 기판 지지 부재;
    상기 챔버의 상부 표면내의 원형 개구부를 통해서 상기 기판 지지 부재를 향해 연장하는 가스 분배기로서, 상기 가스 분배기는 가스 유입구, 가스 배출구, 나선형 나사부를 가지는 스템 섹션, 상기 스템 섹션으로부터 방사상 외측으로 연장하는 가스 편향 표면 및 상기 가스 편향 표면으로부터 상기 본체의 대향 측면상에 배치된 하부 페이스를 가지는 본체, 상기 나선형 나사부와 가스 편향 표면 사이에 배치된 측방향 시트, 그리고 상기 가스 유입구로부터 상기 스템 섹션 및 본체를 통해 상기 가스 배출구까지 연장하는 가스 통로를 포함하는, 가스 분배기; 및
    상기 가스 분배기를 둘러싸는 가스 출구를 포함하는
    기판 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 챔버의 상부 표면의 센터링된 원형 개구부 위쪽에 놓이는 가스 전달 블럭을 더 포함하며,
    상기 가스 전달 블럭은 상기 가스 분배기의 스템 섹션의 나선형 나사부에 나사식으로 결합되는 나선형 나사부를 포함하는
    기판 프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 가스 전달 블럭이 상기 가스 분배기의 가스 유입구와 유체 연통하는 제 1 가스 통로 및 상기 가스 분배기를 둘러싸는 가스 배출구와 유체 연통하는 제 2 가스 통로를 더 포함하는
    기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 가스 전달 블럭이 냉각수의 소오스에 결합되는
    기판 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 가스 분배기의 가스 유입구와 유체 연통하는 가스 통로내에는 제 1 압력이 존재하고, 상기 프로세싱 챔버의 내측 부분에는 제 2 압력이 존재하는
    기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 제 1 압력이 상기 제 2 압력 보다 큰
    기판 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 제 1 압력이 약 5 Torr 이고, 상기 제 2 압력이 약 5 mTorr인
    기판 프로세싱 시스템.
  14. 제 7 항에 있어서,
    상기 프로세싱 챔버가 고밀도 플라즈마 챔버인
    기판 프로세싱 시스템.
  15. 제 7 항에 있어서,
    상기 측방향 시트가 실링 부재를 유지하도록 구성되는
    기판 프로세싱 시스템.
  16. 제 15 항에 있어서,
    상기 실링 부재가 O-링인
    기판 프로세싱 시스템.
  17. 제 16 항에 있어서,
    상기 O-링이 알루미늄 및 플루오르폴리머 수지로 이루어진 그룹으로부터 선택된 물질로 제조되는
    기판 프로세싱 시스템.
  18. 반도체 프로세싱 챔버에서 이용하기 위한 가스 노즐로서:
    기저부 및 말단부로서, 상기 기저부는 반도체 프로세싱 챔버의 챔버 벽에 연결되고, 상기 말단부는 반도체 프로세싱 챔버의 내부로 상기 챔버 벽으로부터 멀어지는 방향을 따라 내측으로 배향되는, 기저부 및 말단부;
    가스 공급부와 결합되도록 구성된 기저 단부;
    상기 기저 단부에 인접한 나선형 나사부 섹션;
    노즐 개구부를 포함하는 말단 단부;
    상기 말단 단부로부터 제 1 거리 만큼 연장하는 노즐 본체;
    상기 기저 단부로부터 상기 말단 단부로 연장하는 가스 통로; 및
    상기 나선형 나사부 섹션과 상기 노즐 본체 사이에 배치된 측방향 시트를 포함하는
    반도체 프로세싱 챔버에서 이용하기 위한 가스 노즐.
  19. 제 18 항에 있어서,
    실링 부재가 상기 반도체 프로세싱 챔버의 챔버 벽 및 상기 측방향 시트와 접촉하도록 배치되는
    반도체 프로세싱 챔버에서 이용하기 위한 가스 노즐.
  20. 제 18 항에 있어서,
    상기 실링 부재가 O-링인
    반도체 프로세싱 챔버에서 이용하기 위한 가스 노즐.
KR1020077015058A 2004-12-17 2005-12-12 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치 KR100954257B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/016,166 US7510624B2 (en) 2004-12-17 2004-12-17 Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US11/016,166 2004-12-17

Publications (2)

Publication Number Publication Date
KR20070086852A true KR20070086852A (ko) 2007-08-27
KR100954257B1 KR100954257B1 (ko) 2010-04-23

Family

ID=36588439

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077015058A KR100954257B1 (ko) 2004-12-17 2005-12-12 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치

Country Status (6)

Country Link
US (1) US7510624B2 (ko)
JP (1) JP4964142B2 (ko)
KR (1) KR100954257B1 (ko)
CN (1) CN101133186B (ko)
TW (1) TWI333238B (ko)
WO (1) WO2006065740A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101139821B1 (ko) * 2009-09-30 2012-04-30 주식회사 뉴파워 프라즈마 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR100974566B1 (ko) * 2008-08-08 2010-08-06 한국생산기술연구원 상압 플라즈마 장치
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
JP5841382B2 (ja) * 2011-09-06 2016-01-13 株式会社ダイヘン ワーク搬送装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
KR102152858B1 (ko) 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
TWI593473B (zh) * 2015-10-28 2017-08-01 漢辰科技股份有限公司 清潔靜電吸盤的方法
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10943769B2 (en) * 2018-07-19 2021-03-09 Lam Research Corporation Gas distributor and flow verifier
JP7152970B2 (ja) * 2019-03-01 2022-10-13 株式会社ニューフレアテクノロジー 気相成長装置
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
CN115989337A (zh) * 2021-03-19 2023-04-18 朗姆研究公司 用于处理腔室的远程等离子体清洁的喷嘴

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
JPH0286831A (ja) * 1989-07-07 1990-03-27 Hitachi Ltd 液体処理装置
US5188672A (en) 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
DE19516354A1 (de) * 1995-05-04 1996-11-07 Heidelberger Druckmasch Ag Verfahren zur Bildinspektion und Farbführung an Druckprodukten einer Druckmaschine
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP2000173935A (ja) * 1998-12-09 2000-06-23 Hitachi Ltd プラズマ処理装置、及びガス供給方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
AU2001283944A1 (en) 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
JP2003100717A (ja) 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
DE10153463A1 (de) 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20060048707A1 (en) * 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
JP2007305890A (ja) 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) * 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101139821B1 (ko) * 2009-09-30 2012-04-30 주식회사 뉴파워 프라즈마 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기

Also Published As

Publication number Publication date
JP2008524852A (ja) 2008-07-10
US20060130756A1 (en) 2006-06-22
CN101133186B (zh) 2012-07-18
WO2006065740A3 (en) 2007-03-22
TWI333238B (en) 2010-11-11
KR100954257B1 (ko) 2010-04-23
CN101133186A (zh) 2008-02-27
TW200629399A (en) 2006-08-16
WO2006065740A2 (en) 2006-06-22
JP4964142B2 (ja) 2012-06-27
US7510624B2 (en) 2009-03-31

Similar Documents

Publication Publication Date Title
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
US7498268B2 (en) Gas delivery system for semiconductor processing
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
US7159597B2 (en) Multistep remote plasma clean process
JP4801250B2 (ja) 堆積チャンバ内の基板上に膜を堆積する方法
US7354501B2 (en) Upper chamber for high density plasma CVD
US20080121177A1 (en) Dual top gas feed through distributor for high density plasma chamber
US7074298B2 (en) High density plasma CVD chamber
KR100639849B1 (ko) Cvd 프로세싱 챔버에 대한 가스 분배 시스템
US6077357A (en) Orientless wafer processing on an electrostatic chuck
US6083344A (en) Multi-zone RF inductively coupled source configuration
US6109206A (en) Remote plasma source for chamber cleaning
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
US7651587B2 (en) Two-piece dome with separate RF coils for inductively coupled plasma reactors
KR20080048430A (ko) 반도체 처리 챔버용 가스 배플 및 분배기
US20040231798A1 (en) Gas delivery system for semiconductor processing
KR101216360B1 (ko) 유도성으로 결합된 플라즈마 반응기들의 열적 관리
US20220165567A1 (en) Systems and methods for deposition residue control
US20230390811A1 (en) Throttle valve and foreline cleaning using a microwave source
JP3111544U (ja) 半導体処理の為のガス送出システム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee