KR101216360B1 - 유도성으로 결합된 플라즈마 반응기들의 열적 관리 - Google Patents

유도성으로 결합된 플라즈마 반응기들의 열적 관리 Download PDF

Info

Publication number
KR101216360B1
KR101216360B1 KR1020087005686A KR20087005686A KR101216360B1 KR 101216360 B1 KR101216360 B1 KR 101216360B1 KR 1020087005686 A KR1020087005686 A KR 1020087005686A KR 20087005686 A KR20087005686 A KR 20087005686A KR 101216360 B1 KR101216360 B1 KR 101216360B1
Authority
KR
South Korea
Prior art keywords
coil
fingers
frame
processing chamber
dome
Prior art date
Application number
KR1020087005686A
Other languages
English (en)
Other versions
KR20080033529A (ko
Inventor
시킹 루
키웨이 리앙
이레네 초우
스티븐 에이치. 김
영 에스. 이
엘리 와이. 이
무하마드 엠. 라쉬드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080033529A publication Critical patent/KR20080033529A/ko
Application granted granted Critical
Publication of KR101216360B1 publication Critical patent/KR101216360B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

RF 코일 어셈블리는 처리 챔버에 플라즈마를 유도성으로 생성하기 위한 소스를 제공한다. RF 코일 어셈블리는 처리 챔버의 둘레 주위에 배치된 RF 코일, 및 처리 챔버의 둘레 주위에 배치된 프레임을 포함한다. 프레임은 RF 코일을 제자리에 지지하도록 적응된다. 계면 재료는 프레임 및 처리 챔버의 측벽 사이에 배치되어 이들과 열적으로 접촉된다. 계면 재료는 4.0 W/mK 이상의 열 전도도를 갖는다.

Description

유도성으로 결합된 플라즈마 반응기들의 열적 관리{THERMAL MANAGEMENT OF INDUCTIVELY COUPLED PLASMA REACTORS}
화학 기상 증착("CVD")은 기판 상에 목표된 재료들의 얇은 층들 또는 막들을 형성하기 위해 반도체 산업에서 사용되는 가스-반응 프로세스이다. 고밀도 플라즈마 CVD("HDP-CVD") 프로세스들은 막 증착을 향상시키기 위해 RF 생성된 플라즈마의 사용을 통해 물리적 이온 생성과 함께 반응성 화학 가스를 사용한다. 특히, HDP-CVD 시스템들은 유도성 결합(inductive coupling) 기술을 이용함으로써, 표준 용량성(capacitively) 결합 플라즈마 CVD 시스템의 밀도보다 적어도 약 100배 더 큰 플라즈마를 형성한다. 또한, HDP-CVD 시스템들은 일반적으로 저밀도 플라즈마 시스템들보다 더 낮은 압력 범위들에서 동작한다. HDP-CVD 시스템들에 사용되는 낮은 챔버 압력은 긴 평균-자유-경로(mean-free-path) 및 감소된 각도 분포(angular distribution)를 가진 반응성 종들(active species)을 제공한다. 이러한 팩터들은 보다 높은 플라즈마 밀도와 결합하여 반도체 처리의 특정 타입에 대해 장점들을 갖는 처리 환경을 제공한다.
예를 들어, HDP-CVD 기술들은 개선된 갭필(gapfill) 성능들을 제공하는 것으로 알려져 있고, 기판들 상의 상호접속부들 및 회로 엘리먼트들을 분리하는 갭들은 전기적 절연성 재료로 충전되어, 엘리먼트들 사이의 스퓨리어스 상호반응들(spurious interactions)의 도입을 방지한다. HDP-CVD 기술들의 그러한 갭필 성능을 개선하기 위한 한 가지 이유는 고밀도의 플라즈마가 상승된 표면들(raised surfaces)의 코너들과 같은 특정 피쳐들 상에서 증착을 늦추면서 막 증착과 동시에 스퍼터링(sputtering)을 촉진시키기 때문이다. 몇몇 HDP-CVD 시스템들은 스퍼터링 효과를 보다 촉진시키기 위해 비반응성 가스들의 유동들을 플라즈마로 도입하고, 몇몇 프로세스들은 기판을 향해 플라즈마를 바이어싱하는 전기장을 생성하기 위해 기판 지지 페디스털(pedestal) 내의 전극을 이용한다.
최근에, 비반응성 가스의 소스로서 H2를 사용하는 많은 프로세스들이 개발되었고, 상기 프로세스들은 일반적으로 플라즈마를 생성하기 위한 높은 RF 전력들 및 상대적으로 긴 증착 시간들을 사용한다. 이러한 프로세스들은 충전 갭들에서 매우 성공적이었지만, 처리 챔버의 부분들을 고전력 플라즈마로부터의 방사 및 보다 연장된 주기의 이온 충돌에 노출시킨다. 이러한 노출의 영향은 특히 멀티-웨이퍼 프로세스들 동안, 챔버 돔(dome), 배플(baffle), 가스 노즐들, 및 프로세스 키트와 같은 세라믹 컴포넌트들에 의해 많은 양의 열이 흡수되게 하는 것이다. 이러한 고온은 이러한 컴포넌트들의 파손율을 증가시킬 뿐만 아니라, 균일도 범위 편차에 악영향을 줄 수도 있고, 이러한 지점들에서 반응 부산물들의 형성을 통해 노즐 클로깅(clogging)의 발생을 증가시킬 수 있다.
따라서, 유도성으로 결합된 플라즈마 반응기들의 개선된 열적 관리에 대한 일반적 요구가 당업계에 존재한다.
본 발명의 실시예들은 처리 챔버에서 유도성으로 플라즈마를 생성하도록 소스를 제공하기 위한 RF 코일 어셈블리이다. RF 코일 어셈블리는 처리 챔버의 둘레 주변에 배치된 RF 코일, 및 처리 챔버의 둘레 주변에 배치된 프레임을 포함한다. 프레임은 제자리에 RF 코일을 지지하도록 적응된다. 계면(interface) 재료는 처리 챔버의 측벽과 프레임 사이에서 이들과 열적 접촉되도록 배치된다. 계면 재료는 3.0 W/mK 이상의 열 전도도를 갖는다.
상이한 실시예들에서, 계면 재료의 열 전도도는 4.0 W/mK 이상, 5.0 W/mK 이상, 6.0 W/mK 이상, 또는 실질적으로 3.0 내지 6.0 W/mK일 수 있다. 몇몇 예들에서, 냉각제 관은 RF 코일과 열적 접촉되도록 배치되어 액체 냉각제의 유동을 운반한다.
처리 챔버는 처리 챔버 내의 플라즈마 처리 영역의 상위 경계를 규정하는 돔을 포함할 수 있다. RF 코일 및 프레임은 돔 주변에 배치된다. 그러한 몇몇 실시예들에서, RF 코일은 돔 둘레에서 환형(annulus)을 규정하는 평탄한(planar) 단면을 갖고, 프레임은 돔으로부터 외향하는 방향으로 연장되는 다수의 핑거(finger)들을 갖는다. 핑거들은 다수의 핑거들에 의한 환형의 커버리지의 상대 면적이 15% 내지 40%가 되도록 환형의 일부분을 커버한다. 일 실시예에서, 다수의 핑거들에 의한 환형의 커버리지의 상대 면적은 20% 내지 30%이다. 다수의 핑거들은 다수의 핑거들의 쌍들을 포함할 수 있고, 각각의 핑거들의 쌍은 RF 코일의 실질적으로 대향 측면들 상에 배치되며, 실질적으로 환형의 동일 부분을 커버한다. 환형은 실질적으로 원형의 환형일 수 있다. 다수의 실시예들에서, 프레임은 계면 재료와 열적 접촉하는 면적을 규정하는 높이를 갖고, 그 높이는 평탄한 단면의 평면에 직교하는 핑거들과 RF 코일의 전체 폭보다 더 크다. 그러한 몇몇 실시예들에서, 상기 높이는 적어도 10%만큼 RF 코일과 핑거들의 전체 폭보다 더 큰 반면에, 다른 실시예들에서, 상기 높이는 적어도 20%만큼 RF 코일과 핑거들의 전체 폭보다 더 크다.
RF 코일 어셈블리는 하우징, 고밀도 플라즈마 생성 시스템, 기판 홀더, 가스-운반 시스템, 압력-제어 시스템, 및 제어기를 포함하는 기판 처리 시스템의 부분을 형성할 수 있다. 하우징은 처리 챔버를 규정한다. 고밀도 플라즈마 생성 시스템은 처리 챔버에 동작가능하게 결합되고, RF 코일 어셈블리를 포함한다. 기판 홀더는 기판 처리 동안 기판을 홀딩하도록 구성된다. 가스-운반 시스템은 가스들을 처리 챔버로 유입하도록 구성된다. 압력-제어 시스템은 선택된 압력을 처리 챔버내에서 유지한다. 제어기는 고밀도 플라즈마 생성 시스템, 가스-운반 시스템, 및 압력-제어 시스템을 제어한다.
본 발명의 특성 및 장점들의 추가적인 이해는 명세서 및 도면들의 나머지 부분들을 참조하여 달성될 수 있다.
본 특허의 출원은 컬러로 수행된 적어도 하나의 도면들을 포함한다. 컬러 도면들을 갖는 본 특허의 복사본은 필요한 금액을 지불하고 요청시 특허 및 상표청에 의해 제공될 것이다.
도 1A는 종래의 RF 측면 코일 및 히터 어셈블리의 확대도를 제공한다.
도 1B는 도 1A에 도시된 종래의 RF 측면 코일 및 히터 어셈블리의 조립도를 제공한다.
도 1C는 도 1A에 도시된 종래의 RF 측면 코일 및 히터 어셈블리의 평면도를 제공한다.
도 1D는 도 1A에 도시된 종래의 RF 측면 코일 및 히터 어셈블리에서 히터의 전기 커넥션들의 상세도를 제공한다.
도 1E는 도 1C에서 식별되는 섹션들에서 종래의 RF 측면 코일 및 히터 어셈블리의 단면도들을 제공한다.
도 2A는 본 발명의 실시예들을 논의하는데 사용되는 전기적 열-전달 모델의 개념도이다.
도 2B는 본 발명의 실시예들을 논의하는데 사용되는 측면-코일 어셈블리의 일부분의 개념도이다.
도 3은 종래의 RF 측면 코일의 일부분의 열적 모델의 시뮬레이션 결과들을 제공한다(컬러).
도 4는 본 발명의 특정한 특징들의 영향을 예시하기 위해 열적 모델의 시뮬레이션 결과들을 제공한다(컬러).
도 5A는 본 발명의 실시예들에 따른 RF 측면 코일이 사용될 수 있는 고밀도 플라즈마 화학 기상 증착 시스템의 일 실시예의 개략도이다.
도 5B는 도 5A의 예시적인 처리 시스템과 연계하여 사용될 수 있는 가스 링의 개략적인 단면도이다.
본 발명의 실시예들은 특히 유도성으로 결합된 플라즈마 반응기들에 사용되는 측면 코일들의 열적 특성들을 제어함으로써, 유도성으로 결합된 플라즈마 반응기들의 개선된 열적 관리를 제공한다. 도 1A 및 도 1B는 종래의 RF 코일 및 히터 어셈블리(100)의 확대도 및 조립도를 각각 도시한다. 상기 어셈블리는 프레임(106)에 의해 동심적으로 정렬 및 결합된 RF 코일(104) 및 히터(102)를 구비한다. 히터(102), RF 코일(104), 및 프레임(106)은 상호접속부(120) 및 어셈블리(130)에 의해 또한 결합될 수도 있다.
도 1C는 히터(102) 및 RF 코일(104)의 동심적인 그리고 동일평면 상의 정렬을 도시하는, 종래의 RF 코일 및 히터 어셈블리(100)의 평면도를 나타낸다. RF 코일(104)은 프레임(106)에 의해 히터(102)에 대해 제자리에 유지될 수 있고, 상기 프레임(106)은 외부로 연장되어 RF 코일(104)의 권선부들을 지지하는 핑거들(108)을 갖는다. 예시적인 유도성으로 결합된 플라즈마 시스템의 설명과 연계하여 이하에서 추가로 기술되는 것처럼, 어셈블리(100)는 돔 측벽의 외부 주위에 위치될 수 있다. 돔 측벽들은 플라즈마 챔버의 플라즈마 생성 공간을 규정하는 것을 돕는다. 이러한 구성에서, 히터(102)는 RF 코일(104)보다 돔 측벽에 더 근접하게 위치된다. 또한, 히터(102)는 RF 코일(104)이 플라즈마 챔버를 냉각하기 위해 냉각 유체를 순환시킬 때 돔 측벽과 함께 냉각된다.
어셈블리(130)의 상세도는 도 1D에 도시되고, AC 전력원(미도시)에 접속되기 위해 도관들(122A, 122B)이 제공된다. 도시된 실시예에서, 히터(102)는 도관(122A)에 근접하게 위치된 제 1 쌍(102) 및 도관(122B)으로부터 나오는 제 2 쌍(102B)을 갖는, 배선들의 2개의 쌍들을 포함한다. 전력-공급 입력(미도시)은 세라믹 돔의 측벽의 온도를 조절하기 위해, 폐루프 온도 제어기를 통해 제 1 및 제 2 쌍(102A, 102B)에 접속된다. 도관들(122A, 122B)은 개구(126)에 수용된 패스너에 의해 어셈블리(100)의 나머지에 고정될 수 있는 전기 브라킷(bracket)(124)에 의해 제자리에 유지될 수 있다.
종래의 코일 어셈블리의 구조는 도 1E에 도시된 단면도들을 통해 추가로 도시된다. 각각의 이러한 단면도들은 히터(102) 및 RF 코일(104)에 대한 돔 측벽(110)의 위치를 도시한다. 도면의 상위에 있는 섹션 A-A는 도 1C의 라인 A에서의 어셈블리(100)의 1 회전(turn)의 단면도를 도시한다. 섹션 B-B는 핑거(108)가 프레임으로부터 연장되고 RF 코일(104)에 접촉되는 도 1C의 라인 B에서의 어셈블리(100)의 단면도를 도시한다. 섹션 C-C는 도 1C의 라인 C에서의 어셈블리(100)의 다른 단면도를 도시하고, 프레임(106)은 섹션 A-A에 도시된 프레임(106)의 상응하는 부분보다 더 얇다. 각각의 단면도들에서 알 수 있는 것처럼, 계면 재료(115)는 돔 측벽(110)과 프레임(106) 사이에 배치되어 약간의 열 손실을 제공할 수 있다. 코일(104)을 통해 냉각제 관이 제공되고, 히터(102)와 냉각제 관은 RF 코일과 통합된다. 이러한 방식으로, 돔은 히터(102)에 의해 가열될 수 있고 냉각제를 냉각제 관을 통해 유동시킴으로써 냉각될 수 있다.
도 1A-1E의 종래의 측면 RF 코일 및 히터 어셈블리는 일반적으로 특정 처리 조건들 하에서 챔버 내의 플라즈마 처리를 수행하기 위해 적합하게 설계되어 있는 반면에, 높은 RF 전력들과 비교적 긴 처리 시간을 사용하는 처리 조건들하에서 그 설계의 한계들은 명백하다. 특히, 측면 RF 전력이 7.5kW를 초과하는 처리 조건들하에서, 종래의 설계에 의한 열적 관리는 부적절할 수 있다. 이는 측면 RF 전력이 9.0kW 이상인 처리 조건들하에서 훨씬 더 사실이다. 12.0kW 보다 더 큰 측면 RF 전력을 요구하는 프로세스들이 특정 어려운 증착 조건들을 수용하기 위해 곧 요구될 수 있다고 예상된다. 또한, 이러한 크기의 전력 레벨들이 200s 초과, 300s 초과, 400s 초과, 또는 심지어 500s 초과의 시간 주기들 동안 유지되어야 하는 특정 프로세스들이 개발되고 있고, 이 경우 종래의 측면 RF 코일 설계의 열적 특성들 때문에 증착들이 적어도 부분적으로 조기에 실패할 수 있다.
본 발명자들이 증가된 시간 주기들 동안 증가된 RF 전력들을 수용하도록 측면 RF 코일 설계를 변경하는 작업에 처음 직면했을 때, 어떤 타입의 변경들이 목표된 열적 관리를 달성할지가 명확하지 않았다. 도 1A-1E로부터 알 수 있는 것처럼, 종래의 측면 RF 코일 및 히터의 구조물은 그 구조물 주위에서 원주방향으로 가변하는 구조를 갖는 몇 가지 상이한 컴포넌트들을 포함한다. 상기 구조물의 열적 특성들은 개별 컴포넌트들의 열적 특성들의 복합 상호작용을 나타낸다. 예를 들어, 종래의 설계에서, 코일 및 수관(water tube)을 열적으로 결합시키기 위한 핑거들의 사용은 가열, 냉각, 및 코일 강성도의 경합하는 설계 기준들 간의 설계 절충안을 반영한다. 다양한 파라미터들의 의존도를 해체(decoupling)하여 어떤 타입의 변경들이 목표된 방식으로 열적 특성들을 변경하는데 사용될 수 있는지를 시스템적으로 평가하기 위한 한 가지 방법으로서, 본 발명자들은 열적 특성들의 전기적 모델을 개발했다.
이러한 모델은 온도와 유사한(analogous) 전압을 가지는 도 2A에 개념적으로 도시된다. 전기적 모델의 하나의 종료점에서, 전압 V1은 돔 온도에 대응하고, 전기적 모델의 나머지 종료점에서, 전압 V2는 코일 외부에 가해지는 75℃의 온도 제약에 대응한다. 그러한 전기적 모델에서 전류 I는 열 유량(heat flux)에 대응하고 대략적으로 일정할 수 있다. 배열의 몇 가지 컴포넌트들 각각에 의한 열 손실은 전기적 모델의 저항성 엘리먼트에 대응하고, 도 2A에 도시된 모델은 챔버에서의 열 손실 Rchamber, 돔 측벽과 프레임 사이에 배치된 계면 재료를 통한 열 손실 Rinterface, 프레임을 통한 열 손실 Rframe, 프레임으로부터 연장되고 코일 주위에 배치된 핑거들을 통한 열 손실 Rfinger, 및 코일 자체를 통한 열 손실 Rcoil에 따라, 열적 모델을 변경하기 위한 잠재적 원인들을 명시적으로 나타낸다. 이러한 상이한 컴포넌트들을 해체함에 있어서, 본 발명자들에 의해 사용된 모델은 개별 컴포넌트들 중 하나의 열적 특성들이 변경될 때 측면-코일 배열의 열적 특성들에 대한 전체적인 영향을 연구한다. 이는 전체 구조물 내에서의 해당 컴포넌트의 영향이 보다 잘 이해되도록 하고, 이에 따라 영향들의 조합들이 이해될 수 있도록 한다. 기본적으로, 모델링의 목적은 온도를 감소시키기 위해 저항들 R을 감소시키는 효과적인 방법들을 확인하는 것이다.
본 발명의 실시예들은 상기 구조물의 열적 특성 및 다른 물리적 특성들을 변경함으로써 종래의 설계의 변경을 제공하고 그것이 사용되는 방법을 제공한다. 이에 따라, 도 2B는 측면 코일 배열의 일 부분의 구조물의 개념도를 제공하고, 이하의 본 발명의 실시예들을 논의하는데 사용되는 특정 엘리먼트들 및 치수(dimension)들을 확인해준다. 프레임(216)은 돔의 측벽(208)에서 돔(204)과 열적 접촉되게 제공되고, 삽입된 계면 재료(212)를 갖는다. 프레임은 높이 hframe을 갖고, 원주방향으로 측벽에 접촉된다. 히터 엘리먼트들은 '220'으로 표기되고, 코일은 '228'로 표기된다. 코일(228)은 외부 직경(ODcoil) 및 내부 직경(IDcoil)을 갖고, 화살표들(232)로 확인될 수 있는 것처럼 냉각제가 통과하여 유동될 수 있는 내부 냉각제 관을 갖도록 구성된다. 프레임(216)은, 돔(204) 주변에서 원주방향으로 이격되고 코일(228)과 열적으로 접촉되는, 다수의 핑거들(224)을 포함한다. 특정 핑거는 폭 wfinger 및 두께 tfinger를 갖고, 이는 이하에서 추가로 기술되는 것처럼 모든 핑거들에 대해 실질적으로 균일할 수 있거나, 상이한 핑거들에 대해 변화될 수 있다.
열적 특성들의 개별적인 변경들의 영향들을 평가함에 있어서, 본 발명자들은 또한 열 전달 계수들을 계산하기 위한 표준 Tubular Exchange Manufacturers Association("TEMA") 방법을 기반으로 하는 열적 모델을 사용하였다. RF 코일의 2개의 루프들은 대략적으로 대칭적이고 각 루프의 구조가 반복적 패턴을 갖기 때문에, 단일 코일 구조의 섹션만이 모델링되었다. 상기 모델들은 상이한 타입의 냉각제들을 고려하였고, 그 중 하나는 100% 물이었고, 다른 하나는 50% 물/50% 글리콜 혼합물이었다. 또한, 상기 모델들은 물/글리콜 혼합물에 대해 상이한 유속들을 고려하였다. 이러한 상이한 냉각제들과 유속들은 코일을 통한 냉각제 유동을 모델링하는데 적용될 열-전달 계수들에 영향을 준다. 열-전달 계수들은 온도 데이터와 오염 인자(fouling factor)를 테스트함으로써 미세-조정되고, 일부 모델들에 사용되었던 값들은 표 1에 요약된다.
표 1 : 냉각제 열-전달 계수들
Figure 112008016886911-pct00001
도 3은 도 1A-1E와 연계하여 기술된 종래의 측면 RF 코일 어셈블리의 일부분의 기하학적 구조를 이용하여 그러한 시뮬레이션을 수행한 결과들을 나타낸다. 9kW 전력을 최상위 코일에 제공함과 더불어, 9kW RF 전력을 측면 코일에 제공하고 6kW 바이어스 전력을 제공함으로써 형성되는 플라즈마로부터 열을 발생시키는 처리 조건들 하에서, 도 5A 및 5B와 연계하여 이하에서 기술되는 것처럼 유도성 플라즈마 챔버를 통해 동작되는 측면 RF 코일 어셈블리에 대해 시뮬레이션들이 수행되었다. 냉각제 관은 50℃에서 50% 물/50% 글리콜의 1.8gpm 유동을 갖는 것으로서 모델링되었다. 측벽과 프레임 사이에 배치된 계면 재료는 약 k=1.5W/mK의 열 전도도 계수를 가졌고, Dow Corning?으로부터 상업적으로 이용가능한 상표명 HeatPath™하에서 판매되는 열-패드 재료로서 모델링되었다. 도 3의 (a) 부분은 시뮬레이션에 의해 결정된 등온 표면들을 나타내고, 도 3의 (b) 부분은 결과적인 온도 결정의 윤곽선(contour) 도시를 제공한다.
이러한 결과들은 특정한 변경들이 이루어질 때 코일의 평균 돔 온도 변화들의 비교를 위한 기준선으로서 사용된다. 이러한 비교들은 도 4를 참조로 이루어지고, 도 4의 패널 (a)는 기준선 결과들로서 도 3의 패널 (b)에 해당한다.
도 4의 (b) 부분은 측벽(208)과 프레임(216) 사이에 배치된 계면 재료(212)의 열 전도도 계수를 k=4.0W/mK로 증가시킨 결과를 도시한다. 열 전도도의 그러한 증가는 돔 측벽과 프레임 사이의 열적 계면의 개선을 가져오고, 약 22℃만큼 평균 돔 온도 감소를 가져온다. 그러므로, 본 발명의 상이한 실시예들은 3.0 W/mK 이상, 4.0 W/mK 이상, 5.0 W/mK 이상, 또는 6.0 W/mK 이상의 열 전도도를 갖는 계면 재료를 제공하고, 그러한 값들은 종종 3.0~6.0 W/mK의 범위 또는 5.0~8.0 W/mK의 범위 내의 열 전도도를 갖는 재료들의 사용에 의해 달성된다. 하나의 특정 실시예에서 목표된 특성들을 가진 계면 재료를 제공하는데 사용될 수 있는 적절한 재료는 Thermagon, Inc.로부터 이용가능한 가요성 흑연 재료 T-gon™이다. 그러한 재료는 5 W/mK의 공칭 열 전도도를 갖고, 상기 재료를 특징짓는 다른 물리적 파라미터들은2.20 g/cm3의 밀도, 85 Shore A의 강도, 11×10-4Ω㎝의 부피 저항, 및 650 psi의 인장 세기를 포함한다.
도 4의 (c) 부분은 본 예에서, 프레임(216)과 계면 재료(212) 사이 및 계면 재료(212)와 돔 측벽(208) 사이의 접촉 면적을 증가시킴으로써, 프레임(216)과 돔 측벽(208) 사이의 열적 접촉을 증가시킨 결과를 나타낸다. 종래의 설계에서, 접촉 면적은, (1) 측면 코일 어셈블리가 장착되는 돔(204)의 원주 또는 둘레와, (2) 프레임의 높이 hframe의 곱과 동일하고, 여기서 프레임의 높이 hframe는 차례로 코일의 외부 직경 ODcoil과 2개의 핑거들의 두께 2tfinger의 합과 동일하다. 본 발명의 실시예들은 ODcoil + 2tfinger 보다 더 큰 프레임 높이 hframe을 가짐으로써, 접촉 면적 및 이에 따른 열적 접촉을 증가시킨다. 몇몇 실시예들에서, 프레임 높이 hframe은 10~25% 범위 내에 있는 만큼 더 많이 또는 20~30% 범위 내에 있는 만큼 더 많이와 같이, 10%보다 더 많이 또는 20%보다 더 많이 ODcoil + 2tfinger를 초과한다.
300mm 직경 웨이퍼들을 처리하는 챔버를 위한 측면-코일 어셈블리의 하나의 종래의 설계에서, 프레임의 높이 hframe은 약 0.5인치이고, 돔은 해당 지점에서 약 18.5인치의 원주를 갖는다. 도 4의 (c) 부분에 도시된 결과들은 약 0.07인치만큼 프레임 높이 hframe을 증가시킨 영향으로서, 즉 프레임 높이 hframe은 약 14%만큼 ODcoil + 2tfinger를 초과한다. 이러한 예시에서, 평균 돔 온도의 감소는 약 11℃이다. 본 발명자들에 의해 수행된 시뮬레이션들은 프레임(216)과 측벽(208) 사이의 열적 접촉을 훨씬 더 증가시킴으로써 추가적인 온도 감소들이 실현될 수 있음을 나타내었다.
도 4의 (d) 부분은 프레임(216)의 핑거들(224)과 코일(228) 사이의 열적 접촉 면적을 증가시킴으로써 프레임(216)과 코일(228) 사이의 열적 접촉을 증가시킨 결과를 도시한다. 본 예에서, (a) 부분의 기준선 계산들은 약 18.5인치의 돔 원주를 갖는 종래의 설계와 일치하는 치수들인, 0.3인치의 핑거 폭 wfinger과 0.65인치의 코일 외부 직경 ODcoil을 갖는 측면-코일 어셈블리의 일부분에 대해 수행되었다. (d) 부분에 대응하는 시뮬레이션들은 2배의 폭, 즉 wfinger=0.6인치의 핑거를 갖지만 동일한 코일 외부 직경으로 수행되었다. 이러한 방식으로 프레임(216)과 코일(228) 사이의 열적 접촉을 증가시키면 약 12℃의 돔 온도 감소를 가져온다.
보다 일반적으로는, 프레임(216)과 코일(228) 사이의 열적 접촉은 (d) 부분에 의해 도시된 것처럼 핑거들의 폭을 증가시킴으로써, 핑거들의 수를 증가시킴으로써, 또는 이 둘에 의해 증가될 수 있고; 몇몇 실시예들에서, 총 접촉이 증가되는 한 핑거들의 폭은 변화될 수 있다. 접촉의 레벨은 측면-코일 어셈블리의 핑거들(224)에 의해 커버되는 코일의 단면에 의해 규정된 상대 면적으로 정의될 수 있다. 그러한 정의에 의해, 핑거들에 의해 커버되는 상대 면적은 다음과 같다:
Figure 112008016886911-pct00002
여기서, 분자는 Nfingers개의 핑거들의 총 면적이고, 분모는 반경 Rdome의 돔 둘레의 코일에 의해 규정된 환형의 면적이다. 300mm 웨이퍼에 적합한 챔버에 대한 종래의 측면-코일 어셈블리는 Rdome = 18.5인치의 반경을 갖는 돔에 대해 약 20개의 핑거들을 갖고, 약 10%의 상대 면적 커버리지 f를 제공한다. 본 발명의 실시예들은 15%-40%의 커버리지 f를 제공하고, 몇몇 실시예들은 프레임(216)과 코일(228) 사이의 열적 접촉을 증가시키기 위하여 보다 좁게 20%~30%의 커버리지 f를 제공한다.
핑거들의 상대 커버리지의 이러한 정량적 측정치에 대한 상기한 예시는 원형의 환형의 형상을 가진 평탄한 코일 단면을 논의하지만, 그러한 구성으로 제한하는 것으로 의도되지 않는다. 보다 일반적으로는, 평탄한 코일 단면은 타원형의 환형 형상, 다각형의 환형 형상, 또는 심지어는 불규칙한 환형 형상과 같은, 돔의 둘레 주위에서 임의의 환형 형상을 가질 수 있다. 본 발명에서 사용되는 것처럼, "환형"은 기하학적으로 유사한 형상이지만 상이한 크기들과 공통 중심을 갖는 2개의 폐쇄된 평탄한 도형들 사이의 영역이다.
도 4의 (e) 부분은 2gpm의 기준선 냉각제 유량(flux)과 4gpm의 냉각제 유량 증가 사이에서 이루어지는 비교를 통해, 냉각제의 유속을 증가시킨 결과를 나타낸다. 결과적으로 돔에서 약 5℃인 일부 온도 감소가 있지만, 몇몇 다른 설계들보다 더 적절하다. 따라서, 본 발명자들에 의해 수행된 시뮬레이션들은 일반적으로 2gpm을 초과하는 냉각제 유량의 증가들이 일부지만, 돔 온도의 적절한 감소들을 제공할 수 있다고 결정하였다. 그러나 동시에, 2gpm 미만의 유량 감소는 돔 온도를 현저하게 악화시킨다.
도 4의 (f) 부분은 냉각제의 온도를 감소시키기 위해 냉각장치(chiller)를 포함하는 결과를 도시하고, 약 21℃의 돔 온도 감소를 달성한다.
본 발명자들에 의해 수행된 다양한 시뮬레이션들은 그 중 일부의 결과들이 위에서 구체적으로 나타내었고 논의되었으며, 이러한 상이한 설계들의 상대적 효과성에 대한 정보를 제공하였다. 일반적으로, 증가한 열 전도도 계수를 갖는 계면 재료의 사용에 의해, 또는 프레임과 계면 재료 사이 및 계면 재료와 돔 측벽 사이의 접촉 면적을 증가시킴으로써 달성되는 바와 같은 돔 측벽과 프레임 사이의 열적 접촉의 개선들, 그리고 더 큰 평균 핑거 크기의 사용에 의해 달성되는 바와 같은 프레임과 코일 사이의 열적 접촉의 개선들은 가장 현저한 돔 온도 감소들을 제공한다. 냉각제 유속들의 증가는 보다 적절한 효과들을 제공하지만, 최소 유속 미만에서 부정적인 열적 성능을 가져올 수 있는 최소 유속이 존재한다.
예시적인 기판 처리 시스템
본 발명자들은 캘리포니아, 산타클라라의 어플라이드 머티어리얼스 사에 의해 제조된 ULTIMA™ 시스템으로 본 발명의 실시예들을 구현하였고, 상기 시스템의 일반적인 설명은 Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong 및 Ashok Sinha에 의해 1996년 7월 15일자로 출원된, 공동 양도된 미국특허 제6,170,428호, "SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR"에 제공되며, 그 전체 명세서는 참조로 본 명세서에 포함된다. 시스템의 개요는 이하의 도 5A 및 5B와 연계하여 제공된다. 도 5A는 일 실시예의 상기 HDP-CVD 시스템(510)의 구조를 개념적으로 도시한다. 시스템(510)은 챔버(513), 진공 시스템(570), 소스 플라즈마 시스템(580A), 바이어스 플라즈마 시스템(580B), 가스 운반 시스템(533), 및 원격 플라즈마 세정 시스템(550)을 포함한다.
챔버(513)의 상부 부분은 알루미늄 산화물 또는 알루미늄 질화물과 같은, 세라믹 유전체 재료로 제조된 돔(514)을 포함한다. 돔(514)은 플라즈마 처리 영역(516)의 상부 경계를 규정한다. 플라즈마 처리 영역(516)은 기판(517)의 상부 표면과 기판 지지 부재(518)에 의한 바닥(bottom) 상에 한정된다.
히터 플레이트(plate)(523)와 냉각 플레이트(524)가 돔(514) 위에 놓이고, 돔(514)에 열적으로 결합된다. 히터 플레이트(523)와 냉각 플레이트(524)는 약 100℃ 내지 200℃의 범위 상에서 약 ±10℃ 이내로 돔 온도가 제어되도록 한다. 이는 다양한 프로세스들에 대해 돔 온도를 최적화하도록 한다. 예를 들어, 증착 프로세스들에 대해서보다 세정 또는 식각 프로세스들에 대해 더 높은 온도에서 돔을 유지하는 것이 바람직할 수 있다. 또한, 돔 온도의 정확한 제어는 챔버 내의 조각(flake) 또는 입자 카운트들을 감소시키고, 증착된 층과 기판 사이의 접착을 개선시킨다.
챔버(513)의 하부 부분은 챔버를 진공 시스템에 결합시키는 몸체 부재(522)를 포함한다. 기판 지지 부재(518)의 베이스 부분(521)이 몸체 부재(522) 상에 장착되고, 몸체 부재(522)와 연속적인 내부 표면을 형성한다. 기판들은 챔버(513)의 측면의 삽입/제거 개구(미도시)를 통하여 로봇 블레이드(blade)(미도시)에 의해 챔버(513)의 내부 및 외부로 이송된다. 리프트 핀들(미도시)은 상부 로딩 위치(557)의 로봇 블레이드로부터 하부 처리 위치(556)로 기판을 이동시키기 위하여 모터(또한 미도시)의 제어하에서 상승된 다음 하강되고, 상기 하부 처리 위치(556)에서 상기 기판은 기판 지지 부재(518)의 기판 수용 부분(519) 상에 배치된다. 기판 수용 부분(519)은 기판 처리 동안 기판을 기판 지지 부재(518)에 고정시키는 정전 척(electrostatic chuck)(520)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(518)는 알루미늄 산화물 또는 알루미늄 세라믹 재료로 제조된다.
진공 시스템(570)은 스로틀(throttle) 몸체(525)를 포함하고, 스로틀 몸체(525)는 이중-블레이드 스로틀 밸브(526)를 하우징하고 게이트 밸브(527)와 터보-분자 펌프(528)에 부착된다. 스로틀 몸체(525)는 가스 유동에 최소한의 방해를 제공하고, 대칭적 펌핑을 허용한다는 점을 유의해야 한다. 게이트 밸브(527)는 스로틀 몸체(525)로부터 펌프(528)를 격리시킬 수 있고, 또한 스로틀 밸브(526)가 완전히 개방될 때 배기 유동 용량을 제한함으로써 챔버 압력을 제어할 수도 있다. 스로틀 밸브, 게이트 밸브, 및 터보-분자 펌프의 배치는 약 1 mTorr 내지 약 2 Torr까지 챔버 압력들의 정확하고 안정한 제어를 가능케 한다.
소스 플라즈마 시스템(580A)은 돔(514) 상에 장착되는, 최상위 코일(529)과 측면 코일(530)을 포함한다. 대칭적 접지 차폐부(미도시)는 코일들 간에 전기적 결합을 감소시킨다. 최상위 코일(529)은 최상위 소스 RF(SRF) 생성기(531A)에 의해 전력공급되는 반면에, 측면 코일(530)은 측면 SRF 생성기(531B)에 의해 전력공급되어, 각각의 코일에 대한 동작의 독립적인 전력 레벨들 및 주파수들을 가능케 한다. 이러한 이중 코일 시스템은 챔버(513)의 반경방향 이온 밀도의 제어를 가능케 하고, 이에 따라 플라즈마 균일도를 개선시킨다. 측면 코일(530)과 최상위 코일(529)은 전형적으로 유도성으로 구동되고, 이것은 상보적인(complimentary) 전극을 요구하지 않는다. 본 발명의 실시예들에서, 측면 코일은 전술한 특성들을 갖는 측면-코일 어셈블리에 포함된다. 최상위 및 측면 RF 생성기들의 동작 주파수들은 플라즈마 생성 효율성을 개선시키기 위해, 공칭(nominal) 동작 주파수로부터 오프셋될 수 있다(예, 각각 1.7~1.9MHz 및 1.9~2.1MHz로).
바이어스 플라즈마 시스템(580B)은 바이어스 RF("BRF") 생성기(531C) 및 바이어스 정합망(matching network)(532C)을 포함한다. 바이어스 플라즈마 시스템(580B)은 기판 부분(517)을 몸체 부재(522)에 용량성으로 결합시키고, 기판 부분(517)과 몸체 부재(522)는 상보적 전극들로서 동작한다. 바이어스 플라즈마 시스템(580B)은 기판 표면으로 소스 플라즈마 시스템(580A)에 의해 생성되는 플라즈마 종들(예, 이온들)의 이송을 강화하도록 작용한다.
RF 생성기들(531A 및 531B)은 디지털적으로 제어되는 합성기(synthesizer)들을 포함하고, 약 1.8 내지 약 2.1 MHz의 주파수 범위 상에서 동작한다. 각각의 생성기는 RF 제어 회로(미도시)를 포함하고, RF 제어 회로는 통상의 당업자에 의해 이해되는 것처럼, 챔버와 코일로부터 생성기로 다시 반사되는 전력을 측정하고, 최저 반사 전력을 획득하도록 동작 주파수를 조정한다. RF 생성기들은 전형적으로 50Ω의 특성 임피던스를 가진 부하로 동작되도록 설계된다. RF 전력은 생성기와 상이한 특성 임피던스를 가진 부하들로부터 반사될 수 있다. 이는 부하로 전달되는 전력을 감소시킬 수 있다. 부가적으로, 부하로부터 생성기로 다시 반사되는 전력은 과부하될 수 있고 생성기를 손상시킬 수 있다. 플라즈마의 임피던스는 다른 인자들 중에서, 플라즈마 이온 밀도에 따라 5Ω 미만에서부터 900Ω 이상까지의 범위일 수 있고, 반사 전력이 주파수의 함수일 수 있기 때문에, 반사 전력에 따라 생성기 주파수를 조정하는 것은 RF 생성기로부터 플라즈마로 전달되는 전력을 증가시키고, 생성기를 보호한다. 반사 전력을 감소시키고 효율성을 개선하기 위한 다른 방법은 정합망을 이용하는 것이다.
정합망들(532A 및 532B)은 생성기들(531A 및 531B)의 출력 임피던스를 이들의 각 코일들(529, 530)에 정합시킨다. RF 제어 회로는 부하가 변화됨에 따라 생성기를 부하에 정합시키기 위해, 정합망들 내의 커패시터들의 값을 변경함으로써 두 정합망들을 튜닝(tuning)할 수 있다. RF 제어 회로는 부하로부터 생성기로 다시 반사되는 전력이 특정 제한치를 초과할 때, 정합망을 튜닝할 수 있다. 일정한 정합을 제공하고 RF 제어 회로가 정합망을 튜닝하는 것을 효과적으로 디스에이블하기 위한 하나의 방법은, 반사 전력의 임의의 예측값을 초과하여 반사 전력 제한치를 설정하는 것이다. 이는 가장 최근의 조건에서 정합망 상수를 유지함으로써 몇몇 조건들 하에서 플라즈마를 안정화시키도록 도울 수 있다.
다른 측정치들 또한 플라즈마를 안정화시키도록 도울 수 있다. 예를 들어, RF 제어 회로는 부하(플라즈마)로 전달되는 전력을 결정하는데 사용될 수 있고, 층의 증착 동안 전달된 전력을 실질적으로 일정하게 유지하도록 생성기 출력 전력을 증가 또는 감소시킬 수 있다.
가스 운반 시스템(533)은 몇몇 소스들(534A-534E)로부터의 가스들을 가스 운반 라인들(538)(이들 중 일부만이 도시됨)을 통해 기판을 처리하기 위하여 챔버로 제공한다. 통상의 당업자에 의해 이해되는 것처럼, 소스들(534A-534E)에 대해 사용된 실제 소스들 및 챔버(513)로의 운반 라인들(538)의 실제 커넥션은 챔버(513)내에서 수행되는 증착 및 세정 프로세스들에 따라 변화된다. 가스들은 가스 링(537) 및/또는 최상위 노즐(545)을 통해 챔버(513)로 유입된다. 도 5B는 가스 링(537)의 부가적인 세부사항들을 도시하는 챔버(513)의 간략화된 부분 단면도이다.
하나의 실시예에서, 제 1 및 제 2 가스 소스들(534A 및 534B)과 제 1 및 제 2 가스 유동 제어기들(535A' 및 535B')은 가스 운반 라인들(538)(이들 중 일부만이 도시됨)을 통해 가스 링(537)의 링 플레넘(plenum)(536)에 가스를 제공한다. 가스 링(537)은 기판 위로 가스의 균일한 유동을 제공하는 다수의 소스 가스 노즐들(539)(이들 중 하나만이 예시를 목적으로 도시됨)을 갖는다. 노즐 길이 및 노즐 각도는 개별 챔버 내의 특정 프로세스에 대해 균일도 프로파일 및 가스 사용 효율성의 조정(tailoring)을 허용하도록 변경될 수 있다. 바람직한 실시예에서, 가스 링(537)은 알루미늄 산화물 세라믹으로 제조된 12개의 소스 가스 노즐들을 갖는다.
또한, 가스 링(537)은 다수의 산화제 가스 노즐들(540)(이 중 하나만이 도시됨)을 갖고, 바람직한 실시예에서, 상기 다수의 산화제 가스 노즐들(540)은 소스 가스 노즐들(539)과 동일평면에 있고 소스 가스 노즐들(539)보다 더 짧으며, 일 실시예에서 몸체 플레넘(541)으로부터의 가스를 수용한다. 몇몇 실시예들에서, 가스들을 챔버(513)로 주입하기 이전에 소스 가스들과 산화제 가스들을 혼합하지 않는 것이 바람직하다. 다른 실시예들에서, 몸체 플레넘(541)과 가스 링 플레넘(536) 사이에 애퍼쳐들(미도시)을 제공함으로써, 가스들을 챔버(513)로 주입하기 이전에 산화제 가스와 소스 가스가 혼합될 수 있다. 일 실시예에서, 제 3, 제 4 및 제 5 가스 소스들(534C, 534D 및 534D')과, 제 3 및 제 4 가스 유동 제어기들(535C 및 535D')은 가스 운반 라인들(538)을 통해 몸체 플레넘에 가스를 제공한다. 543B와 같은 부가적인 밸브들(다른 밸브들은 미도시)은 유동 제어기들로부터 챔버로의 가스를 차단할 수 있다. 본 발명의 특정 실시예들을 실시함에 있어서, 소스(534A)는 실란 SiH4 소스를 포함하고, 소스(534B)는 분자 산소 O2 소스를 포함하며, 소스(534C)는 실란 SiH4 소스를 포함하고, 소스(534D)는 헬륨 He 소스를 포함하며, 소스(534D')는 분자 수소 H2 소스를 포함한다.
가연성, 독성, 또는 부식성 가스들이 사용되는 실시예들에서, 증착 이후 가스 운반 라인들에 남아 있는 가스를 제거하는 것이 바람직할 수 있다. 이는 예를 들어 운반 라인(538A)으로부터 챔버(513)를 격리(isolate)하고 진공 포어라인(vacuum foreline)(544)으로 운반 라인(538A)을 배기하기 위해, 밸브(543B)와 같은 3방향 밸브를 이용하여 달성될 수 있다. 도 5A에 도시된 것처럼, 543A 및 543C와 같은 다른 유사한 밸브들은 다른 가스 운반 라인들에 통합될 수 있다. 그러한 3방향 밸브들은 배기되지 않은 가스 운반 라인(3방향 밸브와 챔버 사이)의 부피를 최소화하기 위해, 실제적으로 챔버(513)에 근접하게 배치될 수 있다. 부가적으로, 2방향(온-오프) 밸브들(미도시)은 질량 유동 제어기("MFC")와 챔버 사이 또는 가스 소스와 MFC 사이에 배치될 수 있다.
도 5A를 다시 참조하면, 챔버(513)는 또한 최상위 노즐(545) 및 최상위 배기부(546)를 갖는다. 최상위 노즐(545)과 최상위 배기부(546)는 가스들의 최상위 및 측면 유동들의 독립적인 제어를 가능케 하고, 이것은 막 균일도를 개선하며 막의 증착 및 도핑 파라미터들의 미세 조정을 가능케 한다. 최상위 배기부(546)는 최상위 노즐(545) 둘레에 있는 환형 개구이다. 일 실시예에서, 제 1 가스 소스(534A)는 소스 가스 노즐들(539) 및 최상위 노즐(545)을 제공한다. 소스 노즐 MFC(535A')는 소스 가스 노즐들(539)로 운반되는 가스량을 제어하고, 최상위 노즐 MFC(535A)는 최상위 가스 노즐(545)로 운반되는 가스량을 제어한다. 유사하게는, 2개의 MFC들(535B 및 535B')은 소스(534B)와 같은, 산소의 단일 소스로부터 최상위 배기부(546) 및 산화제 가스 노즐들(540)로의 산소 유동을 제어하는데 사용될 수 있다. 몇몇 실시예들에서, 산소는 임의의 측면 노즐들로부터 챔버로 공급되지 않는다. 최상위 노즐(545)과 최상위 배기부(546)에 공급되는 가스들은 가스들을 챔버(513)로 유입시키기 이전에 별도로 유지될 수 있거나, 가스들은 챔버(513)로 유입되기 이전에 최상위 플레넘(548)에서 혼합될 수 있다. 동일 가스의 개별 소스들은 챔버의 다양한 부분들로 공급하는데 사용될 수 있다.
원격 마이크로파-생성된 플라즈마 세정 시스템(550)은 챔버 컴포넌트들로부터 증착 잔류물들을 주기적으로 세정하기 위해 제공된다. 세정 시스템은 반응기 캐비티(cavity)(553)의 세정 가스 소스(534E)(예, 분자 불소, 삼불화 질소, 다른 탄화불소들 또는 등가물들)로부터 플라즈마를 생성하는 원격 마이크로파 생성기(551)를 포함한다. 이러한 플라즈마로 인한 반응성 종들은 도포기 관(555)에 의해 세정 가스 공급 포트(554)를 통하여 챔버(513)로 전달된다. 세정 플라즈마를 함유(contain)하는데 사용된 재료들(예, 캐비티(553)와 도포기 관(applicator tube)(555))은 플라즈마에 의한 공격에 대해 내성(resistant)이 있어야 한다. 바람직한 플라즈마 종의 농도가 반응기 캐비티(553)로부터의 거리와 따라 감소될 수 있기 때문에, 반응기 캐비티(553)와 공급 포트(554) 사이의 거리는 실제로 짧게 유지되어야 한다. 원격 캐비티에서 세정 플라즈마를 생성하는 것은 효율적인 마이크로파 생성기의 사용을 가능케 하고, 챔버 컴포넌트들이 인-시튜(in-situ)로 형성되는 플라즈마에 존재할 수 있는 글로우 방전(glow discharge)의 충돌, 온도 또는 방사를 받지 않게 한다. 결과적으로, 정전 척(520)과 같은, 비교적 민감한 컴포넌트들은 인-시튜 플라즈마 세정 프로세스에 요구될 수 있는 바와 같은, 더미(dummy) 웨이퍼로 커버될 필요가 없거나 다른 방식으로 보호될 필요가 없다. 도 5A에서, 플라즈마-세정 시스템(550)은 다른 위치들이 대안적으로 사용될 수 있지만 챔버(513) 위로 배치되게 도시된다.
배플(baffle)(561)은, 최상위 노즐을 통해 챔버로 공급되는 소스 가스들의 유동들을 지향시키고 원격으로 생성되는 플라즈마의 유동들을 지향시키기 위해, 최상위 노즐에 인접하게 제공될 수 있다. 최상위 노즐(545)을 통해 제공되는 소스 가스들은 중앙 통로(562)를 통해 챔버로 지향되는 반면, 세정 가스 공급 포트(554)를 통해 제공되는 원격으로 생성되는 플라즈마 종들은 배플(561)에 의해 챔버(513)의 측면들로 지향된다.
통상의 당업자는 본 발명의 사상을 벗어남이 없이, 특정 파라미터들이 상이한 처리 챔버들과 상이한 처리 조건들에 대해 가변될 수 있다는 점을 인식할 것이다. 다른 변형들 또한 통상의 당업자에게 명백할 것이다. 이러한 등가물들과 대안들은 본 발명의 범주내에 포함되는 것으로 의도된다. 따라서, 본 발명의 범주는 기술된 실시예들로 제한되는 것이 아니라, 대신에 이하의 청구범위에 의해 규정되어야 한다.

Claims (21)

  1. 처리 챔버에서 플라즈마를 유도성으로(inductively) 생성하도록 소스를 제공하기 위한 RF 코일 어셈블리로서,
    상기 RF 코일 어셈블리는:
    상기 처리 챔버의 측면 둘레 주위에 배치된 RF 코일;
    상기 처리 챔버의 상기 측면 둘레 주위에 배치되고 상기 RF 코일을 제자리에 지지하도록 적응된(adapted) 프레임 ― 상기 프레임은 다수의 핑거(finger)들을 포함하고, 상기 다수의 핑거들은 상기 처리 챔버로부터 외향하여 진행하는 제1 방향으로 연장되고 상기 RF 코일의 각각의 측면 상에 배치되며, 상기 다수의 핑거들 중 상부 핑거들은 상기 RF 코일의 제1 측면 상에 배치되고, 상기 다수의 핑거들 중 하부 핑거들은 상기 제1 측면에 대향하는 상기 RF 코일의 제2 측면 상에 배치되며, 상기 프레임은 또한 상기 다수의 핑거들 사이에서 연장되는 베이스 및 상기 제1 방향에 대하여 수직이고 상기 상부 핑거들 및 하부 핑거들에 대하여 수직인 제2 방향으로 상기 하부 핑거들 너머로 연장되는 제1 부분을 포함하고, 상기 프레임은 상기 RF 코일의 대향하는 측면들 상에 배치되는 상부 핑거와 하부 핑거 사이에서 상기 제2 방향으로 연장되는 상기 베이스 및 상기 제2 방향으로 상기 하부 핑거 너머로 연장되는 상기 제1 부분에 의하여 특징지어지는 평탄한(planar) 단면을 가짐 ― ; 및
    상기 프레임과 상기 처리 챔버의 측벽 사이에 배치되고 상기 프레임 및 상기 처리 챔버의 측벽과 열적으로 접촉되는 계면 재료(interface material) ― 상기 계면 재료는 3.0 W/mK 이상의 열 전도도를 가지고, 상기 프레임의 높이는 상기 베이스의 높이 및 상기 제1 부분의 높이를 포함하고 상기 계면 재료와 열적으로 접촉하는 면적을 규정하며, 상기 프레임의 높이는 상기 프레임의 상기 평탄한 단면을 따라 상기 제2 방향으로 측정될 때 상기 RF 코일, 상기 상부 핑거, 및 상기 하부 핑거의 전체 폭보다 더 큼 ―
    를 포함하는, RF 코일 어셈블리.
  2. 제 1 항에 있어서,
    상기 계면 재료의 열 전도도는 4.0 W/mK 이상인, RF 코일 어셈블리.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제 1 항에 있어서,
    액체 냉각제의 유동을 운반하기 위해 상기 RF 코일과 열적으로 접촉되게 배치된 냉각제 관을 더 포함하는, RF 코일 어셈블리.
  7. 제 1 항에 있어서,
    상기 처리 챔버는 상기 처리 챔버 내의 플라즈마 처리 영역의 상부 경계를 규정하는 돔(dome)을 포함하고,
    상기 RF 코일 및 상기 프레임은 상기 돔 주위에 배치되는, RF 코일 어셈블리.
  8. 제 7 항에 있어서,
    상기 RF 코일은 상기 돔 둘레에 환형(annulus)을 규정하는 평탄한 단면을 갖고,
    상기 다수의 핑거들은 상기 다수의 핑거들에 의한 상기 환형의 커버리지의 상대 면적이 15% 내지 40%가 되도록 상기 환형의 일 부분을 커버하기 위하여 상기 돔으로부터 외향하여 상기 제1 방향으로 연장되는, RF 코일 어셈블리.
  9. 제 8 항에 있어서,
    상기 다수의 핑거들에 의한 상기 환형의 커버리지의 상대 면적은 20% 내지 30%인, RF 코일 어셈블리.
  10. 제 8 항에 있어서,
    상기 다수의 핑거들은 다수의 핑거들의 쌍들을 포함하고, 각각의 상기 핑거들의 쌍은 상기 RF 코일의 대향하는 측면들 상에 배치되며, 상기 환형의 동일 부분을 커버하는, RF 코일 어셈블리.
  11. 제 8 항에 있어서,
    상기 환형은 원형의 환형인, RF 코일 어셈블리.
  12. 삭제
  13. 제 1 항에 있어서,
    상기 프레임의 높이는 상기 RF 코일, 상기 상부 핑거, 및 상기 하부 핑거의 전체 폭보다 적어도 10%만큼 더 큰, RF 코일 어셈블리.
  14. 제 1 항에 있어서,
    상기 프레임의 높이는 상기 RF 코일, 상기 상부 핑거, 및 상기 하부 핑거의 전체 폭보다 적어도 20%만큼 더 큰, RF 코일 어셈블리.
  15. 처리 챔버에서 플라즈마를 유도성으로 생성하도록 소스를 제공하기 위한 RF 코일 어셈블리로서,
    상기 처리 챔버는 상기 처리 챔버 내의 플라즈마 처리 영역의 상부 경계를 규정하는 돔을 포함하고,
    상기 RF 코일 어셈블리는:
    상기 돔의 측면 둘레 주위에 배치되고 일 평면 내에서 상기 돔 둘레의 환형을 규정하는 평탄한 단면을 갖는 RF 코일;
    상기 돔의 상기 측면 둘레 주위에 배치되고 상기 RF 코일을 제자리에 지지하도록 적응된 프레임 ― 상기 프레임은 다수의 핑거들에 의한 상기 환형의 커버리지의 상대 면적이 15% 내지 40%가 되도록 상기 환형의 일 부분을 커버하기 위하여 상기 돔으로부터 외향하여 진행하는 제1 방향으로 연장되는 상기 다수의 핑거들을 갖고, 상기 프레임은 상기 다수의 핑거들 사이에서 연장되는 베이스 및 상기 제1 방향에 대하여 수직하고 상기 다수의 핑거들에 대하여 수직인 제2 방향으로 하부 핑거 너머로 연장되는 제1 부분을 포함하고, 상기 프레임은 상기 RF 코일의 대향하는 측면들 상에 배치되는 상부 핑거와 하부 핑거 사이에서 상기 제2 방향으로 연장되는 상기 베이스 및 상기 제2 방향으로 상기 하부 핑거 너머로 연장되는 상기 제1 부분에 의하여 특징지어지는 평탄한 단면을 가짐 ― ;
    상기 프레임 및 상기 돔의 측벽 사이에 배치되고 상기 프레임 및 상기 돔의 측벽과 열적으로 접촉되는 계면 재료 ― 상기 계면 재료는 3.0 W/mK 이상의 열 전도도를 갖고, 상기 프레임과 열적으로 접촉하는 면적을 규정하는 높이를 가지며, 상기 계면 재료의 높이는 상기 프레임의 상기 평탄한 단면을 따라 상기 제2 방향으로 측정될 때 상기 RF 코일, 상기 상부 핑거, 및 상기 하부 핑거의 전체 폭보다 더 큼 ― ; 및
    액체 냉각제의 유동을 운반하도록 상기 RF 코일과 열적으로 접촉되게 배치된 냉각제 관
    을 포함하는, RF 코일 어셈블리.
  16. 삭제
  17. 제 15 항에 있어서,
    상기 다수의 핑거들은 다수의 핑거들의 쌍들을 포함하고, 각각의 상기 핑거들의 쌍은 상기 RF 코일의 대향하는 측면들 상에 배치되며, 상기 환형의 동일 부분을 커버하는, RF 코일 어셈블리.
  18. 기판 처리 시스템으로서,
    처리 챔버를 규정하는 하우징;
    상기 처리 챔버의 측면 둘레 주위에 배치된 RF 코일;
    상기 처리 챔버의 상기 측면 둘레 주위에 배치되고 상기 RF 코일을 제자리에 지지하도록 적응된 프레임 ― 상기 프레임은 다수의 핑거들을 포함하고, 상기 다수의 핑거들은 상기 처리 챔버로부터 외향하여 진행하는 제1 방향으로 연장되고 상기 RF 코일의 각각의 측면 상에 배치되며, 상기 다수의 핑거들 중 상부 핑거들은 상기 RF 코일의 제1 측면 상에 배치되고, 상기 다수의 핑거들 중 하부 핑거들은 상기 제1 측면에 대향하는 상기 RF 코일의 제2 측면 상에 배치되며, 상기 프레임은 또한 상기 다수의 핑거들 사이에서 연장되는 베이스 및 상기 제1 방향에 대하여 수직이고 상기 상부 핑거들 및 상기 하부 핑거들에 대하여 수직인 제2 방향으로 상기 하부 핑거들 너머로 연장되는 제1 부분을 포함하고, 상기 프레임은 상기 RF 코일의 대향하는 측면들 상에 배치되는 상부 핑거와 하부 핑거 사이에서 상기 제2 방향으로 연장되는 상기 베이스 및 상기 제2 방향으로 상기 하부 핑거 너머로 연장되는 상기 제1 부분에 의하여 특징지어지는 평탄한 단면을 가짐 ― ; 및
    상기 프레임과 상기 처리 챔버의 측벽 사이에 배치되고 상기 프레임 및 상기 처리 챔버의 측벽과 열적으로 접촉되는 계면 재료 ― 상기 계면 재료는 3.0 W/mK 이상의 열 전도도를 가지고, 상기 프레임의 높이는 상기 베이스의 높이 및 상기 제1 부분의 높이를 포함하고 상기 계면 재료와 열적으로 접촉하는 면적을 규정하며, 상기 프레임의 높이는 상기 프레임의 상기 평탄한 단면을 따라 상기 제2 방향으로 측정될 때 상기 RF 코일, 상기 상부 핑거, 및 상기 하부 핑거의 전체 폭보다 적어도 10% 만큼 더 큼 ― ;
    를 포함하는, 상기 처리 챔버에 동작가능하게 결합된 고밀도 플라즈마 생성 시스템;
    기판 처리 동안, 기판을 홀딩하도록 구성된 기판 홀더;
    상기 처리 챔버에 가스들을 유입시키도록 구성된 가스 운반 시스템;
    선택된 압력을 상기 처리 챔버 내에서 유지시키기 위한 압력 제어 시스템; 및
    상기 고밀도 플라즈마 생성 시스템, 상기 가스 운반 시스템, 및 상기 압력 제어 시스템을 제어하기 위한 제어기
    를 포함하는, 기판 처리 시스템.
  19. 제 18 항에 있어서,
    상기 처리 챔버는 상기 처리 챔버 내의 플라즈마 처리 영역의 상부 경계를 규정하는 돔을 포함하고,
    상기 RF 코일 및 상기 프레임은 상기 돔 주위에 배치되며,
    상기 RF 코일은 상기 돔 둘레에 환형을 규정하는 평탄한 단면을 갖고,
    상기 다수의 핑거들은 상기 다수의 핑거들에 의한 상기 환형의 커버리지의 상대 면적이 15% 내지 40%가 되도록 상기 환형의 일 부분을 커버하기 위하여 상기 돔으로부터 외향하여 상기 제1 방향으로 연장되는, 기판 처리 시스템.
  20. 제 19 항에 있어서,
    상기 다수의 핑거들은 다수의 핑거들의 쌍들을 포함하고, 각각의 상기 핑거들의 쌍은 상기 RF 코일의 대향하는 측면들 상에 배치되며, 상기 환형의 동일 부분을 커버하는, 기판 처리 시스템.
  21. 삭제
KR1020087005686A 2005-08-09 2008-03-07 유도성으로 결합된 플라즈마 반응기들의 열적 관리 KR101216360B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/200,431 2005-08-09
US11/200,431 US7811411B2 (en) 2005-08-09 2005-08-09 Thermal management of inductively coupled plasma reactors
PCT/US2006/029764 WO2007021522A1 (en) 2005-08-09 2006-07-28 Thermal management of inductively coupled plasma reactors

Publications (2)

Publication Number Publication Date
KR20080033529A KR20080033529A (ko) 2008-04-16
KR101216360B1 true KR101216360B1 (ko) 2012-12-31

Family

ID=37397508

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087005686A KR101216360B1 (ko) 2005-08-09 2008-03-07 유도성으로 결합된 플라즈마 반응기들의 열적 관리

Country Status (6)

Country Link
US (1) US7811411B2 (ko)
JP (1) JP5244594B2 (ko)
KR (1) KR101216360B1 (ko)
CN (1) CN101243533A (ko)
TW (1) TWI353392B (ko)
WO (1) WO2007021522A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
CN102763198B (zh) 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
US8968537B2 (en) 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
TWI825711B (zh) * 2021-06-25 2023-12-11 美商得昇科技股份有限公司 電漿處理設備

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030213434A1 (en) 2002-05-17 2003-11-20 Applied Materials, Inc. Upper chamber for high density plasma CVD

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6192829B1 (en) 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030213434A1 (en) 2002-05-17 2003-11-20 Applied Materials, Inc. Upper chamber for high density plasma CVD

Also Published As

Publication number Publication date
KR20080033529A (ko) 2008-04-16
US7811411B2 (en) 2010-10-12
JP2009505349A (ja) 2009-02-05
WO2007021522A1 (en) 2007-02-22
CN101243533A (zh) 2008-08-13
TW200716789A (en) 2007-05-01
JP5244594B2 (ja) 2013-07-24
TWI353392B (en) 2011-12-01
US20070034153A1 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
US7354501B2 (en) Upper chamber for high density plasma CVD
US7498268B2 (en) Gas delivery system for semiconductor processing
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
US7789993B2 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
US7074298B2 (en) High density plasma CVD chamber
JP4801250B2 (ja) 堆積チャンバ内の基板上に膜を堆積する方法
US7572647B2 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
JP4467191B2 (ja) ガス分配システムを有するcvd処理チャンバ及びそれを用いた膜の堆積方法
KR101466588B1 (ko) 낮은 프로파일의 공정 키트
US7776156B2 (en) Side RF coil and side heater for plasma processing apparatus
US7651587B2 (en) Two-piece dome with separate RF coils for inductively coupled plasma reactors
US20080188090A1 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
KR101216360B1 (ko) 유도성으로 결합된 플라즈마 반응기들의 열적 관리
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
JP2002503765A (ja) 化学気相堆積用リアクタ
EP2034045A2 (en) Reduction of etch-rate drift in HDP processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
KR20100043037A (ko) Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장
JP4808716B2 (ja) 半導体処理におけるマイクロコンタミネーションの削減
JP3111544U (ja) 半導体処理の為のガス送出システム
KR100984878B1 (ko) 유도 결합된 고밀도 플라즈마 프로세싱 챔버를 위한 내부밸런스 코일

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee