KR20070082746A - 원거리 플라즈마 발생장치 - Google Patents

원거리 플라즈마 발생장치 Download PDF

Info

Publication number
KR20070082746A
KR20070082746A KR1020060015759A KR20060015759A KR20070082746A KR 20070082746 A KR20070082746 A KR 20070082746A KR 1020060015759 A KR1020060015759 A KR 1020060015759A KR 20060015759 A KR20060015759 A KR 20060015759A KR 20070082746 A KR20070082746 A KR 20070082746A
Authority
KR
South Korea
Prior art keywords
plasma
shower head
source
purge gas
gas introduction
Prior art date
Application number
KR1020060015759A
Other languages
English (en)
Other versions
KR100752622B1 (ko
Inventor
전형탁
김인회
김석훈
정진욱
이상규
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020060015759A priority Critical patent/KR100752622B1/ko
Priority to PCT/KR2007/000414 priority patent/WO2007094572A1/en
Priority to US11/703,621 priority patent/US20070193515A1/en
Priority to JP2007033886A priority patent/JP2007227375A/ja
Publication of KR20070082746A publication Critical patent/KR20070082746A/ko
Application granted granted Critical
Publication of KR100752622B1 publication Critical patent/KR100752622B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Abstract

챔버와 관련되어 설치되는 RF 안테나; 상기 챔버 내 상부에 형성되며 다수의 플라즈마 발생가스 도입관이 균일하게 연통된 플라즈마 발생부; 상기 플라즈마 발생부 하부에 설치되는 DC 바이어스 발생유닛; 상기 DC 바이어스 발생유닛의 하부에 설치되며, 다수의 제 1 플라즈마 안내공이 형성된 제 1 샤워헤드; 상기 제 1 샤워헤드 하부에 설치되며, 소스/퍼지가스 안내공과 각각 상기 제 1 플라즈마 안내공과 직접 연결되는 다수의 제 2 플라즈마 안내공이 형성된 제 2 샤워헤드를 포함하며, 상기 제 1 샤워헤드와 제 2 샤워헤드 사이에는 소스/퍼지가스 도입부가 형성되고, 상기 소스/퍼지가스 도입부에는 다수의 소스/퍼지가스 도입관이 연통되는 원거리 플라즈마 발생장치가 개시된다.
박막 품질, 균일, 직류 바이어스, 이온 트랩, 도입관, 아노다이징, 그리드

Description

원거리 플라즈마 발생장치{Apparatus for generating remote plasma}
도 1은 본 발명의 일 실시예에 따른 플라즈마 발생장치를 보여주는 단면도이다.
도 2는 도 1의 플라즈마 발생장치를 위에서 본 평면도이다.
도 3은 제 1 및 제 2 샤워헤드를 나타낸 평면도이다.
도 4는 플라즈마 안내관의 변형된 형태를 보여주는 단면도이다.
도 5는 DC 바이어스 발생유닛을 보여준다.
도 6은 RF 안테나의 일 예를 보여준다.
도 7은 본 발명의 다른 실시예에 따른 플라즈마 발생장치를 보여주는 단면도이다.
본 발명은 플라즈마 발생장치에 관한 것으로, 특히 박막의 균일성을 향상시키고 박막의 품질을 향상시키는 플라즈마 발생장치에 관한 것이다.
최근, 반도체소자의 미세화에 대응해서, 드라이 에칭에 있어서는, 고아스펙 트비의 가공 등을 실현하기 위하여, 또 플라즈마 CVD 및 ALD에 있어서는 고 어스펙트비의 매립 등을 실현하기 위하여, 더욱 고진공으로 플라즈마 처리를 행하는 일이 요구되고 있다.
종래의 일반적인 평행 평판형의 플라즈마 발생장치는 진공챔버 내에 기판을 얹어놓는 기판전극과 대향전극을 배설하고, 이들 전극 사이에 전극용 고주파전원에 의해서 고주파전압을 인가함으로써 진공챔버 내에 플라즈마를 발생시키도록 구성되어 있다.
그러나, 이러한 구성에 있어서는 발생한 플라즈마와 장착된 기판이 균일하게 반응하지 못하였고, 플라즈마에 생성된 플라즈마 이온이 웨이퍼 기판에 직접 충격을 가하여 기판이 손상된다는 문제점이 있다.
이러한 문제점을 해결하기 위하여 국내특허공개공보 제1999-10957호에서는 챔버 내에 구비된 플라즈마 발생수단; 상기 플라즈마 발생수단 하부에 장착되어 제 1 버퍼부를 형성하며, 다수의 플라즈마 분사홀이 형성된 제1 샤워헤드; 상기 제 1 샤워헤드 하부에 장착되어 제 2 버퍼부를 형성하며 원료가스 분사홀이 형성된 제 2 샤워헤드; 및 상기 제 1 샤워헤드의 홀과 제 2 샤워헤드의 홀을 연결하며, 플라즈마와 원료가스가 혼합되지 않도록 유도하는 수단을 포함하는 샤워헤드장치를 개시하고 있다.
이 기술에 의하면, 플라즈마 발생부와 원료가스 분사부가 일체화된 2단계 구조의 샤워헤드를 구성함으로써 종래의 플라즈마 발생을 이용한 증착방법에서 문제점으로 작용하고 있던 이온 및 전자 충돌, 주입 등을 방지할 수 있다는 이점이 있 다.
그러나, 이 기술에 따르면 몇 가지의 문제점을 내포하고 있다.
먼저, 플라즈마 발생가스 도입관이 1개로 제 1 버퍼부 상부 중앙에 연결되어 있어 증착 공정시 주로 기판의 중앙부에만 막이 증착된다는 단점이 있다.
또한, 플라즈마 발생부에서 생성된 이온, 특히 양이온이 제어되지 않고 공급되므로 기판이나 박막에 손상을 일으킨다는 문제점이 있다.
따라서, 본 발명의 목적은 플라즈마 발생가스를 기판에 균일하게 공급함으로써 형성되는 박막의 균일도를 향상시킬 수 있는 플라즈마 발생장치를 제공하는 것이다.
본 발명의 다른 목적은 플라즈마 발생시 생성되는 양이온을 적절하게 제어하여 박막을 품질을 향상시킬 수 있는 플라즈마 발생장치를 제공하는 것이다.
본 발명의 다른 목적과 특징 및 이점은 첨부된 도면을 참조하여 이하에 서술되는 실시예를 통하여 명확하게 이해될 것이다.
본 발명에 따르면, 챔버와 관련되어 설치되는 RF 안테나; 상기 챔버 내 상부에 형성되며 다수의 플라즈마 발생가스 도입관이 균일하게 연통된 플라즈마 발생부; 상기 플라즈마 발생부 하부에 설치되는 DC 바이어스 발생유닛; 상기 DC 바이어스 발생유닛의 하부에 설치되며, 다수의 제 1 플라즈마 안내공이 형성된 제 1 샤워헤드; 상기 제 1 샤워헤드 하부에 설치되며, 소스/퍼지가스 안내공과 각각 상기 제 1 플라즈마 안내공과 직접 연결되는 다수의 제 2 플라즈마 안내공이 형성된 제 2 샤워헤드를 포함하며, 상기 제 1 샤워헤드와 제 2 샤워헤드 사이에는 소스/퍼지가스 도입부가 형성되고, 상기 소스/퍼지가스 도입부에는 다수의 소스/퍼지가스 도입관이 연통되는 원거리 플라즈마 발생장치가 개시된다.
바람직하게, 상기 DC 바이어스 발생유닛은 그리드(grid) 형상을 가지며, 금속재질로 그 표면이 아노다이징(anodizing) 처리된다.
또한, 바람직하게, 상기 제 1 및 제 2 플라즈마 안내공과 소스/퍼지가스 안내공의 입구측과 출구측 그리고 상기 플라즈마 발생가스 도입관과 소스/퍼지가스 도입관의 출구측은 각각 단부 쪽으로 직경이 커지도록 테이퍼 처리된다.
또한, 상기 제 1 플라즈마 안내공과 상기 제 2 플라즈마 안내공 및 소스/퍼지가스 안내공은 각각 상기 제 1 샤워헤드와 상기 제 2 샤워헤드에서 방사상으로 형성되며, 상기 제 2 샤워헤드에서 상기 제 2 플라즈마 안내공 및 소스/퍼지가스 안내공은 방사상으로 교대로 배치될 수 있다.
바람직하게, 상기 다수의 플라즈마 발생가스 도입관은 상기 플라즈마 발생부의 상부 또는 측부로부터 연통될 수 있다.
다음은 본 발명의 일 실시예에 따른 플라즈마 발생장치의 구조에 대한 설명이다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 발생장치를 보여주는 단면도이다.
본 발명에 따른 플라즈마 발생장치는 RF 안테나(107), 플라즈마 발생부(110), DC 바이어스 발생유닛(120), 제 1 샤워헤드(shower head; 130), 소스/퍼지가스 도입부(140), 제 2 샤워헤드(150)로 이루어진다.
RF 안테나(107)는 챔버의 절연부재(108)의 상부에 위치하여 플라즈마를 발생시키는 역할을 한다. 본 발명의 RF 안테나(107)는 균일한 플라즈마 발생이 가능하도록 구성될 수 있다.
본 발명에 따르면, 도 6을 참조하면, 전원공급단 P가 형성되고 타단에 접지단 G가 형성되는 적어도 2개의 루프형 안테나 요소(10, 20)가 수평면상에 일정한 간격으로 이격 중첩되어 전기적으로 병렬 결합되고, 각 안테나의 전원공급단 P와 접지단 G는 안테나 요소(10, 20)의 중심에 대하여 대칭되는 위치에 배치되며, 각 하나의 안테나 요소(10, 20)의 수평 절곡부분(10a, 20a)은 다른 하나의 안테나 요소(10, 20)의 전원공급단 P와 접지단 G 사이에 위치한다.
이와 같이 구성함으로써, 각 안테나(10, 20)는 전기적으로 병렬로 연결되어 있으므로 안테나의 전체적인 임피던스는 낮아지게 되어 낮은 전압의 인가가 가능하며, 전원공급단 P와 접지단 G 사이의 끊어지는 부분을 절곡부분(10a, 20a)이 보완해주는 역할을 함으로써 안테나 전류가 끊어지지 않고 지속하도록 한다. 또한, 각 안테나의 중간부분에서 수평방향으로 절곡이 이루어지므로 전기장의 차이가 발생하지 않음으로써 플라즈마를 균일하게 분포시킬 수 있다.
플라즈마 발생부(110)은 챔버 내 상부에 형성되며, 석영 등의 절연부재(108)에 의해 외부와 차단된다.
본 발명에 따르면, 다수의 플라즈마 발생가스 도입관(102)이 플라즈마 발생부(110)에 균일하게 연통된다.
이 실시예에서는 다수의 플라즈마 발생가스 도입관(102)이 상부로부터 플라즈마 발생부(110)에 연통되지만, 도 7의 다른 실시예에 따르면, 다수의 플라즈마 발생가스 도입관(102)은 측부로부터 플라즈마 발생부(110)에 연통된다.
일 실시예의 경우, 다수의 플라즈마 발생가스 도입관(102)은 도 2a에 도시된 바와 같이 전면에 균일하게 배열되며, 다른 실시예의 경우 도 2b에 도시된 바와 같이 측부에 일정한 회전각으로 이격되어 설치된다.
도 2a와 도 2b에는 다수의 플라즈마 발생가스 도입관(102)이 각각 5개와 4개인 것을 예로 들었으나, 다수의 플라즈마 발생가스 도입관(102)의 개수는 이에 한정되지 않는다.
DC 바이어스 발생유닛(120)은 플라즈마 발생부(110) 하부에 설치된다. 도 5를 참조하면, 바람직하게, DC 바이어스 발생유닛(120)은 플라즈마가 통과할 수 있도록 그리드(grid; 122) 형상을 가지며 금속재질로 그 표면이 아노다이징(anodizing) 처리된다.
이러한 구성에 의하면, 플라즈마 생성시 발생하는 이온, 특히 양이온이 트랩되어 기판이나 박막에 손상을 가하는 것을 방지할 수 있다. 더욱이, 표면을 아노다이징 처리함으로써 플라즈마 발생시 금속 불순물에 의한 오염을 방지할 수 있게 된다.
DC 바이어스 발생유닛(120)의 하부에는 다수의 제 1 플라즈마 안내공(132)이 형성된 제 1 샤워헤드(130)가 설치된다.
도 3a에 도시된 바와 같이, 제 1 플라즈마 안내공(132)은 방사상으로 형성될 수 있으며, 후술하는 바와 같이, 제 1 플라즈마 안내공(132)에는 제 2 플라즈마 안내공(152)까지 연결되는 플라즈마 안내관(156)이 끼워질 수 있다.
제 1 샤워헤드(130)와 제 2 샤워헤드(150) 사이에는 소스/퍼지가스 도입부(140)가 형성되고, 소스/퍼지가스 도입부(140)에는 측부로부터 다수의 소스/퍼지가스 도입관(104)이 연통된다.
도 3b를 참조하면, 제 2 플라즈마 안내공(154)과 소스/퍼지가스 안내공(152)은 각각 제 2 샤워헤드(150)에서 방사상으로 배치하되 서로 교대로 배치된다.
또한, 도 2b를 참조하면, 다수의 소스/퍼지가스 도입관(104)이 일정한 회전각으로 이격되어 설치된다.
소스/퍼지가스 안내공(152)에는 소스/퍼지가스 안내관(157)이 끼워질 수 있으며, 상기한 바와 같이 플라즈마 안내관(156)이 제 1 샤워헤드(130)로부터 소스/퍼지가스 도입부(140)를 통하여 제 2 샤워헤드(150)까지 연장된다.
도 4를 참조하면, 플라즈마 안내관(156)과 소스/퍼지가스 안내관(157)의 입구측과 출구측은 각각 단부 쪽으로 직경이 커지는 테이퍼 형상(156a, 157a)으로 이루어질 수 있다.
이러한 구성에 의하면, 더 넓은 면적으로 균일한 가스 분사가 가능하다는 이점이 있다.
이러한 구성은 플라즈마 발생가스 도입관(102)이나 소스/퍼지가스 도입관 (104)의 출구 쪽에도 동일하게 적용할 수 있다.
이상과 같은 구성에 의하면, 다수의 플라즈마 발생가스 도입관으로부터 공급되는 플라즈마 발생가스에 의해 균일한 플라즈마가 생성되어 다수의 플라즈마 안내공을 통하여 기판에 제공됨과 동시에, 다수의 소스/퍼지가스 도입관으로 공급되는 소스/퍼지가스가 다수의 소스/퍼지가스 도입공을 통하여 기판에 제공됨으로써 박막을 균일하게 형성할 수 있게 된다.
또한, DC 바이어스 발생장치에 의해 플라즈마 생성시 발생하는 양이온을 확실하게 트랩함으로써 기판이나 박막의 손상을 방지하여 박막의 품질을 향상시킬 수 있다.
또한, 플라즈마 안내관과 소스/퍼지가스 안내관의 유입부와 유출부를 각각 단부 쪽으로 직경이 커지는 테이퍼 형상으로 형성함으로써, 더 넓은 면적으로 균일한 가스 분사가 가능하게 된다.
이상에서 본 발명의 실시예를 중심으로 설명하였지만, 당업자의 수준에서 다양한 변경과 변형을 가할 수 있다. 따라서, 본 발명은 상기한 실시예에 한정되어 해석되어서는 안 되며, 이하에 기재된 특허청구범위에 기초하여 해석되어야할 것이다.
이상에서 설명한 바와 같이, 본 발명에 따르면 플라즈마 발생가스를 기판에 균일하게 공급함으로써 형성되는 박막의 균일도를 향상시킬 수 있다.
또한, 플라즈마 발생시 생성되는 양이온을 적절하게 제어하여 박막을 품질을 향상시킬 수 있다.

Claims (5)

  1. 플라즈마 발생장치로서,
    챔버와 관련되어 설치되는 RF 안테나;
    상기 챔버 내 상부에 형성되며 다수의 플라즈마 발생가스 도입관이 균일하게 연통된 플라즈마 발생부;
    상기 플라즈마 발생부 하부에 설치되는 DC 바이어스 발생유닛;
    상기 DC 바이어스 발생유닛의 하부에 설치되며, 다수의 제 1 플라즈마 안내공이 형성된 제 1 샤워헤드;
    상기 제 1 샤워헤드 하부에 설치되며, 소스/퍼지가스 안내공과 각각 상기 제 1 플라즈마 안내공과 직접 연결되는 다수의 제 2 플라즈마 안내공이 형성된 제 2 샤워헤드를 포함하며,
    상기 제 1 샤워헤드와 제 2 샤워헤드 사이에는 소스/퍼지가스 도입부가 형성되고, 상기 소스/퍼지가스 도입부에는 다수의 소스/퍼지가스 도입관이 연통되는 것을 특징으로 하는 원거리 플라즈마 발생장치.
  2. 청구항 1에 있어서,
    상기 DC 바이어스 발생유닛은 그리드(grid) 형상을 가지며, 금속재질로 그 표면이 아노다이징(anodizing) 처리된 것을 특징으로 하는 원거리 플라즈마 발생장치.
  3. 청구항 1에 있어서,
    상기 제 1 및 제 2 플라즈마 안내공과 소스/퍼지가스 안내공의 입구측과 출구측 그리고 상기 플라즈마 발생가스 도입관과 소스/퍼지가스 도입관의 출구측은 각각 단부 쪽으로 직경이 커지도록 테이퍼 처리된 것을 특징으로 하는 원거리 플라즈마 발생장치.
  4. 청구항 1에 있어서,
    상기 제 1 플라즈마 안내공과 상기 제 2 플라즈마 안내공 및 소스/퍼지가스 안내공은 각각 상기 제 1 샤워헤드와 상기 제 2 샤워헤드에서 방사상으로 형성되며, 상기 제 2 샤워헤드에서 상기 제 2 플라즈마 안내공 및 소스/퍼지가스 안내공은 방사상으로 교대로 배치되는 것을 특징으로 하는 원거리 플라즈마 발생장치.
  5. 청구항 1에 있어서,
    상기 다수의 플라즈마 발생가스 도입관은 상기 플라즈마 발생부의 상부 또는 측부로부터 연통되는 것을 특징으로 하는 원거리 플라즈마 발생장치.
KR1020060015759A 2006-02-17 2006-02-17 원거리 플라즈마 발생장치 KR100752622B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020060015759A KR100752622B1 (ko) 2006-02-17 2006-02-17 원거리 플라즈마 발생장치
PCT/KR2007/000414 WO2007094572A1 (en) 2006-02-17 2007-01-24 Apparatus for generating remote plasma
US11/703,621 US20070193515A1 (en) 2006-02-17 2007-02-07 Apparatus for generating remote plasma
JP2007033886A JP2007227375A (ja) 2006-02-17 2007-02-14 遠距離プラズマ発生装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060015759A KR100752622B1 (ko) 2006-02-17 2006-02-17 원거리 플라즈마 발생장치

Publications (2)

Publication Number Publication Date
KR20070082746A true KR20070082746A (ko) 2007-08-22
KR100752622B1 KR100752622B1 (ko) 2007-08-30

Family

ID=38371718

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060015759A KR100752622B1 (ko) 2006-02-17 2006-02-17 원거리 플라즈마 발생장치

Country Status (4)

Country Link
US (1) US20070193515A1 (ko)
JP (1) JP2007227375A (ko)
KR (1) KR100752622B1 (ko)
WO (1) WO2007094572A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914398B1 (ko) * 2007-11-06 2009-08-31 주식회사 케이씨텍 플라즈마 기판 처리 장치
EP2178106A2 (en) 2008-10-20 2010-04-21 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
KR100974962B1 (ko) * 2008-01-21 2010-08-09 한양대학교 산학협력단 플라즈마 처리장치

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
US20120100311A1 (en) * 2009-08-28 2012-04-26 Kyocera Corporation Apparatus for forming deposited film and method for forming deposited film
JP5909484B2 (ja) * 2010-04-28 2016-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
TWI427183B (zh) * 2010-11-25 2014-02-21 Ind Tech Res Inst 電漿處理裝置
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102802336A (zh) * 2011-05-26 2012-11-28 株式会社Biemt 工程气体分离供给型大气压等离子装置及其使用方法
KR101947519B1 (ko) * 2011-05-27 2019-02-14 한국생산기술연구원 다층 샤워헤드 및 그 밀봉방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103796413B (zh) * 2012-11-01 2017-05-03 中微半导体设备(上海)有限公司 等离子反应器及制作半导体基片的方法
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
KR101420709B1 (ko) * 2013-03-11 2014-07-22 참엔지니어링(주) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
WO2018115335A1 (de) * 2016-12-23 2018-06-28 Plasmatreat Gmbh Düsenanordnung und vorrichtung zur erzeugung eines atmosphärischen plasmastrahls
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP7109947B2 (ja) * 2018-03-19 2022-08-01 日産自動車株式会社 プラズマ処理装置及び該プラズマ処理装置を用いた排気ガス浄化装置。
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20230230809A1 (en) * 2020-06-01 2023-07-20 Tokyo Electron Limited Plasma processing device and plasma processing method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR970064327A (ko) * 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
KR100243446B1 (ko) * 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914398B1 (ko) * 2007-11-06 2009-08-31 주식회사 케이씨텍 플라즈마 기판 처리 장치
KR100974962B1 (ko) * 2008-01-21 2010-08-09 한양대학교 산학협력단 플라즈마 처리장치
EP2178106A2 (en) 2008-10-20 2010-04-21 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma

Also Published As

Publication number Publication date
WO2007094572A1 (en) 2007-08-23
JP2007227375A (ja) 2007-09-06
KR100752622B1 (ko) 2007-08-30
US20070193515A1 (en) 2007-08-23

Similar Documents

Publication Publication Date Title
KR100752622B1 (ko) 원거리 플라즈마 발생장치
KR102098698B1 (ko) 플라즈마 처리 장치
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
TW201508806A (zh) 等離子體處理裝置
US9431218B2 (en) Scalable and uniformity controllable diffusion plasma source
US6833050B2 (en) Apparatus for manufacturing semiconductor device
JP3748230B2 (ja) プラズマエッチング装置及びシャワープレート
JP4123428B2 (ja) エッチング方法
US10600622B2 (en) Focus ring with uneven pattern and plasma-processing apparatus including the same
KR100488057B1 (ko) 다중 배열된 평판 전극 어셈블리 및 이를 이용한 진공프로세스 챔버
KR20080028848A (ko) 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
KR100807287B1 (ko) 플라즈마 처리장치
KR100725614B1 (ko) 플라즈마 처리 장치
KR101161169B1 (ko) 다중 용량 결합 전극 어셈블리 및 이를 구비한 플라즈마 처리장치
KR20100136857A (ko) 다중 플라즈마 발생 영역을 갖는 플라즈마 반응기
KR101016810B1 (ko) 플라즈마 표면처리 장치
KR101262904B1 (ko) 플라즈마 식각 장치
KR101093601B1 (ko) 다중 용량 플라즈마 처리장치 및 방법
KR20040063285A (ko) 플라즈마 발생 방법 및 그 장치
KR101237400B1 (ko) 플라즈마 식각 장치
KR20040069746A (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
CN116314219A (zh) 基板处理装置及方法
KR20050008066A (ko) 반도체 소자 제조용 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140703

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160705

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180702

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190624

Year of fee payment: 13