KR20070000605A - 포토레지스트 처리 방법 및 포토레지스트의 제거 방법. - Google Patents

포토레지스트 처리 방법 및 포토레지스트의 제거 방법. Download PDF

Info

Publication number
KR20070000605A
KR20070000605A KR1020050056082A KR20050056082A KR20070000605A KR 20070000605 A KR20070000605 A KR 20070000605A KR 1020050056082 A KR1020050056082 A KR 1020050056082A KR 20050056082 A KR20050056082 A KR 20050056082A KR 20070000605 A KR20070000605 A KR 20070000605A
Authority
KR
South Korea
Prior art keywords
photoresist
monomer
film
water
substrate
Prior art date
Application number
KR1020050056082A
Other languages
English (en)
Other versions
KR100839349B1 (ko
Inventor
정대혁
강대근
차세호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050056082A priority Critical patent/KR100839349B1/ko
Priority to US11/475,154 priority patent/US7527921B2/en
Publication of KR20070000605A publication Critical patent/KR20070000605A/ko
Application granted granted Critical
Publication of KR100839349B1 publication Critical patent/KR100839349B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

오존 가스 및 수증기를 이용하는 포토레지스트 처리 방법 및 포토레지스트 제거 방법이 개시되어 있다. 수증기와 오존 가스를 사용하여 수득한 제1 물질을 사용하여 포토레지스트의 단량체로부터 소수성기를 이탈시킨다. 세정 물질을 사용하여 상기 소수성기가 이탈된 포토레지스트를 수용성 상태를 갖는 포토레지스트로 변환시키 후 물을 이용한 세정공정을 수행하여 포토레지스트막을 기판으로 제거할 수 있다. 상기 방법은 포토레지스트막을 기판의 손상 및 열화 없이 보다 빠른 시간 내에 깨끗이 제거할 수 있다.

Description

포토레지스트 처리 방법 및 포토레지스트의 제거 방법.{METHOD OF TREATING PHOTORESIST LAYER AND METHOD OF REMOVING PHOTORESIST LAYER}
도 1은 본 발명의 일 실시예에 따른 포토레지스트 제거 방법을 나타내는 공정흐름도이다.
도 2 내지 도 4는 도 1의 포토레지스트 제거 방법이 적용되는 반도체 소자의 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 3 내지 도 10은 본 발명의 실시예 3에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 5 내지 도 11은 도 1의 포토레지스트 제거 방법이 적용되는 반도체 소자의 제조방법을 나타내는 단면도들이다.
도 12는 포토레지스트 제거 공정시 챔버의 온도 변화에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
도 13은 포토레지스트 제거 공정시 챔버의 압력 변화에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
도 14는 포토레지스트 제거 공정시 오존 가스밀도에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
<도면의 주요 부분에 대한 부호의 설명>
100 : 반도체 기판 102 : 대상막
104 : 포토레지스트 패턴 106: 대상막 패턴
본 발명은 반도체 소자의 제조방법에 관한 것으로, 보다 상세하게는 ArF 광에 의해 노광된 포토레지스트 처리방법 및 이를 이용한 포토레지스트 제거 방법에 관한 것이다.
포토레지스트는 반도체 소자를 제작하는데 있어 기판을 미세하게 패터닝하는 일련의 포토리소그라피 공정에서 사용되며, 광 또는 전자선 등의 조사에 의해 현상용매에 대한 용해성이 변화하는 내 에칭성 피막재료이다. 반도체 소자의 급격한 집적도 향상은 포토레지스트 자체의 해상도 및 광원의 해상도 향상에 의해서 이루어진다. 광원의 해상도는 광원의 단파장화와 더불어 향상된다. 광원의 단파장화에 따른 광원의 개발과 더불어, 상기 광원에 상응하는 i-line(365nm) 포토레지스트, KrF(248nm) 포토레지스트, ArF(193nm) 포토레지스트들이 개발되었다.
KrF 광을 이용하는 포토리소그라피 공정은 i-line 대비 해상도(resolution) 및 초점심도(DOF) 등의 성능면에서 우수하지만, 공정제어가 쉽지 않다. 이러한 문제는 단 파장에서 기인된 광학적인 원인과 화학증폭형 포토레지스트의 사용에 의한 화학적인 원인으로 구분된다. 상기 화학증폭형 포토레지스트는 i-line광을 이용하는 포토리소그라피 공정에서 사용되는 용해억제형 포토레지스트와는 달리 노광후 발생된 산의 촉매작용에 의해 패턴이 형성되는데, 산이 대기중이나 기판 상에 존재하는 염기성 성분에 의해 산(acid)이 손실될 경우에 패턴에 불량이 발생하게된다.
상기 KrF 광을 이용하는 포토리소그라피 공정 이후의 기술로는 ArF 광을 이용한 포토리소그래피 기술이다. ArF 노광 기술을 적용되기 위해서는 ArF용 포토레지스트의 적용이 필수적이다. ArF용 포토레지스트는 KrF용 포토레지스트와 같은 화학 증폭형 포토레지스트이지만 KrF용 포토레지스트와 달리 탄소와 탄소가 이중 결합된 벤젠(benzene)고리를 갖는 폴리머를 사용할 수 없다. 상기 벤젠 고리는 포토레지스트의 건식 식각 내성을 화보하기 위해 i-line 및 KrF용 포토레지스트에 사용되어 왔다. 그러나, 상기 벤젠 고리가 ArF용 포토레지스트에 적용될 경우 상기 벤젠 고리는 193nm 파장을 흡수하기 때문에 투명성이 떨어져 포토레지스트 하부까지 노광되지 않는 문제가 발생한다. 이 때문에, 탄소와 탄소가 단일 결합된 폴리머를 포함하는 ArF용 포토레지스트가 개발되었다.
상기 포토레지스트로 형성된 KrF용 포토레지스트 패턴은 식각공정에서 식각마스크로 사용한 후 제거된다. 상기 포토레지스트 패턴은 산소 플라즈마를 이용한 에싱 공정 또는 오존 가스를 이용한 에싱 공정을 수행하여 제거된다. 상기 오존 가스를 이용하는 포토레지스트 에싱 공정은 산소 플라즈마를 이용한 에싱 공정 보다 기판의 손상을 최소화할 수 있는 장점을 가지고 있다.
그러나, 100nm이하의 선폭을 갖는 반도체 소자의 패턴을 형성하기 위해 적용되는 ArF용 포토레지스트 패턴은 상기 오존 가스를 이용한 에싱 공정으로 제거되지 않는다. 상기 오존 가스를 이용한 포토레지스트 패턴의 제거는 포토레지스트에 포 함된 폴리머를 산화시켜 수용성 상태를 갖는 포토레지스트 패턴으로 형성하는 메커니즘을 갖는다.
이에 따라, 상기 KrF용 포토레지스트 패턴은 탄소-탄소 결합이 이중결합을 갖는 벤젠고리를 포함하고 있어 산화가 가능하지만, 상기 ArF용 포토레지스트 패턴은 탄소와 탄소가 단일 결합으로 이루어진 폴리머로 이루어져 있기 때문에 산화가 불가능하다. 즉, ArF용 포토레지스트 패턴은 오존 가스를 이용하여 제거할 수 없다.
상기한 문제점을 해결하기 위한 포토레지스트 제거 방법이 일본공개특허 제2002-23169호 및 일본 공개특허 제 2004-071966호에 개시되어 있다. 상기 포토레지스트 제거 방법은 엑시머(Eximer) 광을 이용하여 강제로 상기 포토레지스트 패턴을 구성하는 폴리머들의 탄소와 탄소의 단일 결합을 끊고, 상기 결합이 끊어짐으로 인해 탄소와 탄소의 이중결합을 갖는 폴리머를 오존 가스로 산화시키는 방법을 채택하고 있다.
그러나, 포토레지스트 제거 방법은 상기 엑시머 광원이 구비되는 별도의 공정설비 내에서 상기 공정을 추가적으로 수행해야 하기 때문에 공정시간의 연장 및 고가의 공정설비의 도입시켜야 하는 문제점이 발생된다.
상술한 문제점을 해결하기 위한 본 발명의 목적은 광을 이용한 포토레지스트의 폴리머를 분해시키는 공정 없이 오존 가스와 수증기와 세정 용액을 이용하여 수용성 상태를 갖는 포토레지스트를 형성하기 위한 포토레지스트 처리 방법을 제공하 는데 있다.
또한, 본 발명의 다른 목적은 상기 포토레지스트 처리 방법을 이용하여 포토레지스트를 제거하는 방법을 제공하는데 있다.
상술한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 포토레지스트 처리 방법에 따르면, 수증기와 오존 가스를 사용하여 수득한 제1 물질을 사용하여 포토레지스트의 단량체로부터 소수성기를 이탈시킨다. 세정 물질을 사용하여 상기 소수성기가 이탈된 포토레지스트를 수용성 상태를 갖는 포토레지스트로 변환시킨다. 상기 포토레지스트 처리 공정은 별도의 광을 조사하는 단계 없이 기판에 형성된 포토레지스트를 수용성 상태를 갖도록 형성할 수 있다.
상기 포토레지스트는 ArF 광에 의해 노광되는 포토레지스트로서, 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머로 이루어진 단량체를 포함한다. 상기 제1 물질은 하이드록시 이온으로 오존 가스와 수증기의 반응으로 형성된다. 상기 하이드록시 이온는 상기 단량체의 탄소에 결합됨으로 인해 상기 단량체로부터 상기 소수성기를 이탈시킨다. 상기 오존 증기는 약 150 내지 250g/cm3의 가스 밀도를 갖는다. 상기 오존 증기를 제공하는 단계 내지 상기 수용성 상태를 갖는 포토레지스트를 형성하는 단계는 90 내지 120℃의 온도에서 수행하는 것이 바람직하다.
상기 수용성 상태를 갖는 포토레지스트는 상기 소수성기가 이탈된 단량체에 친수성 부분인 수산기 또는 알칼리 염이 형성됨으로 인해 변환된다. 상기 세정 물질로는 알칼리 수용액 또는 산성 수용액을 들 수 있다.
상술한 다른 목적을 달성하기 위한 본 발명의 일 실시예에 따른 포토레지스트 제거 방법에 따르면, 수증기와 오존 가스를 제공함으로써 기판 상에 코팅된 포토레지스트막의 단량체로부터 소수성기(hydrophobic property of the group)를 이탈시킨다. 상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트막에 세정 용액을 제공하여 수용성 상태를 갖는 포토레지스트를 형성한다. 상기 수용성 상태를 갖는 포토레지스트를 물을 이용한 세정공정을 수행하여 상기 기판으로부터 제거한다.
상기에서 언급한 포토레지스트 제거 방법은 상기 기판에 잔류하는 ArF용 포토레지스트를 엑시머 광(Eximer light)을 이용하여 분해시키는 공정을 별도로 수행하지 않고, 단지 수증기와 오존가스가 혼합된 오존 증기 및 세정 용액을 이용하여 물에 의해 쉽게 용해될 수 있는 수용성 상태의 포토레지스트를 형성할 수 있다. 따라서, 상기 수용성 상태의 포토레지스트는 이후 물을 이용한 세정 공정시 모두 제거될 수 있다. 이 때문에 상기 포토레지스트 제거 방법이 적용되는 반도체 소자의 패턴형성 방법은 반도체 제조 공정의 진행시간의 연장 없이 포토레지스트fmf 효과적으로 제거할 수 있다. 이 때문에 반도체 소자 제조 공정의 스루풋을 향상시킬 수 있다.
이하, 본 발명에 따른 바람직한 실시예에 따른 포토레지스트 제거 방법 및 이를 이용한 반도체 소장의 패턴 형성 방법이 첨부된 도면을 참조하여 상세하게 설명하기로 한다. 하지만, 본 발명이 하기의 실시예에 의해 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다.
첨부된 도면에 있어서, 기판, 층(막), 개구부, 영역, 패턴들 또는 구조물들 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 개구부, 패턴들 또는 구조물들이 기판, 각 층(막), 영역 또는 패턴들의 "상에", "저면에" "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 개구부, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 패드 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 패턴, 또는 다른 구조물들이 기판 상에 추가적으로 형성될 수 있다. 또한, 각 층(막), 영역, 패턴 또는 구조물들이 "제1", "제2" 및/또는 "제3"으로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 층(막), 영역, 패드, 개구부, 패턴 또는 구조물들을 구분하기 위한 것이다. 따라서, "제1", "제2" 및/또는 "제3"은 각 층(막), 영역, 트랜치, 패턴 또는 구조물들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.
포토레지스트의 처리 방법
본 발명의 포토레지스트 처리 방법은 수증기와 오존 가스를 사용하여 수득한 제1 물질을 사용하여 포토레지스트에 포함된 단량체로부터 소수성기를 이탈시킨 후 세정 물질을 사용하여 상기 소수성기가 이탈된 포토레지스트를 수용성 상태를 갖는 포토레지스트로 변환시키는 방법이다. 즉, 물에 의해 쉽게 제거될 수 있는 상태를 갖는 포토레지스트를 형성하는 방법이다.
상기에서 언급한 포토레지스트는 다양한 종류의 포토레지스트를 사용하여 형성할 수 있지만, 본 실시예서는 적용되는 포토레지스트는 ArF 광에 의해 노광되는 ArF용 포토레지스트를 사용하여 형성된다. 특히, 상기 ArF용 포토레지스트는 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머로 이루어진 단량체를 포함한다. 상기 포토레지스트막에 포함되는 폴리머의 예로서는 메타크릴레이트(methacrylate), VEMA(Vinyl Ether Methacrylate), COMA (Cyclo-Olefin Methacrylate)등을 들 수 있다.
또한, 상기 포토레지스트는 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 단량체를 포함하며, 소수성기(소수성기)을 포함하기 때문에 오존가스를 이용하여 상기 포토레지스트를 산화시키는 것이 용이하지 않다. 또한, 상기 단량체에 친수성 부분이 형성되지 못하는 문제점을 갖는다. 따라서, 물을 이용한 세정공정을 수행 경우 상기 포토레지스트는 기판으로부터 제거가 용이하지 않는 문제점을 갖는다.
상기 제1 물질은 상기 오존 가스(O3)와 수증기(H2O)의 반응으로 형성된 음의 전하를 갖는 하이드록시 이온(OH-)이다. 상기 하이드록시 이온은 상기 포토레지스트의 단량체에 결합된 소수성기를 상기 단량체로부터 이탈시키는 역할을 한다. 구체적으로 하이드록시 이온은 상기 포토레지스트의 단량체에 포함된 탄소와 결합하여 상기 단량체로부터 소수성기(OR)를 이탈시킨다.
상기 수용성 상태를 갖는 포토레지스트로 변화시키기 위해 적용되는 세정 물질의 예로서는 알칼리 용액과 산성 용액을 들 수 있다.
상기 알칼리 용액은 pH 7이상을 갖는 용액으로 수산화암모늄 용액, 수산화나트륨 용액, 수산화칼륨 용액 및 TMAH(Tetramethyl ammonium hydoxide)용액 등을 예를 들 수 있다. 상기 알칼리 용액은 상기 단량체에 포함된 양이온 결합사이트로 알칼리 이온을 제공하기 위해 사용된다.
또한, 산성 용액은 pH 7이하의 용액으로 예컨대 염산 용액, 질산 용액, 황산용액등을 들 수 있다. 상기 산성 용액은 상기 단량체에 포함된 양이온 결합사이트로 수소 이온을 제공하기 위해 사용된다.
상기 포토레지스트 처리 방법은 별도의 광을 조사하는 단계 없이 오존 가스와 수증기가 제공됨으로 인해 형성된 하이드록시 이온 및 세정 물질을 이용하여 기판에 형성된 포토레지스트를 수용성 상태를 갖는 포토레지스트로 형성할 수 있다.
포토레지스트 제거 방법
도 1은 본 발명의 일 실시예에 따른 포토레지스트 제거 방법을 나타내는 공정흐름도이다.
도 1을 참조하면, 기판 상에 포토레지스트막를 형성한다(S110).
일 예로 상기 포토레지스트막은 식각 마스크로 적용되는 포토레지스트 패턴이다. 상기 포토레지스트 패턴의 형성하기 위해 기판 상에 잔류하는 오염물 등을 제거하기 위해 상기 기판의 표면을 세정한다. 포토레지스트 조성물을 코팅한 후 제 1 베이킹 공정을 수행하여 상기 기판에 대하여 접착성이 증가된 포토레지스트막을 형성한다. 상기 포토레지스트막을 노광 장치를 적용하여 선택적으로 노광한다. 상기 노광 공정에서 사용할 수 있는 광으로는 193㎚의 파장을 갖는 레이저(laser of ArF)를 들 수 있다. 상기 노광 공정이 수행된 포토레지스트막이 형성된 기판에 제2 베이킹 공정을 수행한 후 현상액을 이용하여 상기 노광된 부위의 포토레지스트막을 용해시킨다. 이후 린스 공정을 수행함으로써 포토레지스트 패턴이 형성된다.
상기에서 언급한 포토레지스트막은 다양한 종류의 포토레지스트를 사용하여 형성할 수 있지만, 본 실시예서는 적용되는 포토레지스트막은 ArF 광에 의해 노광되는 ArF용 포토레지스트를 사용하여 형성된다. 특히, 상기 ArF용 포토레지스트는 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머로 이루어진 단량체를 포함한다. 상기 포토레지스트막에 포함되는 폴리머의 예로서는 메타크릴레이트(methacrylate), VEMA(Vinyl Ether Methacrylate), COMA (Cyclo-Olefin Methacrylate)등을 들 수 있다.
상기 기판에 형성되는 포토레지스트막은 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 단량체를 포함하며, 소수성기(소수성기)을 포함하기 때문에 오존 증기(수증기와 오존가스의 혼합물)를 이용하여 상기 포토레지스트를 산화시키는 것이 용이하지 않을 뿐만 아니라 상기 단량체에 친수성 부분이 형성되지 못하는 문제점을 갖는다. 따라서, 물을 이용한 세정공정을 수행 경우 상기 포토레지스트는 기판으로부터 제거가 용이하지 않는 문제점을 갖는다.
상기 포토레지스트막이 형성된 기판의 상부로 오존 증기(Ozone vapor)를 제 공한다(S120).
상기 오존 증기는 오존 가스와 수증기를 포함하는 물질로써 상기 포토레지스트막을 구성하는 단량체에 포함된 소수성기를 상기 단량체로부터 이탈시키기 위해 제공된다. 상기 오존 증기는 상기 오존 가스(O3)와 수증기(H2O)의 반응으로 형성된 음의 전하를 갖는 하이드록시 이온(OH-)을 포함한다.
구체적으로 본 실시예에서 사용되는 오존 증기는 상기 포토레지스트을 구성하는 단량체와 직접적으로 반응을 하는지 않는다. 오존 증기는 상기 단량체에 포함된 탄소와 결합할 수 있는 음의 전하를 갖는 하이드록시 이온을 생성하기 위해 제공된다. 따라서, 본 실시예서는 상기 하이드록시 이온은 상기 포토레지스트을 구성하는 단량체에 결합된 소수성기를 상기 단량체로부터 이탈시키는 역할을 한다.
또한, 상기 기판의 상부로 제공되며 오존가스를 포함하는 오존 증기의 가스 밀도(오존의 농도)가 150g/cm3미만일 경우, 상기 포토레지스트막을 구성하는 단량체와 결합하는 상기 하이드록시 이온의 생성율이 작아지는 문제점이 발생한다. 이로 인해, 상기 포토레지스트막을 구성하는 단량체에 포함된 소수성기를 이탈되는 능력이 감소된다. 또한, 상기 포토레지스트막을 제거하기 하는 공정시간이 연장되는 문제점이 초래된다.
반면에 상기 오존 증기의 가스 밀도가 250g/cm3을 초과할 경우 상기 포토레지스트막을 구성하는 단량체에 포함된 소수성기를 이탈시키는 효과를 증가시킬 수 있으나 상기 250g/cm3을 초과하는 가스밀도를 갖는 오존 증기를 형성하기 어려운 문제점 있다.
따라서, 상기 오존 증기는 수증기와 오존 발생기에서 생성된 오존 가스가 챔버 내에서 혼합됨으로서 생성되며, 약 150 내지 250g/cm3의 가스 밀도를 갖는 것이 바람직하다. 일 예로, 상기 오존 가스에는 순수 오존(O3)과 산소(O2)와 산소 라디칼을 포함한다. 상기 수증기는 양의 전하는 갖는 수소 이온(H+)과 음의 전하를 갖는 하이드록시 이온(OH-)을 포함한다.
이어서, 오존 증기에 포함된 하이드록시 이온을 이용하여 상기 포토레지스트막을 구성하는 단량체에 포함된 소수성기를 상기 단량체로부터 이탈시킨다(S130).
상기 수증기와 오존 가스의 반응으로 형성된 하이드록시 이온은 상기 포토레지스트막을 구성하는 단량체에 포함된 탄소와 결합 반응하여 상기 단량체로부터 소수성기(OR)을 이탈시킬 수 있다.
상기 하이드록시 이온의 결합 및 소수성기의 이탈로 인해 상기 단량체에는 음의 전하를 갖는 산소를 포함한다. 구체적으로 상기 단량체는 음의 전하를 갖는 COO이온(COO-)을 포함한다. 상기 단량체에 존재하는 음의 전하를 갖는 산소는 양의 전하를 갖는 수소이온 또는 양의 전하를 갖는 알칼리 이온과 결합할 수 있는 양이온 결합 사이트에 해당한다.
상기 하드이드록시 이온의 결합으로 인해 상기 단량체로부터 이탈된 소수성기는 음의 전하를 갖는 산소 이온을 포함한다. 상기 소수성기에 존재하는 산소 이 온은 상기 포토레지스트 내에 잔류하는 수소 이온과 결합한다. 따라서, 상기 소수성기에는 하이드록시기가 생성되어 상기 소수성기는 친수성기로 변환될 수 있다.
상기 포토레지스트막을 구성하는 단량체에 포함된 소수성기를 상기 단량체로부터 이탈시키는 반응은 약 90 내지 120℃의 온도 및 40 내지 100kpa의 압력에서 수행되는 것이 바람직하다.
상기 하이드록시 이온의 결합 및 소수성기를 단량체로부터 이탈시키기 위한 공정 온도가 90℃ 미만일 경우 상기 포토레지스트막을 구성하는 단량체로부터 소수성기가 이탈되는 반응이 낮아지는 문제점이 발생한다. 반면에 120℃를 초과할 경우에는 상기 기판에 포함된 패턴들의 열적 스트레스가 증가된다.
상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트막에 세정 용액을 제공하여 수용성 상태를 갖는 포토레지스트막을 형성한다(S140). 상기 수용성 상태를 갖는 포토레지스트막을 형성하는 반응은 약 90 내지 120℃의 온도 및 40 내지 100kpa의 압력에서 수행되는 것이 바람직하다.
상기 수용성 상태를 갖는 포토레지스트를 형성하기 위해 적용되는 세정용액의 예로서는 알칼리 용액과 산성 용액을 들 수 있다.
상기 알칼리 용액은 pH 7이상을 갖는 용액으로 수산화암모늄 용액, 수산화나트륨 용액, 수산화칼륨 용액 및 TMAH(Tetramethyl ammonium hydoxide)용액 등을 예를 들 수 있다.
일 예로 상기 알칼리 용액이 수산화암모늄 용액일 경우 상기 수산화암모늄 용액은 양의 전하를 갖는 암모늄 이온(NH4+)과 음의 전하를 갖는 하이드록시 이온 (OH-)을 포함한다. 상기 수산화암모늄 용액을 상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트막에 제공하면, 상기 수산화암모늄 용액에 포함된 암모늄 이온이 상기 포토레지스트막을 구성하는 단량체에 존재하는 양이온 결합 사이트에 결합된다. 상기 암모늄 이온의 결합으로 상기 단량체는 암모늄염을 포함하게된다. 상기 암모늄염은 물에 용해될 수 있는 친수성 성질을 갖는다. 이로 인해 상기 포토레지스트막은 수용성 상태를 갖는다.
다른 예로 상기 알칼리 용액이 TMAH 용액일 경우 상기 TMAH 용액은 양의 전하를 갖는 테트라메틸암모늄 이온과 음의 전하를 갖는 하이드록시 이온을 포함한다. 상기 TMAH 용액을 상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트막에 제공하면, 상기 TMAH 용액에 포함된 테트라 메틸암모늄 이온은 상기 단량체에 존재하는 양이온 결합 사이트에 결합된다. 상기 테트라 메틸암모늄 이온의 결합으로 상기 단량체는 테트라 메틸암모늄염을 포함한다. 상기 테트라 메틸암모늄염은 물에 용해될 수 있는 친수성 성질을 갖는다. 이로 인해 상기 포토레지스트막은 수용성 상태를 갖는다.
또한, 산성 용액은 pH 7이하의 용액으로 예컨대 염산 용액, 질산 용액, 황산용액등을 들 수 있다. 상기 산성 용액은 상기 단량체에 포함된 양이온 결합사이트로 수소 이온을 제공하기 위해 사용된다. 상기 수소 이온의 제공으로 상기 단량체에 포함된 양이온 사이트에 수소이온이 결합하면, 상기 단량체는 하이드록시기(OH)를 포함하게된다. 상기 하이드록시기는 물에 용해될 수 있는 친수성 성질을 갖기 때문에 상기 포토레지스트막은 수용성 상태를 갖는다.
상기 수용성 상태를 갖는 포토레지스트를 물을 이용한 세정공정을 수행하여 상기 기판으로부터 제거한다(S150).
상기 세정 공정은 물을 이용하여 상기 기판에서 수용성 상태를 갖는 포토레지스트막을 기판에 잔류하지 않도록 세정하는 공정이다. 일 예로 상기 세정공정은 세정조에 수용된 물에 기판을 함침시켜 초음파 세정함으로써 수행될 수 있다. 다른 예로 상기 세정공정은 회전하는 기판의 표면으로 물을 분사시켜 수행할 수 있다. 이는 상기 포토레지스트막이 상기 물에 의해 제거될 수 있는 상태를 갖기 때문에 가능한 것이다.
도면에 도시하지 않았지만, 상기 세정공정 이후 이소프로필 알코올 증기를 이용한 건조 공정을 더 수행할 수 있다.
위에서 구체적으로 언급한 소수성기(OR)의 이탈 및 수용성 상태를 포토레지스트막으로 형성되는 반응 메커니즘의 일 예는 하기와 같다.
Figure 112005034484316-PAT00001
상기한 반응 메커니즘을 갖는 갖은 포토레지스트막의 제거 방법은 상기 기판 에 잔류하는 ArF용 포토레지스트막을 엑시머 광(Eximer light)을 이용하여 분해시키는 공정을 별도로 수행하지 않고, 오존 증기, 세정 용액 및 물을 이용하여 손쉽게 제거할 수 있다. 또한, 포토레지스트의 제거 공정의 증가 없이 상기 기판에 잔류하는 포토레지스트막을 완전히 제거할 수 있어 반도체 제조 공정의 스루풋을 향상시킬 수 있다.
패턴 형성 방법
도 2 내지 도 4는 도 1의 포토레지스트 제거 방법이 적용되는 반도체 소자의 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 2를 참조하면, 우선 기판(100) 상에 대상막(102)을 형성한다. 상기 대상막의 예로써 금속막, 금속 산화막, 금속 질화막, 산화막, 폴리실리콘막, 베리어막 등을 들 수 있다. 상기 대상막은 이들을 단독 또는 혼합하여 사용할 수 있다.
상기 금속막의 예로서는 텅스텐막, 알루미늄막, 티타늄막, 구리막등을 들 수 있다. 금속 질화막의 예로서는 텅스텐 질화막, 티타늄 질화막등을 들 수 있다. 상기 산화막의 예로서는 BPSG(boro-phosphor silicate glass)막, PSG(phosphor silicate glass)막, USG(undoped silicate glass)막, SOG(spin on glass)막, PE-TEOS(plasma enhanced-tetraethylorthosilicate)막 등을 들 수 있다. 상기 대상막은 디램, 에스램, 플래쉬 메모리를 제조하는 적용될 수 있는 막으로 일 예로 폴리실리콘 상에 산화막이 적층된 구조를 가질 수 있다. 또한, 금속막 상에 산화막이 적층된 구조를 가질 수 있다.
도 3을 참조하면, 상기 기판(100) 상에 상기 대상막(102)의 표면 일부를 노출시키는 포토레지스트 패턴(104)을 형성한다. 보다 구체적으로, 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머로 이루어진 단량체를 포함하는 ArF 포토레지스트 조성물을 상기 대상막(102)이 형성된 기판 상에 균일하게 도포하여 포토레지스트 막(도시되지 않음)을 형성한다. 이어서, 상기 포토레지스트 막에 노광 및 현상 공정을 수행하여 상기 대상막(102) 상에 식각마스크인 포토레지스트 패턴(104)을 형성한다.
도 4를 참조하면, 상기 포토레지스트 패턴(104)을 식각 마스크로 사용하여 상기 대상막(102)의 노출된 부분을 선택적으로 식각한다. 이에 따라, 대상막(102)은 개구부(108)를 포함하는 대상막 패턴(106)으로 형성된다. 상기 대상막 패턴(106)은 디램, 에스램, 플래쉬 메모리를 제거하기 위해 형성되는 금속배선 또는 절연막 패턴일 수 있다. 상기 대상막 패턴(106) 식각가스를 이용한 건식 식각공정 또는 플라즈마 식각공정을 수행하여 형성할 수 있다.
도 4를 참조하면, 도 1에서 설명한 포토레지스트 제거 방법을 적용하여 상기 대상막 패턴 상에 존재하는 포토레지스트 패턴(104)을 상기 기판(100)으로부터 제거한다.
상기 포토레지스트 패턴을 제거하기 위해 포토레지스트 패턴(104)이 형성된 기판(100)에 수증기와 오존 가스가 혼합된 오존 증기를 제공하다. 상기 오존 증기는 150 내지 250g/cm3의 가스 밀도를 갖는다.
상기 수증기와 오존 가스의 반응으로 형성된 하이드록시 이온을 포함하는 상기 오존 증기를 이용하여 상기 포토레지스트 패턴(104)에 포함된 단량체의 소수성기를 상기 단량체로부터 이탈시킨다. 상기 소수성기는 상기 하이드록시 이온이 상기 단량체의 탄소에 결합됨으로 인해 상기 단량체로부터 이탈된다.
상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트 패턴에 세정 용액을 제공하여 수용성 상태를 갖는 포토레지스트를 형성한다. 상기 세정 용액은 알칼리 용액 또는 산성 용액을 포함한다. 또한, 상기 세정 용액으로 제공으로 인해 상기 소수성기가 이탈된 단량체는 친수성 부분인 수산기 또는 알칼리 염이 형성된다. 상기 오존 증기의 제공하는 단계 내지 상기 수용성 상태를 갖는 포토레지스트 패턴을 형성하는 단계는 90 내지 120℃의 온도에서 수행하는 것이 바람직하다.
상기 수용성 상태를 갖는 포토레지스트를 물을 이용한 세정공정을 수행하여 상기 기판으로부터 포토레지스트를 제거한다. 상술한 포토레지스트 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.
상기 포토레지스트 패턴의 제거 방법을 포함하는 통상의 반도체 소자의 제조공정을 수행하여 디램, 에스램, 플래쉬 메모리 소자와 같은 반도체 장치를 제조할 수 있다. 특히 포토레지스트 제거 방법은 반도체 소자의 양산성을 확보하는데 효과적으로 적용될 수 있다.
하기에서는 상기 포토레지스트 패턴의 제거 방법을 이용하여 형성된 대상막 패턴이 적용되고, 트랜지스터 및 커패시터를 포함하는 반도체 소자의 제조방법에 대하여 설명하기로 한다.
반도체 소자의 제조 방법
도 5 내지 도 11은 도 1의 포토레지스트 제거 방법이 적용되는 반도체 소자의 제조방법을 나타내는 단면도들이다.
도 5를 참조하면, 셸로우 트렌치 소자 분리(STI) 공정을 수행하여 반도체 기판(200) 상에 소자 분리막(205)을 형성하여 기판(200)을 액티브 영역 및 필드 영역으로 구분한다.
이어서, 열 산화법, 화학기상증착 공정 또는 원자층적층 공정으로 소자 분리막(205)이 형성된 기판(200) 상에 게이트 절연막을 형성한다. 여기서, 상기 게이트 절연막은 실리콘 산화막(SiO2)일 수 도 있고, 상기 실리콘 산화막 보다 높은 유전율을 갖는 물질로 이루어진 박막일 수 도 있다.
상기 게이트 절연막으로 사용되는 박막을 형성하기 위한 물질로는 예컨대 HfO2, ZrO2, Ta2O5, Y2O3, Nb2O5, Al2O3, TiO2, CeO2, In2O3, RuO2, MgO, SrO, B2O3, SnO2, PbO, PbO2, Pb3O4, V2O3, La2O3, Pr2O3, Sb2O3, Sb2O5, CaO등을 예를 들 수 있다. 이들은 단독 또는 혼합하여 사용할 수 있다.
상기 게이트 절연막 상에 제1 도전막 및 게이트 마스크를 순차적으로 형성한다. 상기 제1 도전막은 불순물로 도핑된 폴리실리콘으로 구성되며, 이후 게이트 전극으로 패터닝 된다. 한편, 상기 제1 도전막은 도핑된 폴리실리콘 및 금속 실리사 이드로 이루어진 폴리실리사이드 구조로 형성될 수 있다.
상기 게이트 마스크는 후속하여 형성되는 제1 층간절연막(미도시)에 대하여 높은 식각 선택비를 갖는 물질로 형성된다. 예를 들면, 제1 층간절연막(245)이 실리콘 산화물과 같은 산화물로 이루어질 경우에는, 상기 게이트 마스크층은 실리콘 질화물과 같은 질화물로 이루어진다. 상기 게이트 마스크는 질화막을 형성한 후 제1 포토레지스트 패턴에 노출된 질화막을 건식 식각함으로서 형성된다. 상기 게이트 마스크 형성 후 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포토레지스트 제거 방법을 이용하여 상기 제1 포토레지스트 패턴을 제거하였다. 상기 제1 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.
이어서, 상기 게이트 마스크를 식각마스크로 이용하여 상기 제1 도전막 및 상기 게이트 절연막을 순차적으로 패터닝한다. 이에 따라, 기판(200) 상에는 각기 게이트 절연막 패턴, 게이트 전극 및 게이트 마스크를 포함하는 게이트 구조물(230)들로 형성된다.
이어서, 게이트 구조물(230)들이 형성된 기판(200) 상에 실리콘 질화막을 형성한 후, 이를 이방성 식각하여 각 게이트 구조물(230)들의 양 측벽에 게이트 스페이서(225)를 형성한다.
게이트 스페이서(225)가 형성된 게이트 구조물(230)들을 이온 주입 마스크로 이용하여 게이트 구조물(230)들 사이에 노출되는 기판(200)에 이온 주입 공정으로 불순물을 주입한 후, 열처리 공정을 수행함으로써 기판(200)에 소오스/드레인 영역 에 해당되는 제1 콘택 영역(235) 및 제2 콘택 영역(240)을 형성한다.
제1 콘택 영역 및 제2 콘택 영역들(235, 240)은 커패시터를 위한 제1 패드(250)와 비트 라인을 위한 제2 패드(250)가 각기 접촉되는 커패시터 콘택 영역 및 비트 라인 콘택 영역으로 구분된다. 예를 들면, 제1 콘택 영역(235)은 제1 패드(250)가 접촉되는 커패시터 콘택 영역에 해당되며, 제2 콘택 영역(240)은 제2 패드(255)가 접속되는 비트 라인 콘택 영역에 해당된다. 이에 따라, 기판(200) 상에는 각기 게이트 구조물(230), 게이트 스페이서(225) 및 콘택 영역들(235, 240)을 포함하는 트랜지스터들이 형성된다.
게이트 구조물(230)들을 덮으면서 기판(200)의 전면에 산화물로 이루어진 제1 층간절연막(245)을 형성한다. 제1 층간절연막(245)은 BPSG, PSG, SOG, PE-TEOS, USG 또는 HDP-CVD 산화물을 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 고밀도 플라즈마 화학 기상 증착 공정 또는 원자층 적층 공정을 사용하여 형성한다.
이어서, 화학기계적연마 공정을 수행하여 제1 층간절연막(245)의 상부를 제거함으로써, 제1 층간절연막(245)의 상면을 평탄화시킨다. 본 발명의 일 실시예에 있어서, 제1 층간절연막(245)은 게이트 마스크(220)의 상면으로부터 소정의 높이를 갖게 형성된다. 본 발명의 다른 실시예에 따르면, 게이트 마스크의 상면이 노출될 때까지 제1 층간절연막(245)을 식각하여 제1 층간절연막(245)의 상면을 평탄화 할 수 있다.
이어서, 평탄화 공정이 수행된 제1 층간절연막(245) 상에 제2 포토레지스트 패턴(도시되지 않음)을 형성한 후, 상기 제2 포토레지스트 패턴을 식각 마스크로 이용하여 제1 층간절연막(245)을 부분적으로 이방성 식각함으로써, 제1 층간절연막(245)을 관통하여 제1 및 제2 콘택 영역(235, 240)을 노출시키는 제1 콘택홀들(도시되지 않음)을 형성한다. 상기 제1 콘택홀들은 게이트 구조물(230)들에 대하여 자기 정렬(self-alignment)되면서 제1 및 제2 콘택 영역(235, 240)을 노출시킨다.
상기 제1 콘택홀들 가운데 일부는 커패시터 콘택 영역인 제1 콘택 영역(235)을 노출시키며, 상기 제1 콘택홀들 중 다른 부분은 비트라인 콘택 영역인 제2 콘택 영역(240)을 노출시킨다.
이후, 상기 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포토레지스트 제거 방법을 이용하여 상기 제2 포토레지스트 패턴을 제거하였다. 상기 제2 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다. 이후, 상기 제1 콘택홀들을 매몰하면서 제1 층간절연막(245)을 덮는 제2 도전막을 형성한다. 상기 제2 도전막은 고농도의 불순물로 도핑된 폴리실리콘, 금속 또는 도전성 금속 질화물을 사용하여 형성할 수 있다.
이어서, 제1 층간절연막(245)의 상면이 노출될 때까지 화학 기계적 연마 공정 또는 에치백 공정을 수행함으로써 상기 제1 콘택홀들 내에 구비되는 자기 정렬 콘택(SAC) 패드인 제1 패드(250)와 제2 패드(255)를 형성한다. 제1 패드(250)는 커패시터 콘택 영역인 제1 콘택 영역(335)에 형성되고, 제2 패드(255)는 비트 라인 콘택 영역인 제2 콘택 영역(340)에 형성된다. 이에 따라, 제1 패드(250)는 커패시 터 콘택 영역에 전기적으로 접촉되며, 제2 패드(255)는 비트 라인 콘택 영역에 전기적으로 접촉된다.
이어서, 제1 및 제2 패드(250, 255)를 포함하는 제1 층간절연막(245) 상에 제2 층간절연막(260)을 형성한다. 제2 층간절연막(260)은 후속하여 형성되는 비트 라인(미도시)과 제1 패드(250)를 전기적으로 절연시키는 역할을 한다. 제2 층간절연막(260)은 BPSG, PSG, SOG, PE-TEOS, USG 또는 HDP-CVD 산화물을 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 고밀도 플라즈마 화학 기상 증착 공정 또는 원자층 적층 공정을 이용하여 형성할 수 있다.
상기 실시예에 있어서, 제1 및 제2 층간절연막(245, 260)은 전술한 산화물 가운데 동일한 물질을 사용하여 형성할 수 있다. 본 발명의 다른 실시예에 따르면, 제1 및 제2 층간절연막(245, 260)은 상기 산화물 중에서 서로 다른 물질을 사용하여 형성할 수 있다.
이어서, 화학기계적 연마공정을 수행하여 제2 층간절연막(260)의 상부를 평탄화한다. 이어서, 평탄화된 제2 층간절연막(260) 상에 제3 포토레지스트 패턴(도시되지 않음)을 형성한 후, 상기 제3 포토레지스트 패턴을 식각 마스크로 이용하여 제2 층간절연막(260)을 부분적으로 식각함으로써, 제2 층간절연막(260)에 제1 층간절연막(260)에 매몰된 제2 패드(255)를 노출시키는 제2 콘택홀(265)을 형성한다. 제2 콘택홀(265)은 후속하여 형성되는 비트 라인과 제2 패드(255)를 서로 전기적으로 연결하기 위한 비트 라인 콘택홀에 해당한다.
도 6을 참조하면, 상기 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포 토레지스트 제거 방법을 이용하여 상기 제3 포토레지스트 패턴을 제거하였다. 상기 제3 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다. 상기 제2 콘택홀(265)을 채우면서 제2 층간절연막(260) 상에 제3 도전막을 형성한다.
이어서, 상기 제3 도전막 상에 제4 포토레지스트 패턴(도시되지 않음)을 형성한 후, 상기 제4 포토레지스트 패턴을 식각 마스크로 이용하여 상기 제3 도전막을 식각함으로써, 제2 콘택홀을 통해 상기 제2 패드와 전기적으로 연결되는 비트 라인(270)을 형성한다. 이후, 상기 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포토레지스트 제거 방법을 이용하여 상기 제4 포토레지스트 패턴을 제거하였다. 상기 제4 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.
상기 비트 라인(270)은 대체로 금속/금속 화합물로 구성된 제1 층 및 금속으로 이루어진 제2 층으로 이루어진다. 예를 들면, 상기 제1 층은 티타늄/티타늄 질화물(Ti/TiN)로 이루어지며, 상기 제2 층은 텅스텐(W)으로 이루어진다.
이어서, 상기 비트 라인(270)이 형성된 제2 층간절연막(260)을 덮는 제3 층간절연막(275)을 형성한다. 제3 층간절연막(275)은 BPSG, PSG, SOG, PE-TEOS, USG 또는 HDP-CVD 산화물을 사용하여 형성된다. 상술한 바와 같이, 제3 층간절연막(342)은 제2 층간절연막(336)과 실질적으로 동일한 물질을 사용하거나 상이한 물질을 사용하여 형성할 수 있다.
이어서, 평탄화 공정을 수행하여 제3 층간절연막(275)의 상면을 평탄화 시킨 다. 본 발명의 일 실시예에 따르면, 인접하는 비트 라인(270)들 사이에 위치하는 제3 층간절연막(275) 내에 보이드가 발생하는 현상을 방지하기 위하여, 비트 라인(270) 및 제2 층간절연막(260) 상에 질화물로 이루어진 추가 절연막을 형성한 후, 상기 추가 절연막 상에 제3 층간절연막(275)을 형성할 수 있다.
이어서, 평탄화된 상면을 갖는 제3 층간절연막(275) 상에 제5 포토레지스트 패턴(도시되지 않음)을 형성한 후, 상기 제5 포토레지스트 패턴을 식각 마스크로 이용하여 제3 층간절연막(275) 및 제2 층간절연막(260)을 부분적으로 식각함으로써, 제1 패드(330)들을 노출시키는 제3 콘택홀(미도시)들을 형성한다. 제3 콘택홀들은 각기 커패시터 콘택홀에 해당된다. 이후, 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포토레지스트 제거 방법을 이용하여 상기 제5 포토레지스트 패턴을 제거하였다. 상기 제5 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다.
이어서, 제3 콘택홀들을 매몰하면서 제3 층간절연막(275) 상에 제4 도전막을 형성한 후, 화학기계적 연마공정을 수행하여 제3 콘택홀들에 존재하는 제3 패드(280)를 형성한다. 제3 패드(280)는 대체로 불순물로 도핑된 폴리실리콘으로 이루어지며, 제1 패드(250)와 후속하여 형성되는 하부 전극(미도시)을 서로 연결시키는 역할을 한다.
도 7은 식각방지막 및 개구부를 포함하는 몰드막 패턴을 형성하는 단계를 설명하기 위한 단면도이다.
도 7을 참조하면, 제3 패드(280)는 및 제3 층간절연막(275) 상에 식각방지막 (305)을 형성한다. 예를 들면, 상기 식각방지막(305)은 이후 상기 몰드막(310)에 개구부(C)을 형성하기 위해 상기 몰드막을 선택적으로 식각하는 공정을 수행할 경우 상기 제3 패드(280)의 식각 손상을 방지하기 위해 개재된다. 상기 식각방지막(305)은 약 10 내지 200Å 정도의 두께로 형성되며 상기 희생막에 대하여 식각율이 낮은 질화물이나 금속 산화물로 형성된다.
이어서, 상기 식각방지막(305) 상에 산화물을 증착하여 몰드막을 형성한다. 상기 몰드막은 BPSG, PSG, USG, SOG, PE-TEOS 등과 같은 산화물을 도포하여 형성할 수 있다. 상기 몰드막은 약 10000 내지 약 20,000Å 정도의 두께로 형성되며, 그 두께는 커패시터에 요구되는 커패시턴스에 따라 적절하게 조절 가능하다.
이어서, 몰드막 상에 마스크 패턴(미도시)을 형성한 후 상기 마스크 패턴에 노출된 몰드막을 선택적으로 이방성 식각하여 몰드막에 상기 식각방지막(305)의 표면을 노출시키는 개구부(312)들을 형성한다. 이후 상기 개구부(312)에 노출된 식각방지막을 선택적으로 제거하는 식각공정을 수행한다. 상기 개구부가 형성됨으로 인해 상기 몰드막은 몰드막 패턴(310)으로 형성된다.
도 8은 하부전극 및 희생막 패턴을 형성하는 단계를 설명하기 위한 단면도이다.
도 8을 참조하면, 상기 몰드막 패턴의 측멱과 저면을 노출시키는 개구부(312)들의 내벽 및 마스크 패턴의 상면에 하부 전극막(미도시)을 연속적으로 형성한다. 상기 하부 전극막은 텅스텐, 티타늄, 텅스텐 질화물 또는 티타늄 질화물로 형성될 수 있다. 특히 상기 하부전극막은 약 300 내지 500Å 정도의 두께로 형성되 는 것이 바람직하다.
이어서, 상기 하부 전극막이 형성된 개구부(312)들을 매몰하는 희생막을 형성한다. 일 예로 희생막은 산화물을 증착하여 형성할 수 있고, 다른 예로 포토레지스트를 도포하여 형성할 수 있다.
이어서, 화학 기계적 연마 공정을 수행하여 상기 몰드막 패턴의 상면이 노출될 때까지 상기 결과물들을 식각함으로써 개구부(312)들의 내벽에 구비되는 실린더 형상을 갖는 하부전극(320)형성된다. 이와 동시에 상기 하부전극이 형성된 개구부(312)들 내에 희생막 패턴(330)이 형성된다.
도 9를 참조하면,LAL 식각용액을 이용하여 상기 몰드막 패턴을 상기 기판(200)으로부터 제거한다. 상기 몰드막이 제거됨으로 인해 상기 하부 전극(320)은 기판으로부터 노출된다.
도 10을 참조하면, 상기 하부전극(220) 내에 잔류하는 희생막 패턴(330)인 포토레지스트 패턴을 상기 오존 가스, 알칼리 용액이 적용되는 본 실시예의 포토레지스트 제거 방법을 이용하여 제거한다. 상기 포토레지스트 패턴의 제거 방법 및 이를 수행하기 위한 조건들은 상기 도 1에서 상세히 설명하였기에 중복을 피하기 위해 생략한다. 그 결과, 상기 반도체 기판(200) 상에는 상기 제3 콘택 패드(280)와 연결되는 실린더 타입의 하부 전극(320)이 형성된다. 상기 하부 전극은 높은 종횡비를 가지면서 서로 인접하게 배치되는 패턴들을 포함하는 구조를 갖는다.
도 11은 유전막 및 상부전극을 형성하는 단계를 나타내는 단면도이다.
도 11은 참조하면, 상기 하부 전극(320)의 형성한 후, 상기 하부 전극(320) 의 표면에 유전막(340)을 형성한다.
구체적으로, 상기 유전막(340)은 산화물-질화물, 산화물-질화물-산화물, 금속 산화물 등을 포함한다. 그러나, 최근에는 등가 산화막 두께를 충분히 낮추면서도 양호한 누설 전류 특성을 갖는 금속 산화물을 선택하고, 원자층 적층을 수행하여 상기 유전막(340)을 형성하는 추세에 있다.
특히, 상기 유전막(340)을 형성하기 위한 원자층 적층의 수행에서는 반응 물질의 제공 → 퍼지 → 산화제의 제공 → 퍼지의 순서로 적어도 1회 반복한다. 그러면, 상기 하부 전극(56)의 표면에 금속 산화물의 유전막(340)이 형성된다. 여기서, 상기 반응 물질은 금속 전구체를 포함하는 물질로서, 하프늄 전구체를 포함하는 물질의 경우에는 TEMAH(tetrakis ethyl methyl amino hafnium, Hf[NC2H5CH3]4), 하프늄 부틸옥사이드(Hf(O-tBu)4) 등을 포함하고, 알루미늄 전구체를 포함하는 물질의 경우에는 TMA(trimethyl aluminum, Al(CH3)3) 등을 포함한다. 또한, 상기 산화제는 O3, O2, H2O, 플라즈마 O2, 리모트 플라즈마 O2 등을 포함한다.
계속해서, 상기 유전막(340)을 형성한 후, 상기 유전막(340)을 갖는 결과물 상에 상부 전극(350)을 형성한다. 상기 하부 전극과 마찬가지로, 상기 상부 전극(60)은 주로 폴리 실리콘, 금속, 금속 질화물 등을 포함한다. 그리고, 최근에는 상기 상부 전극(350)으로서 집적도 관점에서 보다 유리한 금속 질화물을 주로 선택한다. 따라서, 본 실시예에서는 상기 상부 전극(350)으로서 티타늄 질화물을 선택하고, 화학기상증착을 수행하여 형성한다. 그러므로, 상기 티타늄 질화물의 상부 전 극(350)은 약 550℃ 이하의 온도에서 반응 가스로서 TiCl4 가스, NH3 가스 등을 사용하여 형성하는 것이 바람직하다.
이와 같이, 상기 하부 전극(320), 유전막(340) 및 상부 전극(350)을 순차적으로 형성함으로써 상기 반도체 기판(200) 상부에는 커패시터가 형성된다. 따라서, 상기 커패시터는 실런더 타입의 하부 전극(320)을 포함함으로써 그 축적 용량을 충분하게 확보할 수 있다. 특히, 상기 LAL 용액과 같은 유기 화합물을 포함한 식각용액을 사용하여 상기 하부 전극(320)을 형성할 때 하부 전극의 표면에 잔류하는 유기 화합물을 오존 수용액을 이용하여 충분하게 제거함으로서 보다 결합을 포함하는 않는 커패시터의 형성이 가능하다.
포토레지스트 제거능력 평가
수증기 및 오존 가스를 포함하는 오존 증기를 이용한 포토레지스트의 제거 능력을 평가하였다. 상기 포토레지스트 제거 능력을 평가하기 위해 ArF용 포토레지스트를 이용하여 실리콘 기판들 상에 약 20,000Å의 두께를 갖는 포토레지스트막을 형성하였다. 상기 기판에 형성되는 포토레지스트막의 두께 오차는 약 10% 정도이다.
실시예 1
상기 포토레지스트막이 형성된 기판을 공정 챔버의 플레이트에 위치시킨 후 공정 챔버 내부로 수증기와 오존 가스를 유입시켜 약 200g/cm3의 가스 밀도를 갖고, 하이드록시 이온을 포함하는 오존 증기를 포토레지스트막 상으로 제공하였다. 상기 오존 증기에 포함된 하이드록시 이온과 상기 포토레지스트막을 약 1분 동안 반응시켰다. 이어서, 상기 오존 증기에 포함된 하이드록시 이온과 반응한 포토레지스트막을 암모니아 용액을 이용하여 표면 처리하였다. 이어서, 표면 처리된 포토레지스트막을 물을 이용한 세정공정을 수행한 후 기판의 형성된 포토레지스트막의 제거정도를 측정하였다. 그 결과 상기 포토레지스트는 약 7819Å 정도가 제거되었다. 이는 상기 온존 가스와 수증기의 반응으로 형성된 하이드록시 이온이 상기 포토레지스트막과 반응하는 시간이 매우 부족함을 의미한다.
실시예 2
상기 실시예 1과 동일한 방법으로 포토레지스트를 제거하되 상기 포토레지스트막과 상기 오존 증기에 포함된 하이드록시 이온을 약 2분 동안 반응시켰다. 그 결과 상기 포토레지스트는 약 16132Å 정도가 제거되었다. 이는 상기 온존 가스와 수증기의 반응으로 형성된 하이드록시 이온이 상기 포토레지스트막과 반응하는 시간이 다소 부족함을 의미한다.
실시예 3
상기 실시예 1과 동일한 방법으로 포토레지스트를 제거하되 상기 포토레지스 트막과 상기 오존 증기에 포함된 하이드록시 이온을 약 4분 동안 반응시켰다. 그 결과 상기 포토레지스트는 약 17988Å 정도가 제거되었다. 이는 상기 온존 가스와 수증기의 반응으로 형성된 하이드록시 이온이 상기 포토레지스트막과 충분한 반응을 하였음을 의미한다.
상기 실시예 1 내지 3에서 수득된 결과를 분석한 결과 20000Å의 두께를 갖는 포토레지스트막을 제거하기 위해서는 상기 오존 증기는 상기 포토레지스트막 상으로 약 4분 이상 제공되어야 하는 것을 알 수 있었다.
챔버의 온도 변화에 따른 포토레지스트의 식각속도 평가
도 12는 포토레지스트 제거 공정시 챔버의 온도 변화에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
도 12를 참조하면, 오존 증기 및 알칼리 세정용액을 이용하여 포토레지스트를 제거하기 위한 공정이 수행되는 챔버 내부의 온도가 95℃일 경우 포토레지스트는 약 4750Å/min의 식각속도로 식각되었다. 이때, 상기 챔버 내부는 약 75Kpa의 압력을 만족한다. 상기 챔버 내부의 온도가 100℃일 경우 포토레지스트는 약 4950Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 온도가 103℃일 경우 포토레지스트는 약 5000Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 온도가 105℃일 경우 포토레지스트는 약 4500Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 온도가 108℃일 경우 포토레지스트는 약 3400Å/min의 식각속도로 식각되었다. 상기 결과를 분석한 결과 상기 포토레지스트 제거 공정은 약 95 내지 108℃의 온도를 갖는 분위기에서 수행하는 것이 바람직하다.
챔버의 온도 변화에 따른 포토레지스트의 식각속도 평가
도 13은 포토레지스트 제거 공정시 챔버의 압력 변화에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
도 13을 참조하면, 오존 증기 및 알칼리 세정용액을 이용하여 포토레지스트를 제거하기 위한 공정이 수행되는 챔버 내부의 압력이 60Kpa일 경우 포토레지스트는 약 3500Å/min의 식각속도로 식각되었다. 이때, 상기 챔버 내부는 약 105℃의 온도조건을 만족한다. 상기 챔버 내부의 압력이 65Kpa일 경우 포토레지스트는 약 3700Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 압력이 70Kpa일 경우 포토레지스트는 약 4200Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 압력이 75Kpa일 경우 포토레지스트는 약 4400Å/min의 식각속도로 식각되었다. 상기 챔버 내부의 압력이 80Kpa일 경우 포토레지스트는 약 4200Å/min의 식각속도로 식각되었다. 상기 결과를 분석한 결과 상기 포토레지스트 제거 공정은 약 70 내지 80Kpa의 압력을 갖는 분위기에서 수행해하는 것이 바람직하다.
오존 가스밀도에 따른 포토레지스트의 식각속도 평가
도 14는 포토레지스트 제거 공정시 오존 가스밀도에 따른 포토레지스트의 식각량 변화를 나타내는 그래프이다.
도 14를 참조하면, 포토레지스트를 제거하기 위한 공정을 수행하기 위해 적 용되는 오존 증기의 오존 가스밀도가 150g/m3일 때 포토레지스트는 약 3100Å/min의 식각속도로 식각되었다. 이때, 상기 공정이 수행되는 챔버는 약 105℃의 온도 및 75kpa의 압력 조건을 만족한다.
상기 오존 가스밀도가 175g/m3일 때 포토레지스트는 약 3550Å/min의 식각속도로 식각되었다. 상기 오존 가스밀도가 200g/m3일 때 포토레지스트는 약 4450Å/min의 식각속도로 식각되었다. 상기 오존 가스밀도가 225g/m3일 때 포토레지스트는 약 4850Å/min의 식각속도로 식각되었다. 상기 오존 가스밀도가 250g/m3일 때 포토레지스트는 약 5000Å/min의 식각속도로 식각되었다. 상기 결과를 분석한 결과 상기 포토레지스트 제거 공정은 약 15 내지 250g/m3의 오존 가스밀도를 갖는 분위기에서 수행해야 하는 것이 바람직하다.
본 발명에 따른 오존 증기를 이용한 포토레지스트의 제거 방법은 기판에 잔류하는 ArF용 포토레지스트를 엑시머 광(Eximer light)을 이용하여 분해시키는 공정을 별도로 수행하지 않고, 단지 오존 증기 및 세정 용액을 이용하여 물에 의해 쉽게 용해될 수 있는 수용성 상태의 포토레지스트를 형성할 수 있다.
따라서, 상기 수용성 상태의 포토레지스트는 이후 물을 이용한 세정 공정시 모두 제거될 수 있다.
이 때문에 상기 포토레지스트 제거 방법이 적용되는 반도체 소자의 패턴형성 방법은 반도체 제조 공정의 진행시간의 연장 없이 포토레지스트를 효과적으로 제거할 수 있기 때문에 반도체 소자 제조 공정의 스루풋을 향상시킬 수 있다.
상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (13)

  1. 수증기와 오존 가스를 사용하여 수득한 제1 물질을 사용하여 포토레지스트의 단량체로부터 소수성기(hydrophobic property of the group)를 이탈시키는 단계; 및
    세정 물질을 사용하여 상기 소수성기가 이탈된 포토레지스트를 수용성 상태를 갖는 포토레지스트로 변환시키는 단계를 포함하는 포토레지스트 처리 방법.
  2. 제1항에 있어서, 상기 단량체는 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머를 포함하는 것을 특징으로 하는 포토레지스트 처리 방법.
  3. 제1항에 있어서, 상기 제1 물질은 하이드록시 이온인 것을 특징으로 하는 포토레지스트 처리 방법.
  4. 제1항에 있어서, 상기 세정 물질은 산성 용액인 것을 특징으로 하는 포토레지스트 처리 방법.
  5. 제1항에 있어서, 상기 세정 물질은 알칼리 용액인 것을 특징으로 하는 포토레지스트 처리 방법.
  6. 수증기와 오존 가스를 제공함으로써 기판 상에 코팅된 포토레지스트의 단량체로부터 소수성기(hydrophobic property of the group)를 이탈시키는 단계;
    상기 소수성기가 이탈된 단량체를 포함하는 포토레지스트에 세정 용액을 제공하여 수용성 상태를 갖는 포토레지스트를 형성하는 단계; 및
    상기 수용성 상태를 갖는 포토레지스트를 물을 이용한 세정공정을 수행하여 상기 기판으로부터 제거하는 단계를 포함하는 포토레지스트 제거 방법.
  7. 제6항에 있어서, 상기 단량체는 주 골격이 탄소와 탄소의 단일 결합으로 이루어진 아크릴계 또는 메타크릴계 폴리머를 포함하는 것을 특징으로 하는 포토레지스트 제거 방법.
  8. 제6항에 있어서, 상기 수증기와 오존 가스가 반응하여 수득한 하이드록시 이온을 사용하여 상기 단량체로부터 소수성기를 이탈시키는 것을 특징으로 하는 포토레지스트 제거 방법.
  9. 제6항에 있어서, 상기 오존 증기는 150 내지 250g/cm3의 가스 밀도를 갖는 것을 특징으로 하는 포토레지스트 제거 방법.
  10. 제6항에 있어서, 상기 소수성 기를 이탈시키는 단계 내지 상기 수용성 상태를 갖는 포토레지스트를 형성하는 단계는 80 내지 120℃의 온도에서 수행하는 것을 특징으로 하는 포토레지스트 제거 방법.
  11. 제6항에 있어서, 상기 수용성 상태를 갖는 포토레지스트는 상기 소수성기가 이탈된 단량체에 수산기 또는 알칼리염이 생성됨으로써 형성되는 것을 특징으로 하는 포토레지스트 제거 방법.
  12. 제6항에 있어서, 상기 세정 용액은 알칼리 용액인 것을 특징으로 하는 포토레지스트 제거 방법.
  13. 제6항에 있어서, 상기 세정 용액은 산성 용액인 것을 특징으로 하는 포토레지스트 제거 방법.
KR1020050056082A 2005-06-28 2005-06-28 포토레지스트 처리 방법 및 포토레지스트의 제거 방법. KR100839349B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020050056082A KR100839349B1 (ko) 2005-06-28 2005-06-28 포토레지스트 처리 방법 및 포토레지스트의 제거 방법.
US11/475,154 US7527921B2 (en) 2005-06-28 2006-06-27 Method of treating and removing a photoresist pattern and method of manufacturing a semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050056082A KR100839349B1 (ko) 2005-06-28 2005-06-28 포토레지스트 처리 방법 및 포토레지스트의 제거 방법.

Publications (2)

Publication Number Publication Date
KR20070000605A true KR20070000605A (ko) 2007-01-03
KR100839349B1 KR100839349B1 (ko) 2008-06-19

Family

ID=37567870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050056082A KR100839349B1 (ko) 2005-06-28 2005-06-28 포토레지스트 처리 방법 및 포토레지스트의 제거 방법.

Country Status (2)

Country Link
US (1) US7527921B2 (ko)
KR (1) KR100839349B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100838374B1 (ko) * 2007-05-17 2008-06-13 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100761764B1 (ko) * 2006-06-27 2007-09-28 삼성전자주식회사 포토레지스트 패턴 제거 방법, 이를 이용한 듀얼폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
US8273259B1 (en) * 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
JP7074956B2 (ja) * 2017-09-29 2022-05-25 東京エレクトロン株式会社 基板に流体をコーティングする方法及びシステム
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6729041B2 (en) * 2000-12-28 2004-05-04 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2002231696A (ja) 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP4000247B2 (ja) 2001-04-18 2007-10-31 株式会社ルネサステクノロジ フォトマスクの洗浄方法
JP2004071966A (ja) 2002-08-08 2004-03-04 Mitsui Eng & Shipbuild Co Ltd レジスト剥離方法
KR100505693B1 (ko) 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100838374B1 (ko) * 2007-05-17 2008-06-13 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법

Also Published As

Publication number Publication date
US20060292491A1 (en) 2006-12-28
US7527921B2 (en) 2009-05-05
KR100839349B1 (ko) 2008-06-19

Similar Documents

Publication Publication Date Title
KR100655788B1 (ko) 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7351667B2 (en) Etching solution for silicon oxide method of manufacturing a semiconductor device using the same
KR20010082607A (ko) 반도체 집적 회로 장치 및 그 제조 방법
KR100659391B1 (ko) 공중합체, 버퍼막용 고분자 수지 조성물, 이를 이용한 패턴형성 방법 및 이를 이용한 커패시터 제조 방법
US8609543B2 (en) Method for manufacturing semiconductor device having multi-layered hard mask layer
KR100839349B1 (ko) 포토레지스트 처리 방법 및 포토레지스트의 제거 방법.
KR100470722B1 (ko) 반도체 장치의 콘택홀 형성방법
KR100505044B1 (ko) 세정액 및 이를 이용한 반도체 장치의 세정방법
US7825041B2 (en) Method of reworking a semiconductor substrate and method of forming a pattern of a semiconductor device
KR100489657B1 (ko) 반도체 장치의 패턴 형성 방법 및 이를 이용한 반도체장치의 제조방법
KR20050014440A (ko) 폴리실리콘 식각 마스크를 이용한 반도체 소자의 제조방법
US20080160759A1 (en) Method for fabricating landing plug contact in semiconductor device
JP4002704B2 (ja) 半導体装置の製造方法
US6387774B1 (en) Methods for forming patterned layers including notched etching masks
KR100666380B1 (ko) 포토레지스트 제거방법 및 이를 이용한 반도체 소자의 제조방법.
KR100666881B1 (ko) 포토레지스트 제거 방법 및 이를 이용한 반도체 소자의제조 방법.
JP2001326173A (ja) パターン形成方法
TWI793908B (zh) 具有埋入字元線的半導體結構的其製備方法
KR100594939B1 (ko) 반도체 소자의 콘택 플러그 형성 방법
JP2001176788A (ja) パターン形成方法および半導体装置
JPH06267907A (ja) ドライエッチング方法
JP2004207590A (ja) 半導体装置の製造方法
US7393778B2 (en) Semiconductor device and method for fabricating the same
KR100588371B1 (ko) 반도체 소자의 듀얼 다마신 형성 방법
JP2007088003A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 12