KR20060007541A - 반도체 소자의 금속 부식 방지 방법 - Google Patents

반도체 소자의 금속 부식 방지 방법 Download PDF

Info

Publication number
KR20060007541A
KR20060007541A KR1020040056363A KR20040056363A KR20060007541A KR 20060007541 A KR20060007541 A KR 20060007541A KR 1020040056363 A KR1020040056363 A KR 1020040056363A KR 20040056363 A KR20040056363 A KR 20040056363A KR 20060007541 A KR20060007541 A KR 20060007541A
Authority
KR
South Korea
Prior art keywords
metal film
film
metal
semiconductor device
etching
Prior art date
Application number
KR1020040056363A
Other languages
English (en)
Other versions
KR100584485B1 (ko
Inventor
이재석
Original Assignee
동부아남반도체 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부아남반도체 주식회사 filed Critical 동부아남반도체 주식회사
Priority to KR1020040056363A priority Critical patent/KR100584485B1/ko
Priority to US11/179,455 priority patent/US7468319B2/en
Publication of KR20060007541A publication Critical patent/KR20060007541A/ko
Application granted granted Critical
Publication of KR100584485B1 publication Critical patent/KR100584485B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

본 발명은 반도체 소자의 금속 부식 방지 방법에 관한 것으로, 보다 자세하게는 알루미늄 및 그 합금을 포함한 반도체 소자의 금속막을 건식 식각한 후 연속적으로 N2O 플라즈마를 이용하여 금속막 표면에 측면 산화막을 형성하고 불활성 가스를 사용한 스퍼터 에치로 측면 산화막의 일부를 제거함으로써 금속막의 부식을 방지하고, 금속막에 의한 브리지 형성을 억제하며, 금속막의 프로파일을 개선하여 신뢰성 및 수율을 향상시킬 수 있는 반도체 소자의 금속 부식 방지 방법에 관한 것이다.
금속, 알루미늄(Al), N2O 플라즈마, 부식 방지

Description

반도체 소자의 금속 부식 방지 방법{Method for preventing metal corrosion of semiconductor devices}
도 1a 내지 도 1c는 종래 기술에 의한 반도체 소자의 알루미늄 배선 형성 방법을 나타낸 단면도.
도 2a 및 도 2d는 본 발명에 의한 반도체 소자의 금속 부식 방지 방법을 나타낸 단면도.
<도면의 주요 부분에 대한 부호의 설명>
100, 200 : 기판 102, 202 : 산화막
104, 204 : 배리어막 106, 206 : 금속막(Al)
108, 208 : 반사방지막 110, 210 : 포토레지스트
212 : 측면 산화막
본 발명은 반도체 소자의 금속 부식 방지 방법에 관한 것으로, 보다 자세하 게는 알루미늄 및 그 합금을 포함한 반도체 소자의 금속막을 건식 식각한 후 연속적으로 N2O 플라즈마를 이용하여 금속막 표면에 측면 산화막을 형성하고 불활성 가스를 사용한 스퍼터 에치로 측면 산화막의 일부를 제거함으로써 금속막의 부식을 방지하고, 금속막에 의한 브리지 형성을 억제하며, 금속막의 프로파일을 개선하여 신뢰성 및 수율을 향상시킬 수 있는 반도체 소자의 금속 부식 방지 방법에 관한 것이다.
근래에 컴퓨터와 같은 정보 매체의 급속한 발전에 따라 반도체 소자 제조 기술도 비약적으로 발전하고 있다. 상기 반도체 소자는 집적도, 미세화, 동작속도 등을 향상시키는 방향으로 기술이 발전하고 있다.
현재, 초대규모 집적회로(VLSI: Very Large-Scale Integration)와 같은 고집적 회로의 배선 재료로서 전기전도도가 우수하고 값이 싼 알루미늄(Al) 또는 알루미늄 합금이 많이 사용되고 있다.
일반적으로, 알루미늄 배선 형성 공정은 알루미늄막을 형성하는 단계, 알루미늄막 상부에 포토레지스트를 도포하고 패터닝하는 단계, 염소(Cl)를 포함하는 플라즈마를 이용하여 포토레지스트로 덮여 있지 않은 노출된 알루미늄막을 식각하는 단계 및 포토레지스트를 제거하는 단계를 포함하는 일련의 공정을 거쳐 수행하고 있다.
도 1a 내지 도 1c는 종래 기술에 의한 반도체 소자의 알루미늄 배선 형성 방법을 나타낸 단면도이다.
먼저, 도 1a에 도시된 바와 같이, 산화막(102), 배리어막(Barrier, 104), 알루미늄막(106), 반사방지막(Antireflective coating, 108)이 순차적으로 형성된 기판(100)의 상부에 포토레지스트를 코팅하고 패터닝한 후 상기 패터닝된 포토레지스트(110)를 마스크로 하여 Cl2, BCl3와 같은 가스를 이용한 반응성 이온식각(Reactive Ion Etching, 이하 RIE)을 통해 상기 알루미늄막(106)을 식각한다.
다음, 도 1b에 도시된 바와 같이, 상기 포토레지스트(110)를 O2 플라즈마 애싱(Ashing)을 통해 제거한다. 이때, 라인 내의 불소(F), NH4OH, 수분(H2O) 등의 환경적 이유와 RIE 조건 및 포토레지스트 제거 후 세정하는 세정액, 그리고 무엇보다도 상기 알루미늄막(106) 식각시 발생한 잔류 염소 성분 등에 의해 상기 알루미늄막의 부식(112)이 발생하여 반도체 소자의 전기적 특성을 열화시키거나 심할 경우 단선 등의 불량을 유발하는 원인이 되어 반도체 소자의 수율을 저하시키고 있다.
이러한 부식을 방지하기 위한 방법으로 , 첫째 탈이온수(Deionized water)로 잔류 염소 성분을 씻어내는 방법, 둘째 열처리 공정으로 잔류 염소 성분을 증발시키는 방법, 세째 불소(F)를 함유한 플라즈마를 이용하는 방법 등이 있다.
그러나, 첫번째 방법은 잔류 염소 성분의 제거 효과가 미약할 뿐만 아니라 장기적으로 알루미늄 배선의 부식을 방지하지 못하며, 두번째 방법은 알루미늄의 낮은 융점으로 인해 열처리 온도가 대략 300℃를 넘을 경우 힐락(Hillock), 세그리게이션(Segregation), 재결정화(Recrystallization) 등이 발생하는 문제가 있다.
세번째 방법은, 일본 특허공보 제83-12343호, 대한민국 공개특허 제2000-0027241호에 개시되어 있듯이, 불소를 함유한 식각 가스로 잔류 염소 성분을 제거한 후에 애싱 공정으로 포토레지스트를 제거하는 방법이다. 그러나, 도 1c에 도시한 바와 같이, 이러한 후처리 공정은 불소 플라즈마에 의해 배리어막인 TiN 또는 TiW의 언더컷(Undercut, 114)이 발생하고 하부 산화막의 과도한 손상(114)을 유발하며 알루미늄이 AlF3로 변환되어 반도체 소자의 신뢰성을 현저하게 떨어뜨리는 문제가 존재한다.
좀 더 개선된 반도체 소자 제조 공정에서는 기판이 공기 노출되어 잔류 염소 성분과 수분이 반응하는 것을 억제하기 위해 식각 장비에 애싱 챔버(Ashing chamber)를 설치하여 연속적(In-situ)으로 포토레지스트 스트립 공정을 진행하고 있으며 불소를 함유한 플라즈마를 이용하여 폴리머를 제거하기 전에 H2O 플라즈마를 이용하여 염화수소(HCl)를 형성한 후에 펌핑으로 배기함으로써 알루미늄 표면에 존재하는 잔류 염소 성분을 제거하고 있다. 그러나, 불소를 함유한 플라즈마를 이용하기 때문에 상술한 바와 같은 문제가 여전히 존재한다.
이러한 문제를 해결하기 위해 대한민국 특허공고 제95-5351호와 대한민국 공개특허 제2001-35852호는 각각 산소(O2) 및 암모니아(NH3)를 포함하는 혼합가스 사용하여 플라즈마 공정을 수행하는 방법과 H2N2 및 산소의 혼합가스에 의해 형성된 플라즈마에 노출시키는 방법을 포함하는 금속막의 부식 방지 방법을 개시하고 있다. 그러나 상기와 같이 혼합가스를 사용하기 때문에 혼합가스의 혼합 비율에 따라 부식을 방지하는 정도가 큰 영향을 받는 문제가 있고 부식 방지 효과가 여전히 미미한 문제가 있다.
따라서, 본 발명은 상기와 같은 종래 기술의 문제점을 해결하기 위한 것으로, 알루미늄 및 그 합금을 포함한 반도체 소자의 금속막을 건식 식각한 후 인 시투로 N2O 플라즈마를 이용하여 그 표면에 측면 산화막을 형성하고 불활성 가스를 사용한 스퍼터 에치로 측면 산화막의 일부를 제거함으로써 금속막의 부식을 방지하면서 동시에 금속막에 의한 브리지 형성을 억제하고 금속막의 프로파일을 개선하여 신뢰성 및 수율을 향상시킬 수 있는 반도체 소자의 금속 부식 방지 방법를 제공함에 본 발명의 목적이 있다.
본 발명의 상기 목적은 소정 패턴의 포토레지스트 하부에 존재하는 금속막을 식각하는 단계, 상기 식각이 진행되는 동일 챔버에서 N2O 플라즈마를 이용하여 상기 금속막 표면을 산화시키는 단계 및 상기 포토레지스트를 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법에 의해 달성된다.
본 발명의 상기 목적과 기술적 구성 및 그에 따른 작용효과에 관한 자세한 사항은 본 발명의 바람직한 실시예를 도시하고 있는 도면을 참조한 이하 상세한 설 명에 의해 보다 명확하게 이해될 것이다.
도 2a 내지 도 2d는 본 발명에 의한 반도체 소자의 금속 부식 방지 방법을 나타낸 단면도이다.
먼저, 도 2a에 도시된 바와 같이, 산화막(202), 배리어막(204), 금속막(206) 및 반사방지막(208)이 차례로 형성된 기판(200) 상에 포토레지스트(210)를 코팅하고 패터닝한 후 염소를 포함하는 플라즈마(예를 들어, Cl2, BCl3 가스를 사용한 플라즈마)를 이용하여 상기 반사방지막(208), 금속막(206) 및 배리어막(204)을 건식 식각한다. 상기 건식 식각은 반응성 이온 식각(RIE: Reactive Ion Etch)을 통해 수행하는 것이 바람직하다.
상기 금속막은 알루미늄, 알루미늄 합금(예를 들어, Al-Cu) 또는 알루미늄-실리콘 합금(예를 들어, Al-Si-Cu) 등으로 이루어진 단층막 또는 다층막이 바람직하나 그 제한이 있는 것은 아니며 본 발명은 모든 금속 및 그 합금으로 구성된 단층막 및 다층막에 적용 가능하다.
특히, 상기 금속막(206)이 알루미늄막일 경우, 알루미늄의 융점은 약 660℃ 정도로 낮기 때문에 전자의 이동에 의해 알루미늄 원자가 잘 이동되므로 전기적 이동(ElectroMigration, 이하 EM)에 취약하다. 이러한 EM을 방지하기 위해 알루미늄막의 하부에 Ti, TiN, W, TiW와 같은 배리어막(204)을 형성하기도 한다. 상기 금속막(206)의 상부에는 TiN, Ti/TiN과 같은 반사방지막(208)을 형성하는데 상기 금속막(206)이 알루미늄막일 경우에는 힐락 형성을 방지하고 EM 및 SM(Stress Migration)을 방지하여 배선의 신뢰성을 높이는 효과도 존재한다. 상기 배리어막(204)과 반사방지막(208)은 일례를 들어 나타낸 것으로 반드시 존재해야 하는 것은 아니다.
상기 금속막(206)의 폭(W)은 형성하고자 하는 임계치수(CD: Critical Dimension) 타겟(Target)보다 50Å 내지 150Å 정도 두껍게 형성하도록 한다. 이는 이후의 공정에서 형성되는 측면 산화막의 두께를 고려한 것이다. 상기 금속막(206)을 식각할 때 어느 정도 과식각(Over etch)하는 것이 보통이나 본 발명에서는 과식각을 하지 않고 상기 금속막(206)의 식각이 끝나면 더 이상 금속막의 하부에 존재하는 산화막(202)의 식각이 이루어지지 않도록 한다.
다음, 도 2b에 도시된 바와 같이, 상기 금속막(206)의 식각이 진행되는 동일 챔버에서 연속적(In situ)으로 N2O 플라즈마를 이용하여 상기 금속막(206)의 표면을 산화시켜 측면 산화막(212)을 형성한다. 상기 금속막(206)의 표면에 존재하는 염소 잔류 성분은 N2O 플라즈마에 의해 산화되어 제거된다. 염소 잔류 성분을 더 효과적으로 제거하기 위해서는 N2O 플라즈마에 노출하기 전에 H2O 플라즈마에 노출시켜 염소 성분을 염화수소로 형성하여 배기하는 공정을 추가할 수도 있다. 이때 형성되는 측면 산화막(212)의 두께는 50Å 내지 150Å 정도가 되도록 한다.
다음, 도 2c에 도시된 바와 같이, 불활성 가스를 플라즈마 상태에서 불활성 이온으로 만든 후 가속시켜 물리적으로 식각하는 스퍼터 에치(Sputter etch 또는 Ion beam etch) 방법을 사용하여 상기 측면 산화막(212)의 일부를 제거하면서 상기 산화막(202) 또한 식각(214)되도록 하여 금속막에 의한 브리지를 방지함과 동시에 상기 금속막(206)의 프로파일을 개선한다. 이때 상기 포토레지스트(210)의 일부가 제거된다. 상기 불활성 가스로는 He, Ne, Ar, Kr, Xe 및 Rn 중 어느 하나 이상을 포함하는 것이 바람직하다.
마지막으로, 도 2d에 도시된 바와 같이, 상기 포토레지스트(210)를 제거한다. 상기 포토레지스트(210)의 제거는 Cl2 및 CHF3 가스에 의한 플라즈마 애싱을 통해 수행하는 것이 바람직하며 플라즈마 형성을 위한 애싱 챔버의 조건은 0.7 내지 1.3 Torr의 압력, 800 내지 1700W의 전력, 20 내지 80초의 시간 및 상온 이하의 온도로 설정하도록 하는 것이 바람직하다.
상술한 도 2a의 금속막의 식각부터 도 2d의 포토레지스트 제거까지의 일련의 공정은 동일한 에치 장비 내에서 연속적으로 진행하는 것이 바람직하다.
상술한 본 발명 실시예는 소정 패턴의 포토레지스트 하부에 존재하는 금속막을 식각하는 단계, N2O 플라즈마를 이용하여 상기 금속막 표면을 산화시키는 단계, 불활성 가스를 사용한 스퍼터 에치로 상기 산화된 금속막의 일부를 제거함과 동시에 상기 금속막을 과식각하는 단계 및 플라즈마 애싱으로 상기 포토레지스트를 제거하는 단계로 구성된 일련의 공정을 나타낸 것이나 상기 불활성 가스에 의한 스퍼터 에치를 생략하고 소정 패턴의 포토레지스트 하부에 존재하는 금속막을 과식각하는 단계, N2O 플라즈마를 이용하여 상기 금속막 표면을 산화시키는 단계 및 플라즈마 애싱으로 상기 포토레지스트를 제거하는 단계로 이루어지는 일련의 공정 또한 가능하다.
본 발명은 이상에서 살펴본 바와 같이 바람직한 실시 예를 들어 도시하고 설명하였으나, 상기한 실시 예에 한정되지 아니하며 본 발명의 정신을 벗어나지 않는 범위 내에서 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 다양한 변경과 수정이 가능할 것이다.
따라서, 본 발명의 반도체 소자의 금속 부식 방지 방법은 알루미늄 및 그 합금을 포함한 반도체 소자의 금속막을 건식 식각한 후 인 시투로 N2O 플라즈마를 이용하여 금속막 표면에 측면 산화막을 형성하고 불활성 가스를 사용한 스퍼터 에치로 상기 산화막의 일부를 제거함과 동시에 금속막을 과식각함으로써 금속막의 부식을 방지하고 브리지 형성을 억제하며 금속막의 프로파일을 개선할 뿐만 아니라 배리어막의 언더컷, 산화막의 손상 및 금속막의 변형을 방지하여 반도체 소자의 신뢰성과 수율을 향상시키는 효과가 있다.

Claims (8)

  1. 반도체 소자의 금속 부식 방지 방법에 있어서,
    소정 패턴의 포토레지스트 하부에 존재하는 금속막을 식각하는 단계;
    상기 식각이 진행되는 동일 챔버에서 N2O 플라즈마를 이용하여 상기 금속막 표면을 산화시키는 단계; 및
    상기 포토레지스트를 제거하는 단계
    를 포함하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  2. 제 1 항에 있어서,
    상기 금속막 표면을 산화시키는 단계 후, 불활성 가스를 사용한 스퍼터 에치로 상기 산화된 금속막의 일부를 제거하는 단계
    를 더 포함하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  3. 제 2 항에 있어서,
    상기 불활성 가스는 He, Ne, Ar, Kr, Xe 및 Rn 중 어느 하나 이상을 포함하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  4. 제 1 항에 있어서,
    상기 금속막은 알루미늄, 알루미늄 합금 또는 알루미늄 실리콘 합금을 포함하는 단층막이거나 다층막임을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  5. 제 1 항에 있어서,
    상기 금속막을 식각하는 단계는 염소를 포함하는 플라즈마를 이용하여 건식 식각하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  6. 제 1 항에 있어서,
    상기 금속막의 식각시 임계치수 타겟보다 50 내지 150Å 정도 두껍게 금속막이 형성되도록 식각하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  7. 제 1 항에 있어서,
    상기 산화된 금속막의 두께는 50 내지 150Å 임을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
  8. 제 1 항에 있어서,
    상기 포토레지스트를 제거하는 단계는 Cl2 및 CHF3 가스의 플라즈마 애싱을 통해 수행하는 것을 특징으로 하는 반도체 소자의 금속 부식 방지 방법.
KR1020040056363A 2004-07-20 2004-07-20 반도체 소자의 금속 부식 방지 방법 KR100584485B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040056363A KR100584485B1 (ko) 2004-07-20 2004-07-20 반도체 소자의 금속 부식 방지 방법
US11/179,455 US7468319B2 (en) 2004-07-20 2005-07-11 Method for preventing a metal corrosion in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040056363A KR100584485B1 (ko) 2004-07-20 2004-07-20 반도체 소자의 금속 부식 방지 방법

Publications (2)

Publication Number Publication Date
KR20060007541A true KR20060007541A (ko) 2006-01-26
KR100584485B1 KR100584485B1 (ko) 2006-05-29

Family

ID=35657798

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040056363A KR100584485B1 (ko) 2004-07-20 2004-07-20 반도체 소자의 금속 부식 방지 방법

Country Status (2)

Country Link
US (1) US7468319B2 (ko)
KR (1) KR100584485B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021146014A1 (en) * 2020-01-15 2021-07-22 Micron Technology, Inc. Microelectronic devices including corrosion containment features, and related electronic systems and methods

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4357570B2 (ja) * 2008-01-31 2009-11-04 株式会社東芝 磁気記録媒体の製造方法
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104766797A (zh) * 2014-01-07 2015-07-08 北大方正集团有限公司 一种铝刻蚀方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10236442B2 (en) * 2015-10-15 2019-03-19 Samsung Electronics Co., Ltd. Methods of forming an interconnection line and methods of fabricating a magnetic memory device using the same
KR102449182B1 (ko) * 2015-10-15 2022-10-04 삼성전자주식회사 배선 형성 방법 및 이를 이용한 자기 기억 소자의 제조방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110571129B (zh) * 2018-06-05 2022-08-02 上海新微技术研发中心有限公司 一种导电金属氧化物的加工方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11955318B2 (en) 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JP2988242B2 (ja) 1994-03-15 1999-12-13 ヤマハ株式会社 電子楽器
US5776832A (en) * 1996-07-17 1998-07-07 Taiwan Semiconductor Manufacturing Company Ltd. Anti-corrosion etch process for etching metal interconnections extending over and within contact openings
US5943601A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Process for fabricating a metallization structure
KR20000027241A (ko) 1998-10-27 2000-05-15 윤종용 반도체 장치의 금속 배선 형성 방법
US20010045646A1 (en) * 1999-08-11 2001-11-29 Jeffrey A. Shields Silicon oxynitride arc for metal patterning
KR20010035852A (ko) 1999-10-04 2001-05-07 윤종용 반도체소자 제조방법의 에싱방법
KR20030043446A (ko) * 2001-11-28 2003-06-02 동부전자 주식회사 반도체소자 및 그 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021146014A1 (en) * 2020-01-15 2021-07-22 Micron Technology, Inc. Microelectronic devices including corrosion containment features, and related electronic systems and methods
US11257834B2 (en) 2020-01-15 2022-02-22 Micron Technology, Inc. Microelectronic devices including corrosion containment features, and related electronic systems and methods

Also Published As

Publication number Publication date
US7468319B2 (en) 2008-12-23
US20060019492A1 (en) 2006-01-26
KR100584485B1 (ko) 2006-05-29

Similar Documents

Publication Publication Date Title
KR100584485B1 (ko) 반도체 소자의 금속 부식 방지 방법
JP4690512B2 (ja) エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法
JP4563340B2 (ja) 半導体デバイスの製造方法
US7427569B2 (en) Metal etching process and rework method thereof
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
KR100450564B1 (ko) 반도체 소자의 금속 배선 후처리 방법
US7365017B2 (en) Method for finishing metal line for semiconductor device
US6103630A (en) Adding SF6 gas to improve metal undercut for hardmask metal etching
KR100262506B1 (ko) 반도체 소자의 제조 방법
JP2914443B2 (ja) 半導体素子の配線形成方法
KR100604075B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP3298628B2 (ja) 半導体装置の製造方法
JP4559565B2 (ja) 金属配線の形成方法
KR19980044194A (ko) 반도체 소자의 금속배선 형성방법
KR100600259B1 (ko) 반도체 소자의 제조 방법
KR100363178B1 (ko) 반도체 소자용 금속 배선의 후처리 방법
KR100378064B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100387761B1 (ko) 반도체 소자의 금속 배선 형성 방법
JPH05182937A (ja) ドライエッチング方法
KR100284311B1 (ko) 비아 콘택 저항의 개선을 위한 반도체소자 제조방법
JPH07249611A (ja) 積層配線のドライエッチング方法
KR100467817B1 (ko) 반도체 소자의 금속배선 부식 방지방법
KR100596793B1 (ko) 반도체소자의 금속배선 형성방법
KR20020068621A (ko) 반도체 소자의 배선 형성방법
JP3301161B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110418

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee