KR20060004505A - 기판 제조장비의 샤워헤드 - Google Patents

기판 제조장비의 샤워헤드 Download PDF

Info

Publication number
KR20060004505A
KR20060004505A KR1020040053641A KR20040053641A KR20060004505A KR 20060004505 A KR20060004505 A KR 20060004505A KR 1020040053641 A KR1020040053641 A KR 1020040053641A KR 20040053641 A KR20040053641 A KR 20040053641A KR 20060004505 A KR20060004505 A KR 20060004505A
Authority
KR
South Korea
Prior art keywords
fixed end
shower head
showerhead
horizontal
center
Prior art date
Application number
KR1020040053641A
Other languages
English (en)
Other versions
KR101063737B1 (ko
Inventor
강호철
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020040053641A priority Critical patent/KR101063737B1/ko
Priority to US11/177,883 priority patent/US8236134B2/en
Priority to TW094123081A priority patent/TWI379019B/zh
Priority to CN2005100828605A priority patent/CN1719965B/zh
Priority to CN201010221356XA priority patent/CN101888736B/zh
Publication of KR20060004505A publication Critical patent/KR20060004505A/ko
Application granted granted Critical
Publication of KR101063737B1 publication Critical patent/KR101063737B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 상하를 관통하는 다수의 분사홀을 가지는 판 형상의 몸체와 상기 몸체 측벽의 무게중심선 이하에서 측방으로 연장되며, 상기 몸체보다 얇은 두께를 가지는 고정단을 포함하는 샤웨헤드를 제공한다.
본 발명에 따르면, 고온 플라즈마나 서셉터에 내장된 히터로부터의 열전달에 의해 샤워헤드가 열팽창할 때 일어나는 열적 변형을 방지할 수 있고, 이에 따른 공정의 불균일 현상을 완화시킬 수 있게 된다.
샤워헤드, 고정단, 열팽창, 무게중심

Description

기판 제조장비의 샤워헤드{Showerhead for substrate manufacturing apparatus}
도 1은 일반적인 플라즈마 공정장비의 구성단면도
도 2는 종래 샤워헤드의 단면도
도 3은 종래 샤워헤드 내부 응력을 개략적으로 도시한 단면도
도 4는 종래 샤워헤드의 팽창후 단면도
도 5는 본 발명의 실시예에 따른 샤워헤드의 단면도
도 6은 본 발명의 실시예에 따른 샤워헤드의 내부 응력을 개략적으로 도시한 단면도
도 7 및 도 8은 고정단의 다른 예를 도시한 단면도
*도면의 주요부분에 대한 부호의 설명*
100 : 공정장비 110 : 챔버
120 : 서셉터 130 : 배기구
140, 200 : 샤워헤드 142 : 분사홀
144, 210 : 샤워헤드 몸체 146, 220 : 고정단
146a, 220a : 제1 수평부 146b, 220b : 수직부
146c, 220c : 제2 수평부 150 : 백킹 플레이트
160 : RF전극 170 : 가스유입관
180 : 버퍼공간 190 : 결합볼트
본 발명은 액정표시소자나 반도체소자를 제조하는 공정장비의 샤워헤드에 관한 것으로서, 보다 구체적으로는 샤워헤드를 공정챔버의 상부 내측이나 공정챔버의 측면에 고정시키기 위해 측면에 형성되는 고정단을 갖는 샤워헤드에 관한 것이다.
도 1은 플라즈마를 이용하여 액정표시소자를 제조하는 공정장비로서 LCD기판을 처리하는 플라즈마 공정장비(100)의 개략적인 단면을 예시한 것으로서, 일정한 반응공간을 형성하는 챔버(110)의 내부에 기판(S)을 안치하는 서셉터(120)가 위치하고, 서셉터(120)의 상부에는 다수의 분사홀(142)이 균일하게 형성되어 있는 샤워헤드(140)가 위치하고 있다.
샤워헤드(140)의 상부에는 백킹 플레이트(backing plate, 150)가 위치하는데, 샤워헤드(140)는 그 가장자리가 백킹 플레이트(150)의 하부에 고정되며, 샤워헤드(140)와 백킹 플레이트(150)의 사이에는 버퍼공간(180)이 형성된다.
버퍼공간(180)은 외부의 가스탱크(미도시)로부터 가스유입관(170)을 통해 유입된 공정가스를 일차 확산시키는 공간으로서, 공정가스의 균일한 분사를 위하여 필요한 공간이다.
또한, 백킹 플레이트(150)의 중앙에는 RF전력을 공급하는 RF전원(160)이 연결된다.
서셉터(120)는 공정에 따라 미도시된 구동장치에 의하여 상하로 구동할 수 있고, 내부에는 기판(S)을 예열 또는 가열하기 위한 히터(미도시)가 내장된다.
한편, 서셉터(120)는 통상 접지되며, RF전원(160)이 인가되는 백킹 플레이트(150)에 전기적으로 연결되는 샤워헤드(140)에 대한 대향전극의 역할을 하게 된다.
서셉터(120) 하부의 챔버 저면에는 배기가스를 배출하기 위한 배기구(130)가 형성되는데, 배기구의 끝단은 터보분자펌프 등과 같은 진공펌프에 연결된다.
이와 같은 구성을 가지는 플라즈마 공정장비(100)에서 공정이 진행되는 순서를 간략하게 살펴보면 다음과 같다.
먼저 측벽의 미도시된 슬롯밸브를 통하여 로봇암이 챔버(110) 내부로 진입하여 서셉터(120) 위에 기판(S)을 안치하고, 로봇암이 챔버(110)로부터 빠져나가면 슬롯밸브를 닫고 서셉터(120)를 공정위치까지 상승시킨다.
이후에 가스유입관(170)을 통해 유입된 공정가스를 버퍼공간(180)에서 일차 확산시킨 후에 샤워헤드(140)의 분사홀(142)을 통해 기판(S)의 상부로 분사하고, RF전원(160)을 통해 RF전력을 백킹 플레이트(150)에 인가하면, 백킹 플레이트(150)의 표면을 따라 전달된 RF전력이 샤워헤드(140)와 서셉터(120) 사이에서 공정가스를 해리시켜 강력한 산화력을 가지는 플라즈마 활성종으로 여기시킨다.
이렇게 여기된 활성종이 기판(S)에 입사하여 증착 또는 에칭 등의 공정을 수행하게 되며, 이때 활성종의 입사 에너지를 제어하기 위하여 서셉터(120)에도 별도의 RF전원을 연결하기도 한다.
공정을 마친 후에는 서셉터(120)를 하강시키고, 측벽의 슬롯밸브를 통해 로봇암이 진입하여 기판(S)을 반출함으로써, 한 사이클의 공정이 완료된다.
한편 샤워헤드(140)는 통상 알루미늄 재질의 원판이나 사각판으로 이루어지며, 분사홀(142)은 공정가스의 확산을 위하여 상협 하광의 나팔 형상을 가지기도 한다.
샤워헤드(140)는 측면에서 연장된 고정단(146)에 의해서 지지되며, 상기 고정단은 고정볼트(190)를 이용하여 백킹 플레이트(150)와 결합한다.
도 2의 단면도를 참고로 하여 샤워헤드(140)의 형상을 보다 상세히 설명하면, 샤워헤드(140)는 다수의 분사홀(142)을 가지는 사각판형의 몸체(144)와, 몸체(144) 측벽의 상부에서 측방으로 돌출되는 고정단(146)으로 이루어진다.
고정단(146)은 다시 제1 수평부(146a)와, 상기 제1 수평부(146a)의 상부에 위치하며 고정볼트(192)를 이용하여 백킹 플레이트(150)에 연결되는 제2 수평부 (146c)와, 상기 제1 수평부(146a)와 제2 수평부(146c)를 연결하는 수직부(146b)로 구성된다.
도시된 고정단(146)은 일 측면에서 바라본 단면만을 도시한 것이므로, 고정단(146)의 실제 형상은 샤워헤드(140)의 네 측면으로부터 돌출되며 중간에서 두 번 절곡되는 얇은 판형상을 가진다.
그런데 이와 같은 구성의 샤워헤드(140)는 도 3에 도시된 바와 같이 자체 하중과 열적 변형으로 인해 중심부분에서 아래방향으로 처짐 현상이 나타나는 문제점이 있다.
열적 변형은 고온 플라즈마와 서셉터(120)에 내장된 히터로부터의 열전달로 인한 열팽창 때문에 발생하는데, 열팽창은 두께방향(상하방향)보다 수평방향의 열팽창이 더 크게 된다.
고온 플라즈마나 서셉터(120)에 내장된 히터와 같은 열원은 샤워헤드(140)의 하부에 위치하므로, 도 2에 도시된 바와 같이 샤워헤드의 무게중심(C)을 지나는 무게중심선(A-A)을 기준으로 살펴보면 무게중심선 하부의 샤워헤드가 상부보다 측방으로 더 팽창하게 되고, 이로 인하여 하부(서셉터 방향)로의 굽힘 모멘트가 발생하게 되는 것이다.
특히, 도2와 같이 샤워헤드(140)가 측면에서 돌출된 고정단(146)에 의해서 고정되는 경우에는 수평방향의 열팽창이 발생할 때, 고정단(146)이 위치한 부분에서는 열적 변형이 제한되기 때문에 고정단(146)이 위치하지 않은 측면의 부분에서는 열적 변형이 일어나서 샤워헤드(140)의 중심부가 하부로 처지는 굽힘 현상이 발 생한다.
샤워헤드(140)의 중심부가 하부로 처지는 굽힘현상이 발생하는 경우에는 샤워헤드(140)와 서셉터(120) 간의 간격이 중심부에서 가깝고 주변부에서는 멀어지게 되므로, 분사된 공정가스의 분포밀도가 불균일해지며 결과적으로 공정 균일도가 저하되는 요인이 되고 있다.
최근에는 이와 같은 변형을 줄이기 위하여 고정단(146)이 수평방향의 열팽창을 수용할 수 있도록 제작하여, 도 4에 도시된 바와 같이 샤워헤드(140)가 수평방향으로 열팽창하면 고정단(146)의 수직부(146b)가 외측으로 밀리면서 팽창력을 일부 수용하도록 하여 열팽창으로 인한 샤워헤드 몸체의 굽힘 현상을 방지하거나 최소화하는 방안이 제안되기도 하였다.
그런데 이와같은 고정단(146)은 샤워헤드의 몸체(144)가 수평방향으로 열팽창하는데 대한 완충수단의 역할을 하는 것일 뿐이다.
즉, 종래 고정단(146)은 몸체(144)의 측벽에서 무게중심선(A-A)을 기준으로 상부에 연결되기 때문에, 몸체의 온도가 상승함에 따라 몸체(144)의 무게중심선 하부에서 발생하는 열팽창을 억제할 만한 수단이 없다. 또한, 샤워헤드의 치수가 커지는 경우에는 이러한 열팽창에 의한 치수의 증가를 모두 수용하는 데는 한계가 있다.
본 발명은 이러한 문제점을 해결하기 위한 것으로서, 열적 변형에 의하여 샤 워헤드가 하부로 처지는 현상을 효과적으로 방지할 수 있는 방안을 제공하는데 그 목적이 있다.
본 발명은 상기와 같은 목적을 달성하기 위해서, 상하를 관통하는 다수의 분사홀을 가지는 판 형상의 몸체와 상기 몸체 측벽의 무게중심선 이하에서 측방으로 연장되며, 상기 몸체보다 얇은 두께를 가지는 고정단을 포함하는 샤웨헤드를 제공한다.
상기 고정단은 상기 몸체의 측방으로 돌출되는 제1 수평부와, 상기 제1 수평부의 상부에 위치하는 제2 수평부와, 상기 제1 수평부와 제2 수평부를 연결하는 수직부로 이루어지는 것이 바람직하다.
상기 제1 수평부는 두께가 더 얇은 제 3 수평부를 포함할 수 있다.
상기 제1 수평부와 상기 수직부 사이의 각도 및 상기 수직부와 상기 제2 수평부 사이의 각도는 일정한 것이 바람직하다.
상기 고정단과 몸체는 일체로 형성되는 것이 바람직하며, 알루미늄 재질로 이루어지는 것이 바람직하다.
이하에서는 본 발명의 바람직한 실시예를 도면을 참고하여 보다 상세하게 설명한다.
도 5는 본 발명의 실시예에 따른 샤워헤드(200)의 단면을 도시한 것으로서, 다수의 분사홀(202)을 가지는 몸체(210)와, 상기 몸체(210)의 하면과 상면과 사이의 측면에서 측방으로 돌출되어 연장되며, 그 중심이 상기 몸체(210)의 하면에서부터 상기 몸체의 무게중심선(A-A)보다 낮게 위치하는 고정단(220)을 포함하여 구성된다.
고정단(220)은 몸체(210)의 측벽에서 연장되는 제1 수평부(220a), 제1 수평부(220a)의 상부에 위치하는 제2 수평부(220c), 제1 수평부(220a)와 제2 수평부(220c)를 연결하는 수직부(220b)로 이루어진다. 제 1 수평부(220a)는 제 2 수평부(220c)보다 더 두꺼울 수 있다.
도 5에 도시된 고정단(220)의 형상은 단면을 나타낸 것이고, 샤워헤드 몸체(210)의 네 방향 가장자리로부터 돌출되고 중간에서 2번 절곡되는 얇은 판형으로 이루어지는 것이 바람직하다.
특히 상기 고정단(220)이 샤워헤드 몸체(210)의 무게중심선(A-A) 이하에서 측면에 연결되는 것은 샤워헤드 몸체(210)가 수평방향으로 열팽창함에 따라 발생하는 열변형을 무게중심 이하 부분에서는 국부적으로 제한하여 샤워헤드(140)의 중심부가 하부로 처지는 것을 방지하기 위한 것이다.
즉 몸체(210)의 무게중심선(A-A)을 기준으로 살펴보면, 도 6에서 하부의 열응력에 의한 열팽창은 열원에서 가까운 샤워헤드 하부의 열팽창이 상부보다 크게 나타나는데, 몸체의 측면에서 무게중심선 아래의 부분에 고정단(220)을 연결하게 되면, 하부의 열팽창은 고정단(220)에 의해 억제되고, 무게중심선 상부에서는 열팽창이 계속되므로, 오히려 상부로의 굽힘 모멘트가 발생하게 된다.
고정단(220)이 탄성을 가지게 되면, 열응력을 흡수할 수는 있으나 상부로의 굽힘 모멘트는 감소될 수밖에 없으므로, 고정단(220)은 탄성이 없도록 제작되는 것이 바람직하다. 따라서 제1 수평부(220a)와 수직부(220b)가 이루는 각도 및 수직부(220b)와 제2 수평부(220c)가 이루는 각도가 일정하게 유지되는 것이 바람직하다.
고정단(146)이 샤워헤드 몸체(144)의 무게중심선(A-A) 위에 연결되는 종래 방식의 경우에는, 샤워헤드 몸체(144)의 수평방향으로의 열팽창력을 고정단(146)의 수축 내지 변형을 이용하여 수용함으로써 하부로의 굽힘 모멘트를 완화시키는데 반하여, 본 발명은 샤워헤드 몸체(210)의 열팽창을 상부로의 굽힘 모멘트로 적극적으로 전환시키기 위한 것이라는 점에서 차이가 있다.
한편 본 발명은 샤워헤드 몸체(210)의 측면에 연결되는 고정단(220)의 제1 수평부(220a)를 샤워헤드 몸체(210)의 무게중심선 이하에 연결한다는 점에 특징이 있는 것이므로, 도 7과 같이 제1 수평부(220a)를 샤워헤드 몸체(210)의 무게중심선 이하의 두께와 동일하게 형성할 수도 있고, 도 8과 같이 제1 수평부(220a)와 샤워헤드 몸체(210)의 측벽사이에 제3 수평부(220d)를 개재시킬 수도 있다.
한편, 상기 고정단과 몸체는 일체로 형성되는 것이 바람직하며, 또한 알루미늄 재질로 이루어지는 것이 바람직하다.
이하에서는 본 발명의 바람직한 실시예에 대하여 설명하였으나, 이에 한정되는 것은 아니어서 당업자에 의해 다양하게 수정 내지 변형될 수 있는 것이며, 이러 한 수정 내지 변형도 특허청구범위에 기재된 본 발명의 기술적 사상을 바탕으로 하는 한 본 발명의 권리범위에 속하게 됨은 당연하다 할 것이다.
본 발명에 따르면, 고온 플라즈마나 서셉터에 내장된 히터로부터의 열전달에 의해 샤워헤드가 열팽창할 때 일어나는 열적 변형을 방지할 수 있고, 이에 따른 공정의 불균일 현상을 완화시킬 수 있게 된다.

Claims (6)

  1. 상하를 관통하는 다수의 분사홀을 가지는 판 형상의 몸체와
    상기 몸체 측벽의 무게중심선 이하에서 측방으로 연장되며, 상기 몸체보다 얇은 두께를 가지는 고정단
    을 포함하는 샤웨헤드
  2. 제1항에 있어서,
    상기 고정단은 상기 몸체의 측방으로 돌출되는 제1 수평부와, 상기 제1 수평부의 상부에 위치하는 제2 수평부와, 상기 제1 수평부와 제2 수평부를 연결하는 수직부로 이루어지는 샤워헤드
  3. 제2항에 있어서,
    상기 제1 수평부는 두께가 더 얇은 제 3 수평부를 포함하는 샤워헤드
  4. 제2항에 있어서,
    상기 제1 수평부와 상기 수직부 사이의 각도 및 상기 수직부와 상기 제2 수 평부 사이의 각도는 일정한 샤워헤드
  5. 제1항에 있어서,
    상기 고정단과 몸체는 일체로 형성되는 것을 특징으로 하는 샤워헤드
  6. 제1항에 있어서,
    상기 고정단과 몸체는 알루미늄 재질로 이루어지는 샤워헤드
KR1020040053641A 2004-07-09 2004-07-09 기판 제조장비의 샤워헤드 KR101063737B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020040053641A KR101063737B1 (ko) 2004-07-09 2004-07-09 기판 제조장비의 샤워헤드
US11/177,883 US8236134B2 (en) 2004-07-09 2005-07-08 Gas distributor and apparatus using the same
TW094123081A TWI379019B (en) 2004-07-09 2005-07-08 Gas distributor and apparatus using the same
CN2005100828605A CN1719965B (zh) 2004-07-09 2005-07-11 气体分配器和包括气体分配器的设备
CN201010221356XA CN101888736B (zh) 2004-07-09 2005-07-11 气体分配器和包括气体分配器的设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040053641A KR101063737B1 (ko) 2004-07-09 2004-07-09 기판 제조장비의 샤워헤드

Publications (2)

Publication Number Publication Date
KR20060004505A true KR20060004505A (ko) 2006-01-12
KR101063737B1 KR101063737B1 (ko) 2011-09-08

Family

ID=35540094

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040053641A KR101063737B1 (ko) 2004-07-09 2004-07-09 기판 제조장비의 샤워헤드

Country Status (4)

Country Link
US (1) US8236134B2 (ko)
KR (1) KR101063737B1 (ko)
CN (2) CN101888736B (ko)
TW (1) TWI379019B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008048543A1 (en) * 2006-10-16 2008-04-24 Lam Research Corporation Upper electrode backing member with particle reducing features
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20160107687A (ko) * 2015-03-05 2016-09-19 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
WO2020159064A1 (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
WO2021167325A1 (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
JP3178295U (ja) 2009-09-10 2012-09-13 ラム リサーチ コーポレーション プラズマ処理装置の交換式上部チャンバ部品
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
TW201325326A (zh) * 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
KR102416568B1 (ko) * 2017-08-14 2022-07-04 삼성디스플레이 주식회사 금속 산화막 형성 방법 및 플라즈마 강화 화학기상증착 장치
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
KR100505402B1 (ko) 1999-06-22 2005-08-04 주식회사 하이닉스반도체 몸체 접촉 에스오아이 소자의 제조방법
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100371385B1 (ko) 2000-07-12 2003-02-06 김종민 실내 스키 구조물
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
KR100485709B1 (ko) 2002-08-14 2005-04-27 주성엔지니어링(주) 액정표시장치용 기판제조를 위한 챔버
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
WO2008048543A1 (en) * 2006-10-16 2008-04-24 Lam Research Corporation Upper electrode backing member with particle reducing features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8709202B2 (en) 2006-10-16 2014-04-29 Lam Research Corporation Upper electrode backing member with particle reducing features
KR101419081B1 (ko) * 2006-10-16 2014-08-13 램 리써치 코포레이션 입자 감소 특징을 갖는 상부 전극 백킹 부재
KR20160107687A (ko) * 2015-03-05 2016-09-19 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
WO2020159064A1 (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
WO2021167325A1 (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치

Also Published As

Publication number Publication date
TWI379019B (en) 2012-12-11
US8236134B2 (en) 2012-08-07
CN1719965A (zh) 2006-01-11
US20060005926A1 (en) 2006-01-12
CN101888736A (zh) 2010-11-17
KR101063737B1 (ko) 2011-09-08
CN101888736B (zh) 2012-06-13
TW200617208A (en) 2006-06-01
CN1719965B (zh) 2010-09-15

Similar Documents

Publication Publication Date Title
KR101063737B1 (ko) 기판 제조장비의 샤워헤드
KR101249999B1 (ko) 화학기상증착 장치
US20060005771A1 (en) Apparatus and method of shaping profiles of large-area PECVD electrodes
TWI338319B (en) Active cooling substrate support
US20070175396A1 (en) Film-forming apparatus
US20060156987A1 (en) Lift pin mechanism and substrate carrying device of a process chamber
US20100043709A1 (en) Chemical vapor deposition apparatus for equalizing heating temperature
KR101535103B1 (ko) 기판처리장치
KR101534517B1 (ko) 기판처리장치
KR101276565B1 (ko) 진공처리장치
KR100981039B1 (ko) 가스 공급 어셈블리
KR20130048304A (ko) 기판처리장치
KR20200108693A (ko) 기판처리 장치
KR101483824B1 (ko) 평판표시소자 제조용 화학기상증착 장치
KR102663828B1 (ko) 기판처리장치 및 이를 이용한 기판처리방법
KR20070093187A (ko) 기판 척킹 라인과 콘택하는 원통형의 오링을 구비한 히터어셈블리
KR20110021571A (ko) 보트
JP2004335825A (ja) 基板処理装置
KR101130037B1 (ko) 보트
KR20100050659A (ko) 기판 처리 장치
KR20070014276A (ko) 히터블럭
KR20080114284A (ko) 개선된 가열 지지부재를 구비한 화학증착장치
CN205710904U (zh) 框架、组合式基板下罩框和处理腔室
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버
KR20060088151A (ko) 에지프레임 및 이를 포함하는 기판처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140805

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150803

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160712

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170703

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180702

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190701

Year of fee payment: 9