KR20040105567A - 유기층 제거 방법 및 장치 - Google Patents

유기층 제거 방법 및 장치 Download PDF

Info

Publication number
KR20040105567A
KR20040105567A KR1020040040948A KR20040040948A KR20040105567A KR 20040105567 A KR20040105567 A KR 20040105567A KR 1020040040948 A KR1020040040948 A KR 1020040040948A KR 20040040948 A KR20040040948 A KR 20040040948A KR 20040105567 A KR20040105567 A KR 20040105567A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
radiation
processing
treatment
Prior art date
Application number
KR1020040040948A
Other languages
English (en)
Inventor
몬티어스개리엘.
매튜스로버트알.
Original Assignee
피.씨.티. 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피.씨.티. 시스템즈, 인코포레이티드 filed Critical 피.씨.티. 시스템즈, 인코포레이티드
Publication of KR20040105567A publication Critical patent/KR20040105567A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

구현예로서, 본 발명은 기판의 처리 중에, 방사선을 이용하여 기판을 가열하는 방법 및 장치를 제공한다. 본 발명의 방법은 상기 기판과 관련된 바람직한 화학 반응을 촉진하기 위해, 처리 체임버 내에 수납된 기판에 전자기 스펙트럼 중 전파 또는 마이크로파 부분의 방사선을 적용하는 단계를 포함한다. 또한, 본 발명에 따른 방법은 상기 처리 체임버 내에 존재하는 상기 기판 또는 처리 화학물(processing chemistry) 성분을 가열하기 위해, 마이크로파, 고주파(RF), IR 또는 UV 방사선을 적용하면서 상기 처리 체임버를 가압하는 단계를 포함한다. 아울러, 본 발명의 다른 구현예에서는 더욱 효과적인 처리를 위해 전술한 에너지 형태의 조합을 이용할 수 있다. 예를 들어, 상기 처리 화학물로부터 반응성이 있는 종(species)이 생성되도록, 마이크로파로 상기 처리 체임버를 가열하면서 UV 방사선을 적용할 수 있다.

Description

유기층 제거 방법 및 장치 {METHOD AND APPARATUS FOR REMOVING ORGANIC LAYERS}
본 출원은 2003년 6월 6일에 출원한 미국특허출원 제10/456,995호에 대한 우선권을 주장한다.
반도체 소자의 제조 시에는 에칭 또는 이온 주입 공정과 같은 공정에 마스크로서 제공되는 패턴으로 유기 포토레지스트 물질을 현상하는 공정이 자주 이용된다. 그러나, 마스킹된 기판에 금속 이온 주입 공정을 수행한 다음, 앞서 현상한 유기 포토레지스트 마스크를 제거하려면 마스크 하부에 존재하는 물질들을 손상시키지 않으면서 제거하기가 어렵다.
종래의 제거 공정에서는, 이온 주입된 유기 금속성 포토레지스트 물질을 두 단계에 걸쳐 제거한다. 우선, 마이크로파 유도 플라즈마(microwave-induced plasma)를 이용하여 유기 금속성 물질을 지지하는 기판을 산소 애셔(asher)에 노출시킨다. 초기 단계로서 애싱 단계를 수행하게 되면, 통상적으로 상기 기판 표면에 다량의 입자들/주입된 금속들이 잔존하게 된다.
그러므로, 그 두 번째 단계로서, 상기와 같이 애싱한 기판 표면에 100℃보다 높은 온도 조건에서 카로산(Caro's acid)(황산과 과산화수소의 조합)을 이용한 피라냐 공정(piranha process)의 습식 공정을 수행한다. 그러나, 전술한 오존 애싱 공정이나 습식 공정 단계 모두 그 자체가 효과적이지는 않다. 또한, 플라즈마를 생성하기 위해 적용된 강한 마이크로파 방사선을 이용하는 경우에는 반응 수명이 긴 화학종, 통상적으로는 라디칼이 생성되어, 기판 표면에 존재하는 취성 구조물을 손상시킬 수 있다.
따라서, 반도체 웨이퍼를 처리하는데 있어서 개선된 방법 및 장치가 필요한 실정이다.
본 발명에 따른 구현예는 화학적 처리 공정 중에 방사선을 이용하여 기판을 가열하는 방법 및 장치를 제공한다. 특히, 본 발명의 방법은, 상기 기판과 관련된바람직한 화학 반응을 촉진하기 위해, 처리 체임버(processing chamber) 내에 수납된 기판에 전자기 스펙트럼 중 전파 또는 마이크로파 부분의 방사선을 적용하는 단계를 포함한다. 본 발명의 구현예에 따른 방법에서는, 상기 기판을 가열하는데 마이크로파, 고주파(RF: radio frequency), IR 또는 UV 방사선, 또는 전자기파 유도선을 적용할 수 있다. 본 발명의 또 다른 구현예에서는 더욱 효과적인 처리를 위해 상기한 에너지 형태의 조합을 이용할 수 있다. 예를 들면, 화학적 처리를 통해 반응성이 있는 종(species)을 생성하기 위해, 상기 처리 체임버에 마이크로파에 의한 가열을 수행하면서 UV 방사선을 도입할 수 있다.
본 발명의 구현예에 따른 처리 공정은 반응물의 농도를 증가시키기 위해 고압에서 수행되거나, 또는 반응물의 수명을 연장하기 위해 주위 압력 미만의 압력(subambient pressure)에서 수행될 수 있으므로, 처리 체임버 내에 존재하는 라디칼 또는 기타 반응성이 있는 종들을 처리하는데 효과적이다. 특히, 본 발명의 구현예는 이온 주입된 웨이퍼를 오존 가스에 노출시킴으로써, 이온 주입 공정이 수행된 포토레지스트를 제거하는 방법을 제공한다.
전술한 바와 같이 가열된 기판과 반응하는, 처리 체임버에 도입된 처리 화학물은 기상, 액상, 또는 미스트(mist)와 같은 가스와 액체의 조합형일 수 있다. 그 대안으로서, 상기 처리 화학물은 더스트(dust)와 같은 고상으로 이용될 수 있다. 이 같은 경우에, 상기 처리 화학물은 압력 차에 의해 상기 처리 체임버로 또는 상기 처리 체임버를 통과하여 이송될 수 있다.
본 발명에 따른 기판의 처리 방법은 처리 체임버를 제공하는 단계, 상기 처리 체임버에 기판을 삽입하는 단계, 및 상기 처리 체임버에 처리 화학물을 도입하는 단계를 포함한다. 상기 방법에서 상기 처리 체임버는, 상기 처리 체임버에 처리 화학물 성분을 도입하는 방법 및 상기 처리 체임버에 가스를 도입하는 방법 중 적어도 한 가지 방법을 이용하여 가압된다. 또한, 상기 기판층 및 처리 화학물 성분 중 적어도 하나를 가열하는데 방사선을 적용함으로써, 상기 기판과 처리 화학물 사이의 반응을 촉진시키되, 이 때, 방사선 적용 단계 이전, 이후, 및 상기 단계와 동일한 시점 중 적어도 한 시점에 상기 가압 단계를 수행한다.
본 발명의 일구현예에 따른 기판의 처리 장치는 처리 화학물 소스(processing chemistry source)와 유체 소통되는 체임버(chamber), 및 상기 체임버와 유체 소통되는 가압 소스(pressurization source)를 포함하며, 상기 가압 소스는 처리 중에 상기 체임버 내의 압력을 증가시키는 작용을 할 수 있다. 또한, 상기 체임버 내에 배치된 기판층, 기판 접촉 부재(member) 및 처리 화학물 중 적어도 하나를 가열하도록, 방사선 소스가 상기 체임버와 소통된다.
도 1은 본 발명에 따른 기판의 처리 장치의 일 구현예를 도시한 개략의 단면도.
도 2는 본 발명의 방법을 수행하기 위한 장치의 다른 구현예를 도시한 개략의 단면도.
도 3은 본 발명에 따른 처리 장치의 또 다른 구현예를 도시한 개략의 평면도.
도 4는 본 발명에 따른 다른 구현예를 도시한 개략의 단면도.
도 5는 본 발명에 따른 처리 장치의 다른 구현예를 도시한 개략의 평면도.
이하, 첨부 도면을 참조하여 본 발명의 특성 및 이점에 대해 설명한다.
도 1은 본 발명에 따른 기판의 처리 장치(10)의 일 구현예를 도시한 개략의 단면도이다. 기판 또는 웨이퍼(2)는 체임버(6) 내에 배치된 턴테이블(turntable)(4) 상에서 지지된다. 기판(2)은 실리콘, GaAs, 기타 반도체 재료, 수정, 보로실리케이트 유리, 평판 디스플레이(flat panel display), MEMS 소자(microelectro-mechanical device), 하드 디스크 기판, 생체의학용슬라이드(biomedical slide) 및 기타 매체를 포함하는 복수 개의 상이한 물질을 포함할 수 있으나, 전술한 것에 제한되지 않는다. 기판(2)의 표면은 유전성, 금속성, 유기, 또는 유기 금속성 물질과 같은 상이한 물질의 패턴층을 추가로 포함할 수 있다. 본 발명에서, "유기 금속성(organo-metallic)"이란 용어는 1종 이상의 금속도 포함하는 임의의 탄소 함유 물질을 칭한다. 상기 유기 금속성 물질을 예시하면, 인 또는 붕소와 같은 금속으로 이온 주입된 유기 포토레지스트 물질을 들 수 있다. 유기 금속성 물질의 또 다른 예로는 플라즈마 에칭 시의 화학적 부산물을 들 수 있으며, 상기 부산물은 소자 구조물의 측벽에서 석출될 수 있다.
체임버(6)는 기판(2)과 반응할 화학 물질을 각각 주입 및 배출하는 주입구(8) 및 배출구(9)를 포함한다. 체임버(6)는 처리 중에 승압 또는 감압 상태에서 상기 처리 화학물이 유지될 수 있도록, 전체적으로 또는 부분적으로 밀폐될 수 있다. 처리를 위해 체임버(6)에 도입된 화학 물질들은 기판(2) 또는 상기 기판에 존재하는 물질과 반응할 임의의 가스, 액체 또는 가스/액체 조합물을 포함할 수 있다.
또한, 방사선 발생기(14)에 의해 방출되는 방사선(12)이 체임버(6)에 진입하여 웨이퍼(2)와 접촉함으로써, 웨이퍼(2) 또는 웨이퍼(2) 상에 존재하는 층을 가열시키도록, 체임버(6)는 기판 또는 상기 기판 정상부의 물질층을 가열하는데 이용되는 방사선 투과성 물질로 이루어진다. 그 대안으로서, 체임버(6)는 방사선을 투과할 수 없는 물질을 포함할 수 있으나, 상기 체임버로의 방사선 진입을 허용하는 방사선 투과성 재료를 포함하는 창(window)을 추가적으로 포함할 수 있다.
방사선 발생기(14)는 도파관(waveguide)(13)을 통해 체임버와 소통되는 전자관(magnetron)(11)을 포함한다. 방사선 발생기(14)는 주파수가 915 또는 2450 ㎒인 마이크로파 방사선 발생기를 포함한다. 이러한 마이크로파 소스는 통상적으로 약 300 내지 1200 W 범위의 전력을 나타낸다. 그러나, 본 발명의 구현예에서 이용되는 마이크로파 발생기는 임의의 특정 주파수 또는 전력 범위에 제한되지 않으며, 그 대안으로서, 별도의 고정 또는 가변 전력, 주파수 또는 펄스 폭(pulse duration)을 이용하여 특별히 산업적으로 고안된 것일 수 있다. 예를 들면, 본 발명에서는 가변 주파수, 가변 전력, 및/또는 미세하게 제어된 전력 레벨을 이용하는 발생기를 이용하는 것이 바람직할 수 있다.
도파관(13)은 방사선 발생기(14)로부터 발생된 방사선을 받아들여, 상기 방사선을 체임버(6)에 단일 모드로 이송하도록 배치된다. 체임버(6)는 상기와 같이 적용된 방사선을 이용하여 체임버 내에 배치된 기판(들)이 균일하게 가열되도록 고안되어 있다. 본 발명의 일 구현예에서, 체임버(6)는 상기와 같이 적용된 방사선의 일극성이 유지되도록, 도파관(13)과 유사한 치수를 갖는 것일 수 있다. 본 발명을 어떠한 특정 이론에 국한하고자 하는 것은 아니지만, 본 발명의 일 구현예에서는 일극성 방사선을 이용하여 체임버 내표면을 방사선 흡수 물질로 라이닝(lining)하여, 원치 않는 다중 모드의 방사선을 발생시키는 방사선의 내부 반사율을 저하시킬 수 있다.
또한, 웨이퍼를 가열하기 위해 체임버에 적용되는 방사선은 다중 모드 방사선인 것이 바람직할 수 있다. 이는 기판에 적용되는 방사선 에너지의 대부분이 흡수되지 않는다면 투과될 수 있으므로, 반도체 소자의 제조 시에 이용되는 단결정 실리콘 기판을 포함하는 다양한 물질이 상대적으로 마이크로파 방사선을 투과시키기 때문이다. 따라서, 본 발명의 일 구현예에 따른 방법 및 장치를 이용함으로써 신속한 가열 효과가 얻어지도록, 반사된 방사선을 투과시킬 수 있다.
본 발명에 따르면, 처리 체임버 내에 배치된 기판을 균일하게 가열하기 위해 상기 처리 체임버에 다중 모드 방사선을 다양한 방식으로 적용할 수 있다. 도 1에 도시한 별도의 구현예에서는 턴테이블을 이용하여, 적용된 방사선의 방향에 대해서 웨이퍼를 회전시킴으로써 웨이퍼(들)를 균일하게 가열할 수 있다. 그 대안으로서, 상기 체임버 내에 존재하는 기판(들)을 가열하기 위해, 회전 금속 팬과 같은 모드 교반기 구조물(mode stirrer structure)은 방사선 발생기로부터 발생된 단극성 방사선이 캐비티(cavity) 내에서 무질서하게 반사되도록 상기 체임버 내에 배치될 수 있다. 또 다른 대안으로서, 본 발명의 구현예에에서는 다중 모드 방사선을 이용하여 균일한 가열 공정을 달성하기 위해, 상기 마이크로파 발생기로부터 오실레이팅(oscillating) 주파수 또는 상이한 펄스 폭의 방사선이 방출될 수 있다. 아울러 다른 대안으로서, 다양한 마이크로파 발생기는 복수 개의 모드를 갖는 방사선을 동시에 적용하도록 이용될 수 있다.
도 1의 구현예는 발생기(14)로부터 발생된 방사선(12) 방향과 평행한 평면 내의 턴테이블(4) 상에 수평 방향으로 지지된 웨이퍼(2)를 나타낸다. 그러나, 본 발명은 전술한 바와 같은 특정 배치에 국한되지 않으며, 다른 구현예로서, 상기 기판은 발생기로부터 발생된 방사선에 대해 수직 방향으로, 또는 발생기로부터 방출된 방사선 방향에 대해 임의의 다른 배향으로 지지될 수 있다.
공정의 수행 시에 기판(2)은 체임버(6) 내의 턴테이블(4) 상에 배치된다. 주입구(8)를 통해 처리 화학물을 체임버(6) 내로 유입한다. 발생기(14)로부터 발생된 방사선(12)을 체임버(6)로 그리고 웨이퍼(2)와 접촉하도록 투과시켜, 웨이퍼(2)를 가열시킨다. 이 때, 방사선(12)을 상기 체임버(6)의 내표면(6a) 바깥쪽으로 반사시킴으로써, 상기 방사선을 웨이퍼(2)와 직접 접촉시킬 수도 있다.
방사선(12)과 웨이퍼(2), 또는 방사선과 상기 웨이퍼 상에 존재하는 물질층 간의 상호 작용의 결과, 상기 웨이퍼 또는 상기 웨이퍼를 덮는 물질이 가열된다. 이어서, 가열된 기판(2) 또는 상기 기판 표면에 존재하는 가열된 물질은 체임버(6) 내에 존재하는 화학물과 반응한다. 상기 처리 화학물의 반응 특성과 관련하여, 기판의 온도를 상승시키면 바람직한 화학 반응을 얻을 수 있다.
처리 화학물의 연속 흐름이 상기 체임버를 통과하는 공정을 종료 시에 또는 상기 공정 중에는 소비된 처리 화학물을 배출구(9)를 통해 체임버(6)로부터 배출할 수 있다. 그리고, 방사선 발생기(14)로부터 체임버로의 방사선 적용을 중단함으로써, 처리된 웨이퍼(2)를 종래의 접촉 히터를 이용할 때 얻어지는 속도보다 훨씬 빠른 속도로 냉각시킬 수 있다. 본 발명에 따른 구현예에 의해 제공되는 신속한 냉각 공정을 통해 처리량을 증가시킬 수 있어, 공정 비용을 감소시킬 수 있다.
본 발명의 구현예는 기판에 어떤 특정 형태의 화학 처리를 수행하는 것에 국한되지 않는다. 특히, 본 발명을 적용하는데 특히 가능성 있는 한 분야로는, 오존을 이용하여 반도체 웨이퍼 표면으로부터 유기 금속성 포토레지스트 물질 패턴을스트리핑(stripping)(제거)하는 것이다. 이 같은 구현예에서, 마이크로파에 의해 가열된 기판의 온도를 상승시킴으로써, 유기 금속성 물질을 소비하는 오존을 이용하여 반응 속도를 빠르게 촉진할 수 있다.
본 발명의 구현예에서, 마이크로파 방사선의 적용 단계는 반응성 오존을 함유하는 산소 가스 또는 기타 처리 화학물을 적용하는 단계와 분리하여 적용될 수 있다. 이온 주입된 포토레지스트의 제거 공정에서는 이온 주입된 웨이퍼를 가열하고, 독립적인 생성기를 이용하여 산소로부터 오존을 생성시킨다. 이 오존 가스는 마이크로파 에너지와 상호 반응하지 않아, 마이크로파 에너지의 영향을 받지 않으므로 가열된 유기 금속성 코팅물 표면에 도달할 때까지 분해되지 않는다. 상기와 같이 생성된 오존은 웨이퍼 표면에 존재하며 주의 깊게 다뤄야 하는 구조물을 손상시킬 수도 있는, 다량의 고에너지 반응성 이온 또는 라디칼을 포함하지 않는다.
상기 기판 표면에서는 오존의 분해로 인해 비교적 낮은 에너지를 갖는 반응성 종의 농도가 높기 때문에, 본 발명의 방법에 따라 세정된 기판이 실질적으로 잔여물을 포함하지 않을 수 있다. 본 발명의 일 구현예에서는 직경이 200 ㎜인 두 개의 웨이퍼 각각에 두께가 12,500Å인 포지티브 노볼락 포토레지스트 수지를 형성하였다. 제1 웨이퍼의 포토레지스트에는 비소(As)로 이온 주입하고, 제2 웨이퍼의 포토레지스트에는 인(P)으로 이온 주입하였다. 상기 As 및 P 주입물 모두 10,000 ㎂에서 50 KeV의 주입 에너지를 이용하여 약 3×1015원자/㎠의 주입량으로 주입되었다.
이어서, 상기 이온 주입된 레지스트를 포함하는 웨이퍼를 40%의 전력 세팅으로 조작되는 1100 W 마이크로파 오븐에서 대기압 하에 가열하고, 약 150,000 ppm보다 큰 농도에서 생성된 오존 가스를 1.5 slm의 유속으로 상기 오븐을 통과시킨다. 이 같은 처리를 수행함으로써, 상기 이온 주입된 포토레지스트를 상기 웨이퍼로부터 8분 미만의 시간 내에 제거할 수 있다. 종래의 포토레지스트 제거 공정과 비교해 볼 때, 종래에 이용해온 고온 또는 저온의 오존 공정을 통해서는 전술한 방법으로 이온 주입한 레지스트 물질이 전혀 제거되지 않았다.
전술한 실험은 농도가 약 150,000 ppm인 오존을 이용하여 생성된 가스에 노출시킴으로써 포토레지스트 물질을 제거하는 공정에 대한 것이지만, 본 발명에서는 이러한 공정이 필요하지 않으며, 본 발명에서는 오존 농도의 상한이 알려져 있지 않기 때문에, 전술한 바와는 달리 농도가 1,000 내지 400,000 ppm 범위 및 그 이상인 오존을 이용할 수 있다. 또한, 상기 실험은 산화제로서 오존을 적용하는 단계를 포함하나, 본 발명에서는 산화제로서 오존을 사용할 필요가 없고, 그 대신, 산소, 과산화수소 및 기타 과산화물과 같은 산화시키는 종 또는 산화시키는 종들의 조합을 이용할 수 있다.
본 발명의 구현예에서 사용되는 포토레지스트 제거 또는 기타 적용 단계에서, 상기 공정의 효과 및/또는 속도를 향상시키기 위해 상기 처리 화학물을 정압(positive pressure) 하의 밀폐된 또는 실질적으로 밀폐된 처리 체임버에 방치할 수 있다. 상승된 온도에서의 처리에 대한 논의는 공동 계류중인 특허출원 제10/150748호(2002년 5월 17일 출원)에 상세히 기재되어 있으며, 상기 문헌은 본발명의 참조 문헌으로서 그 전문이 본 명세서에 병합되어 있다.
본 발명에 병합된 상기 출원에 상세히 기재된 바와 같이, 처리관 내의 압력을 출구압보다 큰 압력으로 증가시키거나, 또는 처리 유체를 배출구로부터 관으로 배출하도록, 처리 유체를 밀폐된 처리관으로 유동시키거나, 또는 처리 유체를 한정된 용량의 배출구를 갖는 처리관으로 유동시킴으로써, 정압 하에서의 처리 공정을 달성할 수 있다. 기상의 또는 압축 가능한 처리 화학물 및 성분에 대해서, 처리관 내에서의 압력을 증가시킴으로써 부피 농도가 증가된다. 상기 처리 중에 체임버에서의 증가된 압력은 통상적으로 약 1 내지 100 ATM 범위이다. 본 발명의 해당 구현예에서는 상기 처리관을 미리 가압할 수 있다.
기상(gas phase)의, 활성이 있는 처리 성분의 압력 및/또는 농도를 상승시킴으로써, 상기 기상 성분과 웨이퍼 표면 사이의 직접적인 상호 반응을 촉진할 수 있다. 그 대안으로서, 또는 상기 기상 성분과 웨이퍼 표면 사이의 직접적인 상호 작용과 함께, 상기 기상 성분의 압력을 증가시킴으로써 체임버 내에 존재할 수 있는 이들의 액상 성분 농도를 증가시킬 수 있어, 화학 반응성 향상과 같은 바람직한 처리 효과를 얻을 수 있다. 본 발명의 구현예에 따라 방사선을 적용함으로써 수반되는 상기와 같은 가압 처리 및 고온에서의 공정 수행을 통해 처리 공정의 속도 및 효율을 더욱 향상시킬 수 있다.
본 발명의 일 구현예에 따른 처리 공정은 "체임버" 내에서 수행되는 것이기 때문에, 분리된 처리관을 이용할 필요가 없으며, 압력 강하를 통해 처리 유체가 처리 부분으로 또는 처리 부분을 통해 유동한다. 그리고, 전술한 본 발명의 구현예에서는 대기압보다 큰 압력 하에서 조작하는 것을 설명하였으나, 다른 구현예에서는 예를 들면, 처리 화학물을 도입하기 전에 처리 체임버를 배기(evacuation)하여, 대기압보다 작은 압력에서 조작될 수 있다.
본 발명의 구현예에 따라, 상기 처리 화학물의 조합물을 상기 체임버 내로 도입할 수 있다. 예를 들면, 포토레지스트 제거 반응을 개선하기 위해 산화제와 함께 산을 이용할 수 있다. 본 발명에서 처리 화학물 성분으로서 이용될 수 있는 산을 예시하면, 무기산과 아세트산, 포름산, 부티르산, 프로피온산, 시트르산, 옥살산 및 설폰산과 같은 유기산을 들 수 있으며, 본 발명에서 이용되는 산은 전술한 것에 제한되지 않는다. 이러한 산은 체임버 내에 기상, 액적형(droplet)의 액상, 또는 더스트형의 고상으로 도입될 수 있다. 상기 처리 화학물의 활성 성분으로서 다른 예를 들면, 계면활성제 및 킬레이트제(chelating agent)가 있으나, 이에 제한되지 않는다.
유기 금속성 포토레지스트의 제거 반응을 촉진하기 위해 오존계 화학물을 이용하여 반도체 기판을 가열하는 공정에 대해서는 앞서 기재하였으나, 본 발명은 이러한 적용에 특별히 국한되지 않는다. 본 발명에 따른 방법 및 장치는 다른 형태의 웨이퍼를 처리하기 위해, 다른 형태의 처리 화학물과 함께 이용될 수 있다. 본 발명에서 기타 형태의 웨이퍼 처리 공정으로 적합한 것을 예시하면, 실리콘 옥사이드 또는 실리콘 나이트라이드와 같은, 기판을 덮는 무기층의 에칭 공정을 들 수 있으나 이에 제한되지 않으며, 이 후에는 종래 기술에 공지된 RCA 세정 씨리즈 등과 같은 후처리 세정 공정을 수행한다.
또한, 지금까지는 체임버 내의 내용물을 가열하기 위해 마이크로파를 적용하는 것에 초점을 맞추어 설명하였으나, 본 발명에서는 전술한 바와 같이 적용할 필요는 없다. 본 발명에서는 체임버 내의 기판을 가열하기 위해, 마이크로파 이외 형태의 방사선을 적용할 수 있으며, 이 때의 방법 및 장치는 본 발명의 범위 내에 포함된다. 예를 들면, 본 발명의 또 다른 구현예에서는 몇 ㎒ 내지 수십 ㎓ 범위의 주파수를 갖는 방사선을 이용하는 전자기 유도파 가열(EMIH: electromagnetic induction heating) 공정을 이용하여 기판을 가열할 수 있다.
아울러, 도 1은 본 발명에 따른 처리 공정을 수행하기 위한 장치의 일 구현예만을 도시한 것으로서, 기타 장치 및 방법도 본 발명의 범위 내에 포함된다. 예를 들면, 도 2는 본 발명에 따른 처리 공정을 수행하기 위한 장치의 또 다른 구현예를 도시한 개략의 단면도이다. 도 2의 장치(20)는 도 1의 장치와 유사하지만, 도 2의 장치는 체임버(24) 내에 물이 충전된 코일(22)을 추가로 포함한다. 코일 내의 물(22)은 체임버 내의 방사선을 흡수하여 가열됨으로써, 상기 체임버 벽으로부터 방사선이 반사되는 것을 억제하는 효과가 있다.
도 2의 구현예에서는 상기 체임버 내에 방사선을 흡수하는 순환수 스트림(circulating water stream)으로 충전된 코일을 포함하나, 본 발명은 이러한 형태에 제한되지 않는다. 전술한 형태와 유사한 것으로, 상기 체임버 벽을 방사선 흡수성 물질 물질로 코팅하는 방법, 상기 체임버 내에 또는 웨이퍼 표면에 기타 방사선 흡수성 물질로 이루어진 미스트를 분사하는 방법, 또는 단순히 체임버 내에 물 또는 기타 방사선 흡수성 물질을 배치하는 방법을 들 수 있다.
도 3은 본 발명에 따른 처리 장치의 또 다른 구현예를 도시한 개략의 평면도이다. 도 3의 장치(30)는 도 1의 장치와 유사하지만, 도 3의 장치에는 마이크로파 발생기(38)로부터 방출된 방사선(36) 방향에 대해서 복수 개의 웨이퍼(34)를 지지 및 회전시키기 위한 턴테이블(32)이 배치되어 있다. 또한, 연속 공급되는 처리 화학물이 웨이퍼(34)의 표면(34a)을 가로질러 유동하도록, 상기 체임버(44)의 주입구(40) 및 배출구(42)가 배치되어 있다. 또한, 도 3의 구현예는 상기 기판(34)이 마이크로파 방사선(36) 방향에 수직 방향으로 배향된 것을 도시하였으나, 본 발명은 이러한 형태만을 필요로 하는 것은 아니다. 즉, 기판(34)은 마이크로파 발생기(38)기에 대해 다른 배향으로 하여 턴테이블(32)에 의해 지지될 수 있다. 또한, 도 3은 상기 웨이퍼를 지지하는 턴테이블 구조물의 회전을 나타내나, 이것이 본 발명에 필수적인 것은 아니다. 그 대안으로서 다른 구현예에서는 회전 또는 스피닝(spinning) 롤러 또는 기타 구조물과 기판의 모서리 사이의 접촉을 통해서, 상기 기판이 체임버 내에서 방사선에 대해 회전할 수 있다.
도 4는 본 발명에 따른 처리 장치의 또 다른 구현예를 도시한 개략의 단면도이다. 도 4의 장치(40)는 도 1의 장치와 유사하나, 도 4의 장치는 상기 체임버 또는 상기 체임버 벽에서 UV 투과성 벽을 통해 체임버(44)와 소통되는 자외선(UV) 방사선 소스(42)를 추가적으로 포함한다. 도 3에서는 UV 방사선 소스(42)가 체임버(44) 외부에 배치되나, 본 발명은 이러한 형태에 제한되지 않으며, 본 발명의 또 다른 구현예에서 상기 UV 방사선 소스는 상기 체임버 내에 직접 존재할 수 있다.
UV 방사선 소스(42)는 마이크로파 소스(48)에 의해 제공되는 마이크로파 방사선(10-4≤λ≤10-1m)보다도 실질적으로 더욱 짧은 범위(10-6≤λ≤10-8m)의 파장을 갖는 방사선(46)을 체임버(44)에 제공한다. 따라서, 체임버(44)로 전달된 UV 방사선(46)을 체임버 내에 존재하는 화학 물질들과 바람직하게 상호 반응시킬 수 있다.
예를 들면, 파장이 254 ㎚인 UV 방사선을 적용하여 체임버 내의 오존으로부터 산소 분자 또는 산소 라디칼과 같이 반응성이 높은 종을 생성할 수 있다. 이러한 공정 대신에 또는 이러한 공정과 함께, 파장이 222 ㎚인 UV 방사선을 적용하면 체임버 내에 존재하는 과산화수소로부터 하이드록시 라디칼을 생성할 수 있다. 본 발명의 또 다른 구현예에서는 엑시머 램프(excimer lamp)와 같은 소스로부터 처리 체임버에 존재하는 산소에 172 ㎚에서의 UV 방사선을 적용할 수 있다. 또한, 이 172 ㎚의 UV 방사선을 적용함으로써, 오존을 전혀 사용하지 않고도 산소 분자로부터 반응성이 있는 산소 라디칼을 직접 형성할 수 있다. 그 외에 UV 방사선을 적용하여 산소 라디칼을 생성할 수도 있으며, 잠재적으로 반응성이 있는 종으로는 방사 시에 반응성이 높은 산소 라디칼을 형성할 수 있는 N2O가 있으나, 이에 제한되지 않는다.
이와 유사한 임의의 방법에서는 기판의 표면에 방사선 소스를 근접하게 하여 라디칼 종을 생성시킴으로써, 기판 표면과 라디칼 종이 바람직하게 반응하도록 할 수 있다. 이렇게 하여, UV 방사선과의 상호 반응에 의해 생성된 짧은 수명의 라디칼 종들이 에너지를 갖지 않는(non-energized) 종으로 쇠퇴하여 처리 효율이 저하되기 전에 기판 표면과 신속한 반응을 일어날 수 있다.
아울러, 기상의 종을 배기된 체임버에 도입하는 경우에는 UV 방사선과의 상호 작용에 의해 생성된 라디칼 및 기타 반응성이 있는 종들의 수명을 연장시킬 수 있다. 따라서, 도 4에 도시한 장치의 일구현예는 체임버와 소통되는 유체에 진공 펌프(50)를 포함하며, 상기 진공 펌프는 처리 중에 체임버를 진공 상태로 형성한다. 본 발명에서는 저압을 이용하는 경우가 UV 보조 처리에 의한 것으로 국한되지 않으며, UV 방사선을 이용하지 않고 저압을 이용할 수 있다.
도 5는 본 발명에 따른 처리 장치의 또 다른 구현예를 도시한 개략의 평면도이다. 도 5의 장치(50)는 도 4의 장치와 유사하나, 도 5의 장치에는 웨이퍼 후면(56b)에 근접한 마이크로파 소스(52) 및 웨이퍼 전면(56a)에 근접한 UV 소스(54)를 포함하여, 웨이퍼(56)의 반대편에 마이크로파 소스(52) 및 UV 소스(54)가 배치된다. 도 5에 도시한 구현예는 기판의 표면을 가로질러 연속적으로 흐르는 처리 화학물을 유지시키는데 이용되는 배출 포트(58)를 포함하여, 주입 가스의 흐름이 웨이퍼 전면과 후면 모두를 통과하도록 한다.
특정 적용 시에, 도 5에 도시한 구현예에서는 웨이퍼 패키지에 대해 마이크로파 또는 고주파 방사선(RF: radio frequency radiation)을 차단(blocking) 및/또는 반사시켜, 상기 마이크로파 또는 RF 방사선이 웨이퍼 전면을 덮는 처리 화학물에 도달하여 이들과 반응하는 것을 방지하면서, 방사된 마이크로파 또는 RF 방사선을 흡수하여 고온이 되는 웨이퍼(56) 또는 상기 웨이퍼와 초기에 접촉하거나 그 위에 존재하는 물질들을 이용할 수 있다. 도 5에 도시한 형태는 바람직한 처리 공정을 얻기 위해서, 마이크로파를 이용한 웨이퍼 가열 공정에 UV 방사선을 동시에 적용한다. 도 5의 구현예는 상기 체임버와 직접 소통되는 UV 소스를 도시한 것으로서, 본 발명은 상기와 같은 형태로 제한되지 않으며, 상기 UV 방사선은 렌즈 또는 거울을 포함하는 반사/집중(focusing) 네트워크를 통해 체임버 및 웨이퍼에 방사될 수 있다.
본 발명에 따른 방법 및 장치의 구현예는 종래의 처리 기술에 비해 다양한 이점을 제공한다. 그 중 한 가지로서, 가열도의 향상 및 처리 효율의 증가를 들 수 있다. 예를 들면, 포토레지스트 물질의 제거 공정과 같은 공정을 달성하기 위해 체임버 내에서 오존을 이용하는 것이 바람직할 수 있다. 그러나, 온도가 증가함에 따라 오존의 안정성은 저하된다. 종래의 처리 공정은 웨이퍼의 접촉 가열 공정과 유사하거나, 또는 고온의 가스에 노출시키는 웨이퍼 가열 공정을 이용하여 웨이퍼 그 자체보다도 체임버 전체를 가열시키는 것이었다. 이러한 종래의 접촉 가열 공정에서는 오존이나 기타 반응성이 있는 처리 화학물들이 웨이퍼 표면에 도달하기도 전에 분해될 수 있다. 이러한 분해 반응은 처리 효율 및 속도를 저하시킨다.
그러나, 본 발명에 따른 구현예는 체임버 전체를 전반적으로 가열하지 않고도 특별하고 정교한 가열 공정을 달성하기 위해, 마이크로파를 체임버에 적용한다. 따라서, 상기 체임버에 도입되는 오존 또는 기타 반응성이 있는 처리 화학물은 고온의 웨이퍼 표면에 도달할 때까지 그대로 유지될 수 있으므로, 바람직한 처리 반응이 효과적으로 일어날 수 있다.
본 발명에 의해 제공되는 또 다른 이점은 처리량을 증가시킬 수 있다는 점이다. 특히, 가열 및 냉각 공정 중에는 웨이퍼로부터 또는 웨이퍼로의 열 에너지 전달에는 상당한 시간을 요하므로, 장치의 유효 처리량이 감소할 수 있다. 웨이퍼를 가열하기 위한 종래의 장치는 접촉하는 부재(member)와 가열될 웨이퍼의 온도를 높여야 할 필요가 있는 접촉 가열 공정을 이용할 수 있었다. 아울러, 이러한 종래의 방법은 통상적으로 체임버 내에 냉각 가스 또는 냉각된 구조물의 흐름을 이용한 대류와 같은 메카니즘을 통해, 가열될 웨이퍼와 가열하는 부재 모두를 냉각하는 공정을 사용할 수 있다. 그러나, 이런 방법은 가열 시에 많은 에너지를 소모하므로, 각각의 공정을 수행하는 중에 처리 체임버로부터 제거되어야만 한다.
그러나, 본 발명에 따른 많은 구현예에서는 개별적인 접촉 부재를 사용할 필요가 없어, 웨이퍼에 첨가되는 접촉 부재를 가열한 뒤 냉각할 필요가 없다. 즉, 웨이퍼를 가열하기 위해 마이크로파를 적용하고, 웨이퍼를 냉각시키기 위해 마이크로파 방사선 적용을 중단하는 것은 근접한 접촉 부재를 가열 또는 냉각시키는 공정과 관련된 시간의 지체 없이 수행될 수 있다. 이로써, 가열 및 냉각 공정의 속도 및 효율이 증가됨으로써 처리 체임버의 처리량도 증가하게 된다.
아울러, 본 발명에 따른 구현예에 의해 제공되는 또 다른 이점으로는 기판 표면을 처리 화학물에 노출시키는 공정을 개선할 수 있다는 것이다. 예를 들면, 종래의 접촉 가열 기술에서는 통상적으로 기판의 적어도 한 표면, 통상 웨이퍼 후면에 물리적으로 직접 접촉한, 또는 상기 표면에 물리적으로 근접해 있는, 가열된부재를 사용한다. 이 접촉 부재가 존재하기 때문에, 웨이퍼 후면으로의 처리 화학물 흐름이 물리적으로 방해받을 수 있기 때문에, 처리 효율, 처리 공정의 융통성(flexibility), 특히 반도체 제조 공정에서 중요한 문제로 떠오르는 웨이퍼 후면의 청정도가 저하될 수 있다.
그러나, 본 발명의 구현예에 따른 웨이퍼의 가열 공정에서는 전술한 바와 같은 문제점을 피할 수 있다. 즉, 웨이퍼 전면과 웨이퍼 후면 모두가 가열되도록 제공되는 마이크로파 또는 기타 방사선을 제공하면서, 기판의 측면 또는 기판의 모서리에 의해 체임버 내에서 지지될 수 있다. 그런 다음, 바람직한 화학 반응을 달성하기 위해, 처리 화학물을 동시에 적용할 수 있고, 상기한 바와 같이 가열된 웨이퍼의 전면과 후면에 저해된 흐름을 적용할 수 있다.
본 발명에 따른 구현예의 또 다른 이점은 신속한 열처리 공정을 유도할 수 있다는 것이다. 웨이퍼의 접촉 가열 공정을 이용하는 종래의 장치 및 방법에서는 웨이퍼의 열 에너지를 적용하는데 접촉 부재를 가열하고 냉각시키는데 필요한 시간만큼의 시간이 더 소요되었다. 따라서, 고온 하에서 연장된 시간만큼 노출됨으로써, 기판 내로 주입된 도펀트의 이동과 같은 원치 않는 효과를 피하기 위해서 별도의 공정을 수행해야 하기 때문에, 이에 대한 경비가 소모되었다.
그러나, 본 발명에 따른 구현예에서는 중개의 접촉 부재가 존재하지 않기 때문에, 웨이퍼의 가열 및 냉각 공정이 대단히 신속하게 진행된다. 상기 기판에 신속하면서도 정교하게 열에너지를 적용할 수 있기 때문에, 종래 기술에 공지된 RTP(rapid thermal processing)와 같은 방식을 이용하여 처리의 정밀도를 증가시킬수 있으며, 열적으로 유도된 도펀트의 이동과 같은 원치 않는 현상을 방지할 수 있다. 본 발명에 따른 구현예에서는 가열된 기판 또는 처리 화학물을 약 10℃/분 내지 10,000℃/분 범위의 속도로 가열시키는 것이 기대될 수 있다. 이와 마찬가지로, 처리된 웨이퍼에 적용하기 위해 선택된 냉각 기술에 따라, 가열된 기판 또는 처리 화학물을 약 10℃/분 내지 10,000℃/분 범위의 속도로 냉각시킬 수 있다.
아울러, 본 발명의 구현예에 의해 제공되는 다른 이점은 체임버 내에 존재하는, 선택적으로 다른 성분의 처리 화학물을 가열할 수 있다는 점이다. 예를 들면, 비교적 영향력이 없는 다른 성분들은 버려 두고, 마이크로파 또는 기타 방사선이 한 성분의 처리 화학물을 가열할 수 있다. 예를 들면, (물 또는 과산화수소와 같은) 특정 극성의 화합물은 비교적 손실이 크거나, 또는 방사된 방사선을 흡수하기 쉽고, 신속하게 가열되나, (테트라에톡시실리케이트(TEOS)와 같은) 기타 화합물들은 방사된 방사선에 노출되면 투과되거나 비활성이 된다.
따라서, 본 발명의 구현예에 따라, 특별한 목적을 달성하기 위한 처리 공정을 적합하게 할 수 있다. 본 발명에 따라, 처리 화학물들 중 다른 성분들의 온도를 비교적 일정하게 유지하면서, 처리 화학물의 한 성분은 방사선에 노출됨으로써 바람직하게 가열될 수 있다. 처리 화학물 성분들 간의 온도차를 이용하여 별도의 세정 또는 제거 공정에 대한 활성 및/또는 선택도를 향상시킬 수 있다. 예를 들면, 물은 방사선을 이용하여 가열하고, 이 때 오존은 비교적 영향을 받지 않는 경우, 물 미스트와 함께 오존을 이용하여 적용하는 것이 유효할 수 있다.
또한, 본 발명에 따른 구현예의 다른 이점으로 처리 공정의 융통성이 개선된것을 들 수 있다. 종래의 접촉 가열 시스템에서는 처리 화학물을 함유하는 냉각 기류가 기판을 지나쳐 유동하기 때문에, 대류에 의해 기판을 냉각시킨다. 이 같은 종래 공정에서는 웨이퍼를 전술한 해당 온도 이상으로 유지시켜야 할 필요가 있기 때문에, 처리 화학물의 웨이퍼 표면으로의 물질 전달이 제한된다. 그러나, 본 발명에 따른 구현예는 냉각 효과를 통해 처리 화학물의 웨이퍼 표면으로의 물질 전달을 분리시킬 수 있어, 처리 화학물의 증가된 흐름과 관련하여 냉각 효과를 보완하도록, 방사선의 전력을 증가시킬 수 있다.
본 발명에 따른 구현예는 통상적으로, 기판에 열 에너지를 적용하는 것이 바람직한 임의의 공정 단계에 적용될 수 있다. 따라서, 전술한 본 발명은 유기 포토레지스트 물질을 현상한 다음, 이를 오존에 노출하여 제거하는 공정과 관련이 있으며, 본 발명은 특정 용도에 제한되지 않는다. 본 발명에 따라 수행될 수 있는 다른 공정 단계를 예시하면, 산에 노출시켜 무기물을 에칭하는 단계, 이를테면, 가스 또는 용액에 용해된 형태로 HF에 노출시킴으로써 실리콘 디옥사이드를 제거하는 공정을 들 수 있다. 본 발명의 구현예에 따라 무기물층을 에칭하는데 이용될 수 있는 산으로는 F2, Cl2, HF, HCl, H2SO4, H2CO3, HNO3, H3PO4, Aqua Regia, 크롬산과 황산의 혼합물, 황산 퍼설페이트와 암모늄 퍼설페이트의 혼합물 및 이들의 조합물이 포함된다.
또한, 본 발명의 구현예에 따른 적용에서, 상기 체임버로 도입된 처리 화학물은 염기를 포함할 수 있다. 본 발명에 따른 구현예에서 이용될 수 있는 염기로는 NH3, NH4OH, NaOH, TMAH 및 KOH가 포함되며, 이에 제한되지 않는다. 이들 물질은 기상, 액상 또는 고상일 수 있다.
또한, 본 발명의 구현예에 따른 적용에서, 상기 체임버에 도입되는 처리 화학물은 계면활성제를 포함할 수 있다. 또한, 본 발명의 또 다른 구현예에 따른 적용에서, 상기 체임버에 도입되는 처리 화학물은 EDTA(ethylenediaminetetracetic acid)와 같은 킬레이트제를 포함할 수 있다.
또한, 본 발명에 따라 수행될 수 있는 다른 처리 형태로는 웨이퍼 세정 공정이 있다. 웨이퍼 세정 공정에 적용하는 경우에는, 이전에 수행한 처리 공정으로부터 유래되어 웨이퍼 상에 잔존하는 원치 않는 잔여물이 추가의 공정 수행 시에 제거된다. 이러한 웨이퍼 세정 공정은 웨이퍼를 단일의 세정 화학물에 노출시키는 단계를 포함할 수 있거나, 또는 웨이퍼를 일련의 보완적인 세정 화학물에 노출시키는 단계를 포함할 수 있다.
웨이퍼 세정 공정에 유용한 화학물로는 통상 산화 성분(oxidizing component)을 함유하는 산성 용액, 염기성 용액, 수용액, 및 이들의 조합물이 포함된다. 본 발명에서 기판의 세정 또는 다른 처리 에 유용할 수 있는 반응제는 유기산이다. 이러한 유기산을 예시하면, 아세트산, 포름산, 부티르산, 프로피온산, 시트르산, 옥살산 및 설폰산을 들 수 있으나, 이에 제한되지 않는다.
별도의 웨이퍼 세정 공정을 예시하면 종래 기술에 공지된 RCA 세정 공정이 있다. 이 다단계의 습식 공정에서는 잔여 유기물, 입자 및 금속을 제거하기 위해,보완적인 5개의 화학물 배스(bath)를 연속적으로 이용한다. 그 첫 단계로서, 잔여 유기물, 예를 들면, 현상 후에 기판 표면에 잔존하는 포토레지스트 물질이 제거되도록 기판에 H2SO4및 H2O2로 이루어진 수용액 배스를 가열하여 카로산(H2SO5)을 형성한다. 그 두 번째 단계에서 상기 기판에 함유된 산화층 및 불순물이 제거되도록 상기 기판을 실온에서 묽은 HF 수용액 배스에 침지시킨다. 세 번째 단계에서, 입자 및 기타 오염물이 제거되도록 상기 기판을 암모늄 하이드록사이드(NH4OH) 및 H2O2의 가열된 수용액 배스에 침지시킨다. 네 번째 단계에서는 금속이 제거되도록 상기 기판을 염산(HCl) 및 H2O2의 가열된 수용액 배스에 침지시킨다. 끝으로, 다섯 번째 단계에서는 이전 단계에서 산화 반응에 의해 형성된 산화층이 제거되도록 상기 기판을 묽은 HF의 배스에 다시 침지시킴으로써, 상기 산화층에 적층된 금속 오염물이 포함되지 않도록 이들을 제거하고, 웨이퍼 표면에 소수성을 부여한다. 본 발명의 구현예에서는 처리 효과를 향상시키기 위해, 전술한 RCA 세정 단계 중 하나 이상의 단계에서 방사선이 적용될 수 있다.
본 발명의 구현예에 따라 바람직하게 수행될 수 있는 다른 처리 형태로는 웨이퍼 표면을 변형시키는 것이 있다. 예를 들면, 기판의 표면을 보호하거나 기판 표면의 특성을 변화시키기 위해, 또는 체임버 내에 존재하는 처리 화학물의 반응을 통해 환원된 표면 구조물을 형성하기 위한 공정을 유도하기 위해서, 상기 체임버 내에 수소 가스와 같은 고농도의 환원제를 포함하는 처리 화학물이 존재할 수 있다. 이에 따라, 산화층의 형성이 최소화 되도록, 또는 웨이퍼 표면의 소수성 SiO결합이 소수성 SiH 결합으로 전환되도록, 실리콘 웨이퍼의 처리 공정 중에 상기 체임버 내에는 수소 가스 또는 기타 환원제가 존재할 수 있다.
본 발명은 마이크로파 방사선을 이용한 웨이퍼의 가열 공정을 기재하였으나, 상기 처리 중에 온도를 일정하게 유지해야 할 필요는 없다. 본 발명에 따른 구현예에서는 최대 효과를 얻도록, 정해진 온도 구배에 따라 가열시킬 수 있다. 온도 외에도, 다른 처리 파라미터도 시간에 따라 가변적일 수 있다. 예를 들면, 다양한 성분의 처리 화학물을 도입하는 시간은 적절한 결과를 얻을 수 있도록 적합하게 선택할 수 있다. 또한, 상기 처리 화학물이 압력 하에 존재할 경우에도, 이 압력은 본 발명의 구현예에 따라 처리를 유효하게 하도록 시간에 따라 가변적일 수 있다.
본 발명에 따른 구현예는 반도체 소자의 제조 공정 중에 이용된 기판, 몇 가지 예를 들자면, 실리콘, SiGe, GaAs, Si, GaAs, GaInP 및 GaN을 함유하는 기판의 화학적 처리에 관한 것이다. 그러나, 본 발명은 반도체 기판의 처리 공정에 국한되지 않고, 처리 공정 중에 다른 물질들에 대해 마이크로파 가열을 수행할 수 있다. 본 발명을 이용한 화학적 처리 공정의 다른 물질을 예시하면, 하드 디스크와 하드 디스크 기판, 거울, 렌즈와 같은 광학 소자, 또는 도파관, 및 MEMS(micro-electrical mechanical systems)의 제조 시에 이용되는 기판, 액정 표시 소자, 생체의학용 슬라이드, 광학 소자, 거울 렌즈, 도파관, DNA 또는 유전자 표시용 기판, 액정 표시 소자 및 기타 매체를 들 수 있으나, 이에 제한되지 않는다. 본 발명의 다른 구현예에서는 적용된 방사선에 노출시키면서 온도 상응성(temperature-responsiveness)을 향상시키기 위해, 이들 기판을 의도적으로 방사선 흡수성 물질을 이용하여 코팅할 수 있다. 온도 상응성을 적합하게 하기 위해, 본 발명에 따른 구현예에서 상이한 유형의 방사선 흡수성 물질로 이루어진 다중층을 이용할 수도 있다.
이상, 본 발명의 바람직한 방법 및 구조물에 대해 기재하였으나, 동 기술 분야의 당업자들이라면 본 발명의 범위를 벗어나지 않는 한도 내에서 본 발명의 변형 및 교체가 가능함을 이해할 수 있다. 따라서, 이러한 변형과 교체도 특허 청구 범위에 정의된 바와 같이 본 발명의 정신과 범위 내로 간주될 수 있다. 예를 들면, 전술한 특정 구현예에서는 특정 순서의 단계를 제안하였으나, 본 발명은 상기한 특정 순서에 따른 것으로 제한되지 않는다.
본 발명에 따른 방법 및 장치를 이용하면 처리 효율을 향상시킬 수 있다.

Claims (20)

  1. 처리 체임버(processing chamber)를 제공하는 단계;
    상기 처리 체임버에 기판을 삽입하는 단계;
    상기 처리 체임버에 처리 화학물을 도입하는 단계;
    상기 처리 체임버에 처리 화학물 성분을 도입하거나 또는 상기 처리 체임버에 가스를 도입하는 것 중 적어도 한 가지 방법을 이용하여 상기 처리 체임버를 가압하는 단계; 및
    기판층, 기판 접촉 부재(substrate-contacting member) 및 상기 처리 화학물 성분 중 적어도 하나가 가열되도록 방사선을 적용함으로써, 상기 기판과 상기 처리 화학물 사이의 반응을 촉진시키는 단계를 포함하며,
    상기 가압 단계를 방사선 적용 단계 이전, 이후 및 상기 단계와 동일한 시점 중 적어도 한 시점에 수행하는
    기판의 처리 방법.
  2. 제1항에 있어서,
    상기 적용된 방사선이 마이크로파, UV, IR, RF 및 전자기 유도파 중 적어도 1종을 포함하는
    것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    상기 방사선 소스가 주파수, 전력, 파형 및 펄스 폭(pulse duration) 중 적어도 한 가지를 변화시키면서 방사선을 방출하는
    것을 특징으로 하는 방법.
  4. 제1항에 있어서,
    상기 처리 화학물이 가스, 액체, 액적(droplet), 미스트(mist), 증기 및 고체 중 적어도 1종을 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    상기 처리 중 적어도 한 부분 중에 상기 기판이 체임버 및 처리 화학물 중 적어도 1종에 대해 이동하는
    것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 방사선의 적어도 일부가 기판에 대해 평행한 방향, 수직한 방향 및 평행과 수직한 방향 사이의 각도로 기판을 향하는
    것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 처리 화학물이 산, 염기, 산화제, 환원제, 탈이온수(DI: deionized water) 및 유기 용매 중 적어도 1종을 포함하는
    것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 처리 화학물이 오존을 약 100 내지 400,000 ppm 범위의 농도로 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 기판의 처리 단계가 서로 동일한 처리 화학물 및 상이한 처리 화학물 중 적어도 1종을 이용하여 서로 동일한 처리 체임버 및 상이한 처리 체임버 중 적어도 1종의 처리 체임버 내에서 수행되는 다중 처리 단계를 포함하는
    것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 기판이 유전성, 금속성, 유기 물질 및 유기 금속성 물질 중 적어도 1종을 포함하는 패턴층을 포함하는
    것을 특징으로 하는 방법.
  11. 제1항에 있어서,
    상기 처리 단계가 기판으로부터 물질을 제거하는 단계, 기판에 물질을 첨가하는 단계 및 기판을 개질하는 단계 중 적어도 한 단계를 포함하는
    것을 특징으로 하는 방법.
  12. 제1항에 있어서,
    상기 기판의 온도 변화가 10 내지 10,000℃/분의 속도로 수행되는 가열 단계 및 냉각 단계 중 적어도 한 단계에서 기인한
    것을 특징으로 하는 방법.
  13. 제1항에 있어서,
    상기 처리 체임버를 가압함으로써 대기압보다 큰 압력, 대기압 미만의 압력 및 대기압 중 적어도 1종의 압력이 얻어지는
    것을 특징으로 하는 방법.
  14. 제1항에 있어서,
    상기 처리 체임버를 배기 압력보다 높은 압력 수준으로 가압하기 이전에, 상기 처리 체임버를 배기하는 단계를 추가로 포함하는
    것을 특징으로 하는 방법.
  15. 처리 화학물 소스(processing chemistry source)와 유체 소통되는 체임버;
    처리 중에 상기 체임버 내 압력의 증가 및 감소 중 적어도 한 가지를 조절할 수 있으며, 상기 체임버와 유체 소통되는 가압 소스; 및
    상기 체임버 내에 배치된 기판층, 기판 접촉 부재 및 처리 화학물 중 적어도 하나를 가열하기 위해 상기 체임버와 소통되는 방사선 소스
    를 포함하는 기판의 처리 장치.
  16. 제15항에 있어서,
    상기 방사선 소스가 마이크로파, UV, IR, RF 및 전자기 유도파 중 적어도 1종의 소스를 포함하는
    것을 특징으로 하는 장치.
  17. 제15항에 있어서,
    상기 처리 중에 상기 기판의 배향이 상기 방사선 소스에 대해 변화되도록, 상기 기판을 지지하기 위해 상기 체임버 내에 배치되는 기판 지지체를 추가로 포함하는
    것을 특징으로 하는 장치.
  18. 제15항에 있어서,
    상기 방사선 소스가 주파수, 전력, 파형 및 펄스 폭 중 적어도 한 가지를 변화시키면서 방사선을 방출하도록 배치된
    것을 특징으로 하는 장치.
  19. 제15항에 있어서,
    상기 방사선 소스가 방사선 투과성 창(window)을 통해 상기 체임버와 소통되어 있는
    것을 특징으로 하는 장치.
  20. 제15항에 있어서,
    상기 방사선 소스가 렌즈, 거울 및 반사성 표면 중 적어도 1종을 포함하는 네트워크를 통해 상기 체임버와 소통되어 있는
    것을 특징으로 하는 장치.
KR1020040040948A 2003-06-06 2004-06-04 유기층 제거 방법 및 장치 KR20040105567A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/456,995 2003-06-06
US10/456,995 US20040159335A1 (en) 2002-05-17 2003-06-06 Method and apparatus for removing organic layers

Publications (1)

Publication Number Publication Date
KR20040105567A true KR20040105567A (ko) 2004-12-16

Family

ID=33490277

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040040948A KR20040105567A (ko) 2003-06-06 2004-06-04 유기층 제거 방법 및 장치

Country Status (4)

Country Link
US (1) US20040159335A1 (ko)
KR (1) KR20040105567A (ko)
DE (1) DE102004025959A1 (ko)
TW (1) TW200508419A (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004220670A (ja) * 2003-01-14 2004-08-05 Hitachi Ltd 磁化容易軸の向きが揃ったナノ粒子膜の作成方法とこれを用いた磁気記録媒体及びその製造方法及びその製造装置
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
JP2005183937A (ja) * 2003-11-25 2005-07-07 Nec Electronics Corp 半導体装置の製造方法およびレジスト除去用洗浄装置
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8043441B2 (en) * 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US20060048798A1 (en) * 2004-09-09 2006-03-09 Honeywell International Inc. Methods of cleaning optical substrates
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20070167029A1 (en) * 2005-11-11 2007-07-19 Kowalski Jeffrey M Thermal processing system, components, and methods
SG154438A1 (en) * 2005-12-30 2009-08-28 Lam Res Corp Cleaning compound and method and system for using the cleaning compound
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
KR100932053B1 (ko) * 2006-06-22 2009-12-15 리버 벨 가부시키가이샤 처리장치, 처리방법 및 플라즈마원
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
US8444861B2 (en) * 2006-08-11 2013-05-21 The University Of British Columbia Method and apparatus using hydrogen peroxide and microwave system for slurries treatment
US20080060682A1 (en) * 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature spm treatment for photoresist stripping
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
JP4863897B2 (ja) * 2007-01-31 2012-01-25 東京エレクトロン株式会社 基板洗浄装置、基板洗浄方法及び基板洗浄プログラム
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
TW200845220A (en) * 2007-05-04 2008-11-16 Univ Nat Chiao Tung Microwave annealing for enhancing the efficiency of polymer photovoltaic device
US8084406B2 (en) 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
JP4536784B2 (ja) * 2008-01-31 2010-09-01 富士フイルム株式会社 機能性フィルムの製造方法
EP2288965A4 (en) * 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
SG157262A1 (en) * 2008-06-06 2009-12-29 Turbine Overhaul Services Pte Microwave assisted chemical stripping of coatings
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
KR101123811B1 (ko) * 2009-07-10 2012-03-19 에이펫(주) 웨이퍼 세정장치 및 그를 이용한 웨이퍼 세정방법
CN101943868B (zh) * 2010-08-03 2012-12-19 无锡科硅电子技术有限公司 去除光刻胶的方法与装置
DE112011105120T5 (de) * 2011-03-31 2014-01-16 Toyota Jidosha Kabushiki Kaisha Fahrzeugluftreiniger
CN102500578B (zh) * 2011-11-15 2014-02-19 昆山明创电子科技有限公司 电磁钢渣吸附器
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
CN103949431B (zh) * 2014-04-28 2015-09-30 江苏双仪光学器材有限公司 一种黄材镜片清洗工艺
JP5994821B2 (ja) * 2014-06-13 2016-09-21 ウシオ電機株式会社 デスミア処理装置およびデスミア処理方法
US9418846B1 (en) 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
CN105234131A (zh) * 2015-11-02 2016-01-13 中国工程物理研究院激光聚变研究中心 一种用于去除光机元件表面微量有机污染物的烘烤装置
TWI725220B (zh) 2016-08-12 2021-04-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
US20210296118A1 (en) * 2020-03-19 2021-09-23 International Business Machines Corporation Embedded Metal Contamination Removal from BEOL Wafers
CN112343511B (zh) * 2020-11-25 2022-02-11 东北大学 一种基于微波加热液氮冷却的冷热冲击破岩装置及方法
CN115595593A (zh) * 2022-09-27 2023-01-13 上海凯矜新材料科技有限公司(Cn) 一种锂电池铝箔表面去氧化膜方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211175A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activated gas reacting apparatus
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4243744A (en) * 1978-12-22 1981-01-06 Exxon Research & Engineering Co. Microwave curing of photoresist films
US4273950A (en) * 1979-05-29 1981-06-16 Photowatt International, Inc. Solar cell and fabrication thereof using microwaves
KR860002082B1 (ko) * 1983-01-19 1986-11-24 가부시기가이샤 도시바 레지스트 패턴의 형성 방법 및 장치
US4565669A (en) * 1983-04-21 1986-01-21 Cem Corporation Microwave ashing apparatus
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US5418397A (en) * 1990-07-04 1995-05-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interconnection pattern
JPH04230018A (ja) * 1990-12-27 1992-08-19 Orc Mfg Co Ltd ホトレジストの光灰化装置
JPH04352157A (ja) * 1991-05-30 1992-12-07 Toyota Autom Loom Works Ltd レジスト除去方法
JPH05109674A (ja) * 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
US5538025A (en) * 1991-11-05 1996-07-23 Serec Partners Solvent cleaning system
GB2271518B (en) * 1992-10-16 1996-09-25 Korea Res Inst Chem Tech Heating of fluidized bed reactor by microwave
US5382446A (en) * 1993-05-19 1995-01-17 Hughes Aircraft Company Surface treatment process for liquid crystal cell substrates
US5464480A (en) * 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
JPH07109573A (ja) * 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5963823A (en) * 1995-05-19 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film semiconductor device
US5558800A (en) * 1995-06-19 1996-09-24 Northrop Grumman Microwave power radiator for microwave heating applications
JPH09270421A (ja) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
KR100197157B1 (ko) * 1996-07-16 1999-06-15 박원훈 마이크로파를 이용한 강유전, 고유전, 전왜, 반도성, 또는 전도성 세라믹 박막의 급속 열처리 방법
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US5683564A (en) * 1996-10-15 1997-11-04 Reynolds Tech Fabricators Inc. Plating cell and plating method with fluid wiper
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JP2000510434A (ja) * 1997-04-10 2000-08-15 ニューコン システムズ、インコーポレイション 厚壁セラミック製品の製造方法および装置
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US6333283B1 (en) * 1997-05-16 2001-12-25 Sumitomo Electric Industries, Ltd. Silica glass article and manufacturing process therefor
DE19735378A1 (de) * 1997-08-14 1999-02-18 Wacker Chemie Gmbh Verfahren zur Herstellung von hochreinem Siliciumgranulat
JP2003522826A (ja) * 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
ATE352868T1 (de) * 1998-07-23 2007-02-15 Surface Technology Systems Plc Verfahren für anisotropes ätzen
US6106690A (en) * 1998-12-07 2000-08-22 Reynolds Tech Fabricators, Inc. Electroplaner
US6468599B1 (en) * 1998-12-25 2002-10-22 International Business Machines Corporation Method for removing organic compound by ultraviolet radiation
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
US6217735B1 (en) * 1999-05-19 2001-04-17 Reynolds Tech Babricators, Inc. Electroplating bath with megasonic transducer
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
US6268596B1 (en) * 1999-08-24 2001-07-31 Ut-Battelle, Llc Apparatus and method for microwave processing of liquids
US6133076A (en) * 1999-08-24 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor
US6627846B1 (en) * 1999-12-16 2003-09-30 Oramir Semiconductor Equipment Ltd. Laser-driven cleaning using reactive gases
US6743301B2 (en) * 1999-12-24 2004-06-01 mFSI Ltd. Substrate treatment process and apparatus
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6371134B2 (en) * 2000-01-31 2002-04-16 Advanced Micro Devices, Inc. Ozone cleaning of wafers
US6319386B1 (en) * 2000-02-03 2001-11-20 Reynolds Tech Fabricators, Inc. Submerged array megasonic plating
KR100463237B1 (ko) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
WO2002027775A1 (fr) * 2000-09-28 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Procede et appareil de traitement de plaquettes
JP4014127B2 (ja) * 2000-10-04 2007-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US6716571B2 (en) * 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer

Also Published As

Publication number Publication date
DE102004025959A1 (de) 2004-12-30
US20040159335A1 (en) 2004-08-19
TW200508419A (en) 2005-03-01

Similar Documents

Publication Publication Date Title
KR20040105567A (ko) 유기층 제거 방법 및 장치
US4699689A (en) Method and apparatus for dry processing of substrates
US4687544A (en) Method and apparatus for dry processing of substrates
US4673456A (en) Microwave apparatus for generating plasma afterglows
US4689112A (en) Method and apparatus for dry processing of substrates
EP0714119B1 (en) Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
KR20190114773A (ko) 기판 랙과 기판 처리 시스템 및 방법
EP0234387A2 (en) Method of removing photoresist on a semiconductor wafer
US20080299780A1 (en) Method and apparatus for laser oxidation and reduction
KR20070029085A (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
US20080296258A1 (en) Plenum reactor system
JP3611196B2 (ja) 基板から有機物質を除去する方法
EP0925142B1 (en) Laser removal of foreign materials from surfaces
JPH05275326A (ja) レジストのアッシング方法
US20060180174A1 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
EP1032026B1 (en) Method of photoresist ash residue removal
US7270724B2 (en) Scanning plasma reactor
JP4299638B2 (ja) 基板処理装置および基板処理方法
US6123803A (en) Laser processing chamber with cassette cell
WO1997017164A1 (en) Damage-free laser surface treatment method
JP3218348B2 (ja) プラズマアッシング方法
US20090032192A1 (en) Method for Resist Strip in Presence of Low K Dielectric Material and Apparatus for Performing the Same
JP2000012526A (ja) プラズマ処理装置およびプラズマ処理方法
JP2000012521A (ja) プラズマアッシング方法
JPH0786240A (ja) 表面処理装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid