KR20040020912A - 플라즈마 프로세서 - Google Patents

플라즈마 프로세서 Download PDF

Info

Publication number
KR20040020912A
KR20040020912A KR10-2003-7015780A KR20037015780A KR20040020912A KR 20040020912 A KR20040020912 A KR 20040020912A KR 20037015780 A KR20037015780 A KR 20037015780A KR 20040020912 A KR20040020912 A KR 20040020912A
Authority
KR
South Korea
Prior art keywords
electrode
ground
voltage
chamber
variable
Prior art date
Application number
KR10-2003-7015780A
Other languages
English (en)
Other versions
KR101048635B1 (ko
Inventor
니얼 맥기어레일트
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040020912A publication Critical patent/KR20040020912A/ko
Application granted granted Critical
Publication of KR101048635B1 publication Critical patent/KR101048635B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

진공 플라즈마 프로세서는 챔버에서 가공물을 처리하기 위해 플라즈마로 여기 가스를 위한 리액티브 임피던스와 매칭 네트워크 사이에 연결된 전압-전류 검출기를 포함한다. 일정한 논-제로 AC 파라미터는 챔버에서의 전극과 접지 사이에 연결에서 유지된다. 전극과 접지로의 연결은 AC 에너지원이 전극과 DC 결합되지 않는 것이다.

Description

플라즈마 프로세서{PLASMA PROCESSOR}
진공의 플라즈마 프로세서들은 일반적으로 반도체, 유전체 및 금속 기판들인 가공물(workpiece)들상에 물질들을 증착하고 가공물들로부터 물질들을 에칭하는 데 이용되어 왔다. 가스는 가공물이 위치된 진공의 플라즈마 처리 챔버 안으로 도입된다. 챔버 압력은 일반적으로 0.1에서 1000토르(torr)의 범위이다. 가스는 RF 전계 혹은 전자계에 응답하여 RF 플라즈마로 점화된다. RF 필드는 보통 그 가스로 자기 및 정자기 RF계들을 결합한 코일이나 전극배열인, 리액티브 임피던스 요소에 의해 제공된다. 리액티브 임피던스 요소는 가스가 플라즈마로 점화되도록 충분한 전력및 RF 주파수를 가지는 RF 소스에 연결된다. 소스와 리액티브 임피던스 요소 사이의 연결들은 RF 소스와 직접 연결된, 상대적으로 긴 케이블을 지난다. 케이블과 리액티브 임피던스 요소 사이의 공진 매칭 네트워크는, 소스의 임피던스를 작동하고 있는 부하에 매칭하도록 조정된 적어도 하나의 가변 리액턴스를 포함한다.
소스쪽에서 보여지는 부하는 실질적으로 랜덤하고, 예측할 수 없는 변형들이기 쉽다. 부하는 플라즈마 상태로 가스가 점화되기 전에 상대적으로 높은 임피던스를 가진다. 점화되어지는 플라즈마에 응답하여, 활성화된 플라즈마 상태에서 실질적으로 전하 캐리어들, 즉 전자들과 이온들의 존재에 말미암아 부하 임피던스는 떨어진다. 또한 점화된 플라즈마 임피던스는 가공물의 공정 동안 플라즈마 플럭스(plasma flux), 즉, 플라즈마 밀도 및 플라즈마 전하 입자 속도의 산물의 변동들에 기인하여 실질적으로 변화한다.
또한 RF 손실들은, 플라즈마 프로세서의 RF 전달 통로, 처리 챔버의 하드웨어 및 접지 통로 임피던스의 물질적인 구성에 의해 영향을 받으며, 플라즈마를 여기시키는 RF 주파수들에서 상당히 변동될 수 있다. 접지 통로 임피던스는 RF 여기 주파수에서 접지 통로의 연합된 임피던스 뿐만 아니라, 접지를 형성하는 부분들의 물질적인 구성에 의해 결정된다. 부하는 단일 가공물의 처리중 이러한 변동들에 의존한다. 게다가, 부하는, 다른 프로세서들이 다른 예측할 수 없는 특성들을 가지기 때문에, 가령 똑같은 모델 번호, 동일 네임의 디자인을 갖는 다른 프로세서들 사이의 이러한 변동들에 의존한다. 그 손실들과 임피던스 차이들은 예를 들어 에칭이나 증착율과 같은 프로세서의 실행에 큰 영향을 준다.
사전에, 매칭 네트워크의 가변 리액턴스 및 RF 소스의 출력 전력의 제어는 랜덤하고, 예측되지 않는 변동들로 충분한 보상을 제공할 수 있을 것이다. 매칭 네트워크의 가변 리액턴스는 RF 소스 출력 임피던스와 부하 임피던스 사이의 임피던스 매치 및 공진을 유지하기 위해 제어된다.
게다가, 제어는 매칭 네트워크와 리액티브 임피던스 요소 사이에 연결된 전압 프로브에 응답하여 주기적으로 제공된다. 전압 프로브는 리액티스 임피던스 요소와 기준 전위, 가령, 접지, 챔버의 금속 벽이 유지되는 전위 사이에 RF 전압을 나타내는 신호를 유도한다. 리액티브 임피던스 요소와 기준 전위 사이의 RF 전압을 나타내는 신호는, 챔버와 매칭 네트워크로부터 떨어져 있는, RF 소스의 출력 파라미터를 위한 컨트롤러에 커플(couple)된다. 컨트롤러는 통상 RF 소스의 일부이고, RF 소스에 연결된 케이블의 한 단부에 적용된 RF 소스의 전류 및 전압을 위한 모니터를 포함한다. 매칭 네트워크와 리액티브 임피던스 요소사이에 결합된 전압 프로브로부터 혹은 RF 소스의 전압 모니터로부터, 모니터된 전류와 전압은 요망된 셋포인트에 RF 소스의 출력 전력을 제어하기 위해 결합된다.
RF소스의 컨트롤러에서 모니터된 전류는 리액티브 임피던스 요소 및 부하에서 흐르는 전류의 정확한 복제임이 가정되어진다. 본 출원의 발명가는, 다른 관련 손실뿐만 아니라 RF 소스와 매칭 네트워크 사이에 연결된 케이블의 손실 효과들때문에, 그 가정은 타당하지 않다는 것을 명확하게 인식하게 되었다. 게다가, 상기 부하 변동들은 이 가정과 반대의 효과를 갖는다. 이 타당하지 않은 가정때문에, 프로세서 실행 상에서 반대의 효과로 귀착됨으로 인하여, 처리 중에 요망되는 전력이플라즈마로 실제로 공급되지 않은 경우가 많다.
본 발명은 진공 플라즈마 프로세서 방법들과 장치에 관한 것이고, 특히 RF 에너지원이 전극과 직접적으로 연결되지 않도록 접지에 연결된 전극 사이에 일정한 논-제로 RF 파라미터(non-zero RF parameter)가 유지되는 진공 플라즈마 프로세서 방법 및 장치에 관한 것이다.
<함께 계류 중인 출원>
본 출원은 "플라즈마 챔버로 정확한 RF 전달을 위한 장치"라는 제목을 가진, 2001년 6월 6일에 출원된 가출원번호 60/296,832호의 일부 계속 출원(continuation-in-part)이다.
도 1은 본 발명의 진공 플라즈마 프로세서의 바람직한 실시예의 블럭 다이아그램; 및
도 2는 도 1의 프로세서에 포함되는 가변 임피던스의 개략도이다.
* 도면의 주요 부분에 대한 설명 *
10 : 챔버 15 : 가공물(workpiece)
17 : RF 소스 20 : 프로브
24 : 오실레이터 26 : RF 증폭기
28 : 케이블 30 : 매칭 네트워크
42 : 마이크로프로세서 44 : EPROM
본 발명에 따라, 플라즈마 프로세서는 가공물(workpiece)을 처리하기 위한 진공 플라즈마 챔버를 포함하고, 여기에서 상기 챔버는, 챔버안에서 가스와 전기적인 커플링을 위한 리액티브 임피던스 요소 및 RF 에너지원이 전극과 직접적으로 커플되지 않도록 RF 접지에 연결되어 있는 전극을 포함한다. 전극의 RF 접지로의 연결에 있어서 한정된, 논-제로 RF 전압(non-zero RF voltage)은 전극과 RF 접지사이에서 전개되는 경향을 갖는다. 일정하고 한정된 논-제로 RF 파라미터가, 바람직하게 전압이, 그 전극과 RF 접지 사이에 유지되는 동안, 챔버안에서 플라즈마로 가스를 여기시키기 위하여 상기 리액티브 임피던스 요소에 공급되어진다.
바람직하게 그 일정한 전압은, 전극과 접지 사이의 RF 전압 그리고/혹은 전극과 접지 사이에 흐르는 RF 전류를 검출하는 것을 통해, 상기 연결 내에서 상기 전극과 RF 접지 사이에 유지된다. 상기 연결내에서 검출된 전압 및/혹은 전류에 응답하여, 상기 연결내에서 전극과 접지사이의 RF 임피던스는 실질적으로 일정하고, 한정된 논-제로 RF 파라미터를 제공하도록 제어된다.
바람직한 실시예에서, 상기 RF 임피던스는 상기 연결내에서 검출된 전압 및/혹은 전류에 의해 제어된 값을 가지는 가변 리액턴스, 바람직하게 인덕터 및/혹은 저항, 및/혹은 캐패시터를 포함한다. 상기 연결내에서 검출된 전압 및/혹은 전류는, (1) 인덕터, 캐패시터, 혹은 저항, 혹은 그것들의 결합이, 상기 연결의 일부인지를 제어하는 데 이용되고 (2) 연결된 임피던스(들)의 값(들)을 제어하는 데 이용된다.
앞에서 언급한 결과로써, 접지 임피던스는 플라즈마로 전달된 전력을 정확하게 제어하는 것을 돕기 위해 일정값으로 유지된다. 또, 전달된 전력의 정확한 제어 및 일정값으로 접지 임피던스를 유지하는 것은 프로세서의 유저가 프로세서 실행상에 큰 영향을 가지는 다수의 RF 파라미터들에 대해 거의 완전한 제어를 할 수 있도록 해 준다. 이는 동일 프로세스를 진행하는 다중 프로세서들을 매칭할 때 및 장 시간 이상 동일 프로세서들에 대한 프로세스 안정성을 유지할 때에 특히 유익하다. 전달된 전력를 정확하게 제어하는 것과 일정값으로 접지 임피던스를 유지하는 것의 상기 원리들은 동일 네임의 타이프(type)의 프로세서들을 제조하는 동안 일정한 특성들을 유지하는 데 이용될 수 있다. 프로세서의 RF 특성들은, 유저(the end-user)에게 프로세서들을 수송하기전에, 프로세서들의 제조 동안에 실행을 보증하기 위해 정확하게 측정되고 조절될 수 있다.
상기 연결내에서 전극과 RF 접지 사이의 일정하고 한정된 논-제로 RF 파라미터(non-zero RF parameter)를 유지하는 플라즈마 프로세서들은, 리액티브 임피던스와 여기된 플라즈마를 포함하는 부하를 지나 일정한 전력을 유지하는 리액티브 임피던스 요소를 작동하는 RF 소스를 제어하기 위한 배열들을 사용하는 것이 바람직하지만, 반드시 필수적인 것은 아니다.
본 발명의 상기 및 그 이외의 목적, 특징 및 장점은 첨부된 도면과 함께, 특유의 실시예가 상세히 기재되어 있는 상세한 설명으로부터 명확해 질 것이다.
도 1은 RF 플라즈마 방전이 여기되는 사이에 병렬 금속 전극 판들(12, 14)을 포함하는 진공 플라즈마 처리 챔버(10)가 도시된다. 플라즈마는, 챔버(10)의 상부에 장착되고, 가스 소스(보여지지 않음)로부터 가스에 RF 에너지를 공급하는 RF 여기 리액턴스로의 기능을 하는 판(12)에 의해 유도된다. 챔버(10)는 적절한 진공 펌프(보여지지 않음)에 의해 0.1에서 1000토르(torr)의 범위에서 진공으로 유지된다. 일반적으로 반도체, 유리 혹은 금속 기판인, 가공물(15)은 챔버(10)의 하부에서 판(14)상에 장착된다. 가공물(15)은 플라즈마에서 전하 입자들, 즉, 전자들과 이온들과 중성자들에 의해 처리되므로, 가공물은 에칭되고/되거나 물질이 가공물 상에 증착된다. 플라즈마 방전과 판(12)은 그것에 의해 작동된 회로 요소들과 RF 소스(17)를 위한 부하를 형성한다. 판(14)을 통해 흐르는 냉각 가스로 공급함에 의해 가공물(16)을 냉각하는 것이 필요하다면, 판(14)은 가공물을 위한 척(chuck)을 포함한다. 척이 사용되면, DC 척킹(chucking) 전압 소스(보여지지 않음)에 응답하는 정전기 척이 바람직하다.
낮은 RF 임피던스를 가지는 RF 연결은 판(14)과 접지 단부(18)사이에 제공된다. 연결(16)은 그 것에 직접 연결되거나 커플되는 RF 여기 소스를 가지고 있지 않지만, 그러한 소스가 제공된다면, DC 척킹 전압 소스가 포함될 수 있다. 연결(16)은 그 것에 직접 연결되거나 커플되는 RF 여기 소스를 가지고 있지 않다는 것 및 연결(16)이 판(14)과 접지 단부(18)사이의 상대적으로 높은 유도성 통로를 갖는다는 사실에도 불구하고, 종래의 프로세서 기술에서는 판(14)과 접지 단부(18)사이의 연결(16)내에서 랜덤하고 가변적인 전압들이 전개되는 경향을 가짐을 발견하였다. 이 랜덤하고 가변적인 전압은 가공물(15)의 일관된 처리를 제공에 대하여 악영향을 끼친다.
이 문제를 극복하기 위해, 일정한 전압이 판(14)과 접지 단부(18)사이의 연결(16)에서 유지되는 것이다. 이 일정한 전압은 판(14) 바로 아래의 챔버(10)의 외부에 전압-전류 프로브(20)를 설치함에 의해 유지된다. 프로브(20)는 연결(16)의 리드선과 전기적으로 그리고 자기적으로 커플되도록 설치된다. 프로브(20)는, 각각 판(14)과 접지 단부(18)사이의 RF 전압 및 판(14)과 접지 단부(18)사이의 연결(16)에 흐르는 RF 전류의 복제들(replicas)인 제 1 및 제 2 신호들을 유도한다. 더욱이, 연결(16)에는 판(14)과 접지 단부(18)사이의 일정하고 한정된 논-제로 RF 전압을 유지하기 위해 제 1 및 제 2 신호들의 기능에 응답하여 조절되는 가변 RF 임피던스가 제공된다.
RF 소스(17)는 일반적으로 13.56㎒의 주파수를 가지는, 고정 주파수 RF 오실레이터(24) 및, 오실레이터(24)의 출력에 응답되어져 연결된 전력 입력 단부와 가변 이득 제어 입력 단부를 가지는, 가변 전력 RF 증폭기(26)를 포함한다. 증폭기(26)는 일반적으로 1-4 킬로와트(kiolwatts) 범위내에서 요망된 셋포인트 값을 가지는, 상대적으로 높은 오실레이터(24)의 주파수에서 전력 RF 출력을 유도한다. 증폭기(26)의 출력은, 판(12)에 RF 전력을 공급하도록 연결된 출력 단부를 가지는 매칭 네트워크(30)의 입력 단부에 제 2 끝단이 연결되는 상대적으로 긴(예컨대, 13피트) 제 1 끝단에 연결된다.
따라서, 케이블(28)은 오실레이터(24)의 주파수의 적어도 몇몇의 파장들인 길이를 가지고, 두 개의 반대편 끝단들 사이에 도입되어지는 상당한 RF 손실들을 야기한다. 이 손실들과 케이블(28)의 길이의 결과로, RF 소스(17)로부터 케이블(28)안으로 흐르는 RF 전류는 판(12)으로 공급되는 RF 전류 매칭 네트워크와 다르다.
판(12)과 접지 단부(18) 사이의 RF 전압뿐만 아니라, 소스(17)가 판(12)에 공급하는 RF 전류를 정확하게 모니터하기 위해, 전압-전류 프로브(32)는, 판(12) 바로 위에서 챔버(10)의 외부 표면에 매우 근접(오실레이터(24)의 주파수 파장의 1/8내로)한 위치 위 또는 안에 설치된다. 전압-전류 프로브(32)는 판(12)과 매칭 네트워크(30)의 출력 사이에 연결되는 리드(34)에 전기적으로 자기적으로 커플되는데, 이는 판(12)과 접지 단부(18)사이의 RF 전압 및 리드(34)로 흐르는 RF 전류의복제들을 각각 유도하기 위함이다.
매칭 네트워크(30)는 적어도 조절되는 한 쌍의 가변 리액턴스를 포함하며, 거기에는 가변 전력 RF 증폭기(26)의 출력 임피던스와 판(12)에 의해 형성된 부하의 임피던스 사이의 임피던스 매치 및 판에 의해 여기된 플라즈마가 있다. 매칭 네트워크(30)의 가변 리액턴스들은, 내부의 컨덕터와 케이블(28)의 접지 사이의 전압 및 케이블안으로 흐르는 전류에 응답되도록 전기적으로 그리고 자기적으로 커플되는 전압-전류 프로브(36)의 출력 신호들의 기능에 응답하는 DC 모터들(33, 35)에 의해 조절된다. 프로브들(20, 32)과 같이, 프로브(36)는 프로브가 검출하는 RF 전압 및 전류의 복제인 출력 신호들을 유도한다.
바람직한 일 실시예에서, 공진 매칭 네트워크(30)는 챔버(10)의 외부에 설치되고 고정 캐패시터에 직렬로 연결된, 가변 인덕턴스 주 권선을 가지는 변압기를 포함한다. 변압기는 가변 터닝 베인(turning vane)에 자기적으로 커플된 2차 권선을 포함한다. 매칭 네트워크(30)의 RF 출력은 선(34)에 직렬로 연결된, DC 블로킹(blocking) 캐패시터(37)를 통해 판(10)에 커플된다. 캐패시터(37) 또한 챔버(10)의 외부에 설치되는 것이 바람직하다. DC 모터들(33, 35)은 매칭 네트워크 터닝 베인 및 매칭 네트워크(30)의 주 권선의 인덕턴스를 제어하기 위한 컨트롤러(40)의 출력 신호들에 응답한다.
마이크로프로세서(42), 전자적으로 프로그램된 리드-온리 메모리(EPROM)(44), 및 랜덤 액세스 메모리(RAM)(46)를 포함하는, 컨트롤러(40)는, (1) 가변 임피던스(22)를 지나 유지되어지는 일정한 RF 전압 및/혹은 가변 임피던스(22)를 통해 흐르는 일정한 RF 전류 혹은 연결(16)에서 소모된 RF 전력, (2) 가변 전력 RF 증폭기(26)의 전력 이득(그리고 출력 전력), 및 (3) DC 모터들(33, 35)에 인가된 전압들을 제어하기 위한 신호들을 유도하는 프로브들(20, 32, 36)의 출력 신호들에 응답한다. 컨트롤러(40)가 DC 모터들(33, 35)에 가해진 신호들은 매칭 네트워크(30)의 가변 리액턴스들, 그리고 네트워크(30)의 공진 및 증폭기(26)의 출력 임피던스와 작동되는 부하 사이의 임피던스 매치를 제어한다.
또한 컨트롤러(40)는 판(12)과 접지 단부(18)사이의 임피던스(22)를 제어하기 위한 신호들을 유도하여, 통상 전압인, 미리 결정된 일정한 RF 파라미터가 연결(16)에서 유지된다. EPROM(44)은, (1) 판(12)으로부터 접지 단부(18)로 요망된 전력 RF 부하, 및 (2) 연결(16)에서 판(14)과 접지 단부(18)사이에 유지되어지는 요망된 일정한 RF 전압을 위한 셋포인트 신호들을 저장한다. EPROM(44)은, 판(14)과 접지 단부(17)사이의 연결(16)에서 흐르는 고정 RF 전류를 위한 셋포인트 신호, 혹은 연결(16)과 관련되는 일정한 RF 전압과 일정한 RF 전류를 위한 셋포인트 신호들을, 선택적으로 저장한다.
마이크로프로세서(42)는, V1I1cosθ에 따라서 프로브(32)가 판(12)과 접지 단부(18)사이의 부하에서 소모된 전력을 계산하기 위해 유도한 전압 및 전류 복제들에 응답한다:
V1은 프로브(32)가 검출하는 전압이다.
I1은 프로브(32)가 검출하는 전류이다.
θ는 프로브(32)가 검출한 전압 및 전류의 위상각이다.
마이크로프로세서(42)는 증폭기(26)의 출력 전력 및 전력 이득을 위한 제어 신호를 유도하기 위해, EPROM(44)에 저장된 바와 같이, 전력의 셋포인트 값과 계산된 전력을 비교한다. 그에 의해, 증폭기(26)가 케이블(28)에 공급하는 RF 전력은 판(12) 및 접지 단부(18)사이의 RF 전력을, EPROM(44)이 저장한 셋포인트에서, 일정하게 유지하기 위해 제어된다.
마이크로프로세서(42)는, 케이블(28)의 RF 소스(17)가 한 끝단에 인가하는 전압을 조절하기 위해 프로브(32)가 유도하는 복제들의 전압 크기 및 위상각에 선택적으로 응답할 수 있다. 또는, 마이크로프로세서(42)는 RF 소스(17)가 케이블(28)에 인가하는 RF 전류를 조절할 수 있다. 가변 전류 증폭기가 제어된다면, 마이크로프로세서(42)는 프로브가 유도하는 복제값들사이의 위상각 뿐만 아니라, 프로브(32)가 유도하는 전류 복제값에 응답한다. 케이블에 인가된 전력보다, RF 소스(17)가 케이블(28)에 인가하는 전압 또는 전류가 제어되면, 가변 전압 또는 가변 전류 증폭기들이 RF 소스(17)의 가변 전력 증폭기(26)를 각각 대체한다.
마이크로프로세서(42)는, DC 모터들(33, 35)을 제어하기 위해, 편리한 방법으로 매칭 네트워크(30)의 가변 리액턴스들을 제어하기 위해 프로브(36)의 출력 신호들에 응답한다. 또한 마이크로프로세서(42)는, 프로브(20)가 가변 임피던스(22)의 특성 및 크기를 제어하기 위하여 유도하는 전압 및 전류 복제값의 위상각뿐만 아니라 그 크기에도 응답한다. 마이크로프로세서(42)는, 프로브(20)가 연결(16)에서 하나 또는 그 이상의 가변 임피던스(22)의 임피던스들을 연결하기 위하여, 그리고 하나 또는 그 이상의 임피던스들의 값들을 제어하기 위하여 유도하는 전압 및 전류 복제들에 응답한다.
도 2는 가변 임피던스(22)의 바람직한 구조의 개략도이다. 가변 임피던스(22)는 스위치 컨택트들(47)(스위치(60)에 편입된), 가변 인덕터(48), 가변 캐패시터(50) 및 가변 저항(52)을 포함하는, 4개의 개별적 브랜치들을 포함한다. 인덕터(48), 캐패시터(50) 및 저항(52)은 마이크로프로세서(42)로부터 신호들에 응답하여 DC 모터들(54, 56, 58)에 의해 제어된 값들을 가지는 반면에, 컨택트들(47)은 연결(16)에서 브랜치(들)가 연결되어지도록 결정되어질 때 초기에 닫힌다. 각 컨택트들(47), 인덕터(48), 캐패시터(50) 및 저항(52)의 한 단부는 접지에 연결되고 이 요소들의 다른 단부는 선택적으로 전압-전류 프로브(20)를 지나 판(14)에 번갈아 연결되어, 스위치(60)에 의해 단부(62)에 연결된다.
스위치(60)는, 다른 컨택트들(보여지지 않음)뿐만 아니라, 컨택트들(47)을 포함하고, 컨택트들(47), 인덕터(48), 캐패시터(50), 저항(52)의 단부(62)에로의 연결들을 위한 8가지의 다른 조합을 제공하기 위해 유도하는 3-비트 신호 마이크로프로세서(42)에 응답하는 3개의 입력 단부들을 포함한다. 임피던스 요소들(48, 50, 52)은 각각, 컨택트들(47)이외의 스위치(60)의 다른 컨택트들에 의해 단부(62)에 동시에 연결될 수 있다. 또한 임피던스 요소들은 단부(62)에 병렬 쌍들로 연결될 수 있거나 3개의 모든 임피던스 요소들은 단부(62)에 병렬로 연결되어질 수 있다. 최초에, 임피던스(22)로 셋트되는 스위치(60)는 컨택트들(47)이 닫혀짐에 따른 쇼트(short) 회로이다.
EPROM(44)은 연결(16)에 관련되는 RF 파라미터를 위한 요망된 셋포인트 값을 저장한다; 보통 파라미터는 판(14)과 접지 단부(18)사이의 RF 전압이지만, 파라미터는 연결(16)에 흐르는 RF 전류 또는 연결에서 소모되는 RF 전력일 수 있다. 셋포인트의 일반적인 값은 (20 + j0) 볼트이고 특정 실시예는 RF 전압과 연관되어 설명된다.
초기에, 마이크로프로세서(42)는, 프로브(20)가 유도하는 전압 및 전류 복제사이의 위상각과 전압 복제의 크기를 기초로, 임피던스(22)가 판(14)과 접지 단부(18)사이의 초기 복소 전압을 계산하기 위한 쇼트 회로일 때, 프로브(20)가 유도한 복제값에 응답한다. 마이크로프로세서(42)는 초기의 위상각이 0이거나, 전압위상각이 전류를 앞서거나 전류가 전압을 앞서는지 등에 있어서 초기의 복소 전압으로부터 결정된다.
마이크로프로세서(42)가 위상측정을 한 후, 컨택트(47)들을 개방하는 것에 의해 임피던스(22)의 쇼트 회로를 제거한다. 프로브(20)가 유도한 전압 및 전류 복제값들 사이의 초기 위상각이 0이면, 마이크로프로세서(42)는 단부(62)와 접지 사이의 회로에서 저항(52)만 연결하기 위해 스위치(60)를 활성하는 신호를 공급한다. 이 연결은 판(14)과 접지 단부(18)사이의 셋포인트 전압이 임피던스(22)에 리액티브 요소없이 이루어질 수 있을 때 만들어진다. 프로브(20)가 유도한 초기의 전압 및 전류 복제값들이 전압이 전류를 리드하고 있음을 나타낸다면, 마이크로프로세서(42)는 단부(62)와 접지 사이에 캐패시터(50) 및 가능하다면 저항(52)을 연결하도록 스위치(60)를 활성한다. 그러나, 프로브(20)가 유도한 레플리카들이 전압이 전류에 뒤지는 것을 나타내면, 마이크로프로세서(42)는 단부(62)와 접지 사이의 회로에서 인덕터(48) 및 가능하다면 저항(52)을 연결하도록 스위치(60)를 제어한다.
임피던스 요소들(48, 50, 52)사이의 적절한 연결(들)이 스위치(60)를 통해 형성된 후, 마이크로프로세서(42)는 단부(62)와 접지 사이의 회로에서 연결된 임피던스 요소(들)(48, 50, 52)의 값(들)을 제어하는 적어도 하나의 모터들(54, 56, 58)로 신호들을 공급한다. 신호들이 마이크로프로세서로 하여금 판(14)과 접지 단부(18)사이의 요망된, 셋포인트 전압과 동일한 복소 전압을 계산하도록 하는 전류 및 전압 복제값들을 마이크로프로세서(42)에 공급할 때까지, 신호들은 스위치(60)와 모터들(54, 56, 58)에 반복적으로 공급된다.
예를 들어, 증폭기(26)와 가변 임피던스(22)의 제어는 주조에서 단일의 가공물의 처리 중 실행된다. 더욱이, 증폭기(26)와 가변 임피던스(22)의 제어는 다른 가공물들이 주조에서 처리되어질동안 실행된다. 게다가, 증폭기(26)와 가변 임피던스(22)의 제어는, 동일 네임 타이프의 다른 프로세서들이 전달되어질 때 일관된 특성들을 가지는 것을 보장하도록, 제조업자의 공장에서, 제조처리 중 다른 프로세서들을 위해 제공되어질 수 있다.
이상 본 발명의 특정 실시예가 기술되고 설명되었지만, 설명되어진 실시예의 세부 사항들에서의 변형들은 첨부된 청구항들에서 정해진 것과 같은 본 발명의 취지와 범위로부터 벗어나지 않는 범위내에서 다양하게 표현되고 기술되어질 수 있음은 당연하다.
본 발명은 진공 플라즈마 프로세서 방법들과 장치에 관한 것이고, 특히 RF 에너지원이 전극과 직접적으로 연결되지 않도록 접지에 연결된 전극 사이에 일정한 논-제로 RF 파라미터(non-zero RF parameter)가 유지되는 진공 플라즈마 프로세서 방법 및 장치에 관한 것이다.

Claims (29)

  1. 플라즈마 프로세서의 결합에 있어서,
    그 안에서 가스와의 전기적인 커플링을 위한 리액티브 임피던스 요소를 포함하는 챔버로서, 가공물의 프로세싱을 위한 진공 플라즈마 챔버와;
    상기 챔버에서 리액티브 임피던스 요소에 연결되는 플라즈마로 가스를 여기시키기에 충분한 전력을 가지는 RF 전기 소스; 및
    상기 챔버는 RF 에너지원(energizing source)이 전극과 직접적으로 커플되지 않도록 RF 접지에 연결되는 상기 전극을 포함하고, 한정된 논-제로 RF 전압이 상기 전극과 RF 접지 사이에서 발전되는 경향을 가지도록 상기 전극은 RF 접지에 연결되는 것이고, 상기 전극과 RF 접지 사이의 이러한 연결은, 실질적으로 일정하고 한정된 논-제로 RF 파라미터를 상기 전극과 RF 접지 사이에서 유지되도록 배열된 RF 임피던스를 포함하도록 하는 것을 특징으로 하는 플라즈마 프로세서.
  2. 제 1 항에 있어서,
    상기 전극과 접지 사이의 상기 연결에서 적어도 하나의 RF 파라미터를 검출하기 위한 검출기 배열을 포함하고, 실질적으로 일정하고 한정된 논-제로 RF 파라미터를 제공하는 상기 RF 임피던스를 제어하기 위하여 상기 검출기 배열에 응답되어져 연결되는 컨트롤러를 더 포함하는 것을 특징으로 하는 플라즈마 프로세서.
  3. 제 2 항에 있어서,
    상기 RF 임피던스는, 가변 리액턴스, 상기 가변 리액턴스의 상기 값을 제어하기 위하여 상기 검출기 배열에 응답되어져 배열된 상기 컨트롤러를 포함하는 것을 특징으로 하는 플라즈마 프로세서.
  4. 제 3 항에 있어서,
    상기 가변 리액턴스는 가변 인덕터로 이루어지는 것을 특징으로 하는 플라즈마 프로세서.
  5. 제 3 항에 있어서,
    상기 가변 리액턴스는 가변 캐패시터로 이루어지는 것을 특징으로 하는 플라즈마 프로세서.
  6. 제 3 항에 있어서,
    상기 가변 리액턴스는 가변 인덕턴스 및 가변 캐패시터로 이루어지고, 상기 컨트롤러는, 전극의 접지로의 상기 연결에서 상기 가변 인덕터와 상기 가변 캐패시터 중 적어도 하나와 선택적으로 연결하기 위하여 상기 검출기 배열에 응답되어져 배열되는 것임을 특징으로 하는 플라즈마 프로세서.
  7. 제 2 항에 있어서,
    상기 검출기 배열은, 상기 연결과 커플된 전압-전류 프로브를 포함하는 것을 특징으로 하는 플라즈마 프로세서.
  8. 제 2 항에 있어서,
    상기 검출기 배열은, 상기 챔버 위에 설치되는 것을 특징으로 하는 플라즈마 프로세서.
  9. 제 8 항에 있어서,
    상기 RF 임피던스는 가변 저항을 포함하고, 상기 컨트롤러는 상기 RF 전극의 RF 접지로의 연결에서 상기 가변 저항을 선택적으로 연결하기 위하여 그리고 상기 가변 저항의 값을 제어하기 위하여 상기 검출기 배열에 응답되어져 배열되는 것을 특징으로 하는 플라즈마 프로세서.
  10. 제 1 항에 있어서,
    상기 챔버는 그 챔버안에서 가스와 전기적인 커플링을 위하여 리액티브 임피던스 요소를 포함하고;
    상기 챔버에서 상기 가스를 플라즈마로 여기시키기 위한 충분한 전력을 가지는 RF 전기 소스와;
    상기 소스와 상기 리액티브 임피던스 요소 사이에 연결되는 매칭 네트워크와;
    상기 RF 전기 소스에 연결되는 한 쪽 끝단과 상기 매칭 네트워크에 연결된 다른 쪽 끝단을 가지는 케이블과;
    상기 매칭 네트워크에 의아혀 상기 리액티브 임피던스 요소와 그 리액티브 임피던스 요소에 커플된 플라즈마 부하에 사용되는 파라미터를 나타내는 적어도 하나의 신호를 유도하기 위하여 상기 매칭 네트워크 및 상기 리액티브 임피던스 요소 사이에 연결되는 프로브와; 그리고
    RF 전기 소스가 상기 케이블의 상기 한 쪽 단부에 인가하는 전력에 영향을 미치는 파라미터를 제어하기 위하여 적어도 하나의 신호로 응답되어져 연결되는 컨트롤러를 포함하는 것을 특징으로 하는 플라즈마 프로세서.
  11. 제 10 항에 있어서,
    상기 프로브는, 상기 매칭 네트워크에 의하여 상기 리액티브 임피던스 요소와 상기 리액티브 임피던스 요소에 커플된 플라즈마 부하에 사용되는 전압 및 전류를 각각 나타내는 제 1 신호 및 제 2 신호들을 유도하기 위하여 배열되고, 상기 컨트롤러는 상기 제 1 신호 및 제 2 신호들에 응답되어져 연결되는 것을 특징으로 하는 플라즈마 프로세서.
  12. 제 10 항에 있어서,
    상기 프로브는, 상기 진공 플라즈마 챔버의 상기 RF 소스의 주파수 파장 깅리의 1/8내로 설치되는 것을 특징으로 하는 플라즈마 프로세서.
  13. 제 11 항에 있어서,
    상기 프로브는 챔버 상에 설치되는 것을 특징을 하는 플라즈마 프로세서.
  14. 제 10 항에 있어서,
    상기 매칭 네트워크는, 가변 리액턴스를 포함하고, 상기 케이블에 의해서 상기 매칭 네트워크에 공급되는 전압 및 전류를 나타내는 신호들을 유도하기 위한 검출기 배열과, 상기 매칭 네트워크의 상기 가변 리액턴스를 제어하기 위하여 상기 케이블에 의해서 상기 매칭 네트워크에 공급되는 전압 및 전류를 각각 지시하는 신호들에 응답되어져 연결되는 컨트롤러를 더 포함하는 것을 특징으로 하는 플라즈마 프로세서.
  15. 진공 플라즈마 처리 챔버를 이용하여 가공물을 프로세싱하는 방법에 있어서,
    챔버 안에서 가스와 전기적인 커플링을 위한 리액티브 임피던스 요소를 포함하도록 하고, RF 에너지원이 전극에 직접적으로 커플되지 않도록 상기 전극을 RF 접지에 연결되도록 하고, 상기 전극의 RF 접지로의 상기 연결은 한정된 논-제로 RF 전압이 상기 전극과 RF 접지 사이에서 발전되는 경향을 갖도록 하는 방법과,
    상기 전극과 RF 접지사이에서의 일정하고 한정한 논-제로 RF 파라미터가 상기 연결 안에서 유지되는 동안에, 상기 챔버안에서 상기 가스를 플라즈마로 여기시키기 위하여 상기 리액티브 임피던스 요소에 충분한 전력을 공급하는 방법을 포함하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  16. 제 15 항에 있어서,
    상기 파라미터는 전압이고, 상기 전극을 RF 접지에 연결하는 방법은, RF 임피던스를 포함하고, 상기 연결내에서 상기 RF 임피던스를 지나 일정한 RF 전압을 유지하는 것을 제공하여, 상기 전극과 RF 접지 사이의 논-제로 RF 전압이 일정하고 한정되도록 하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  17. 제 15 항에 있어서,
    상기 프로세싱 방법은, 다수의 가공물들과 연결되어져 실행되고, 진공 플라즈마 챔버안에 복수의 가공들이 처리되는 동안에, 동일의 일정한 논-제로 RF 전압이 상기 전극과 접지 사이에 유지되도록 하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  18. 제 15 항에 있어서,
    상기 프로세싱 방법은, 실질적으로 동일한 특성들을 갖는 다수의 진공 플라즈마 챔버들이 연결되어 실행되고,
    상이한 진공 플라즈마 챔버들의 특성이, 다른 챔버들의 전극과 접지 사이의 상기 연결안에서 다른 논-제로 RF 전압이 발전되도록 서로 충분히 다르게 하고,
    동일 네임의 조건하에서 작동하는 다수의 진공 플라즈마 챔버안에서의 상기전극과 접지 사이의 논-제로 RF 전압이 동일하게 일정하도록 유지시키는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  19. 제 15 항에 있어서,
    상기 프로세싱 방법은, 상기 전극과 RF 접지 사이의 상기 연결에 RF 임피던스를 연결하는 것에 의해 실행되고, 상기 RF 임피던스를 지나서 논-제로 RF 전압을 일정하고 한정되게 유지하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 논-제로 RF 전압을 일정하고 한정되게 유지하는 것은, 상기 전극과 전극 사이의 RF 전압을 나타내는 파라미터와 상기 전극과 접지에 흐르는 RF 전류를 나타내는 파라미터 중 적어도 하나를 검출하고, 그리고 적어도 하나의 검출된 파라미터에 응답하여 상기 RF 임피던스를 제어하는 것에 의해 상기 전극과 접지가 유지되는 것임을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  21. 제 20 항에 있어서,
    적어도 하나의 검출된 파라미터에 응답하여 상기 RF 임피던스의 값을 제어하는 것에 의하여 상기 RF 임피던스를 지나는 상기 한정된 논-제로 RF 전압은 일정하게 유지되는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  22. 제 21 항에 있어서,
    상기 RF 임피던스는 가변 캐패시터 및 가변 인덕터를 포함하고, 상기 제어 단계는 상기 전극과 RF 접지 사이의 상기 연결에서 상기 가변 캐패시터 및 가변 인덕터 중 하나 또는 모두를 연결하는 것을 포함하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  23. 제 21 항에 있어서,
    상기 RF 임피던스는 가변 리액턴스와 가변 저항을 포함하고, 상기 제어 단계는 상기 전극과 RF 접지 사이의 상기 연결에서 상기 가변 리액턴스와 상기 가변 저항 중 하나 또는 모두를 연결하는 것을 포함하고, 상기 가변 리액턴스 또는 상기 가변 저항의 값을 제어하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  24. 제 15 항에 있어서,
    상기 챔버는, 그 챔버안에서 가스와 전기적으로 커플된 리액티브 임피던스 요소와, 상기 소스와 상기 리액티브 임피던스 요소 사이에 연결된 매칭 네트워크, RF 전기 소스에 연결되는 한 쪽 끝단과 상기 매칭 네트워크와 연결되는 다른 한 쪽 끝단을 갖는 케이블을 포함하고,
    상기 매칭 네트워크에 의하여 상기 리액티브 임피던스 요소 및 상기 리액티브 임피던스 요소에 커플되는 플라즈마 부하에 사용되는 적어도 하나의 파라미터를 검출하는 방법과; 그리고
    상기 RF 전기 소스가 적어도 하나의 검출된 상기 파라미터에 응답하여 상기 케이블의 상기 한 쪽 끝단에 인가하는 RF 전력에 영향을 미치는 파라미터를 제어하는 방법을 더 포함하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  25. 제 24 항에 있어서,
    상기 적어도 하나의 파라미터는 전압 및 전류를 포함하는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  26. 제 24 항에 있어서,
    상기 전압 및 전류는 상기 진공 플라즈마 챔버의 상기 RF 소스의 주파수의 파장길이의 1/8내로 검출되는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  27. 제 24 항에 있어서,
    상기 전압 및 전류는 상기 챔버에서 검출되는 것을 특징으로 하는 플라즈마 프로세서의 프로세싱 방법.
  28. 제 24 항에 있어서,
    상기 제어 단계는 상기 RF 전기 소스가 상기 케이블의 상기 한 쪽 끝단에 인가하는 상기 RF 전력에 영향을 미치는 복수의 파라미터를 제어하는 것을 포함하는 플라즈마 프로세서의 프로세싱 방법.
  29. 제 24 항에 있어서,
    상기 매칭 네트워크는 가변 리액턴스를 포함하고, 상기 프로세싱 방법은, 케이블에 의해서 상기 매칭 네트워크에 공급되는 전압 및 전류를 검출하는 단계와, 상기 케이블에 의해 상기 매칭 네트워크에 공급되는 검출된 전압 및 전류에 응답하여 매칭 네트워크의 가변 리액턴스를 제어하는 단계를 더 포함하는 플라즈마 프로세서의 프로세싱 방법.
KR1020037015780A 2001-06-07 2002-06-04 플라즈마 프로세서 KR101048635B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29683201P 2001-06-07 2001-06-07
US60/296,832 2001-06-07
US10/028,312 2001-12-28
US10/028,312 US6677711B2 (en) 2001-06-07 2001-12-28 Plasma processor method and apparatus
PCT/US2002/017386 WO2002101784A1 (en) 2001-06-07 2002-06-04 Plasma processor

Publications (2)

Publication Number Publication Date
KR20040020912A true KR20040020912A (ko) 2004-03-09
KR101048635B1 KR101048635B1 (ko) 2011-07-12

Family

ID=26703540

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037015780A KR101048635B1 (ko) 2001-06-07 2002-06-04 플라즈마 프로세서

Country Status (6)

Country Link
US (1) US6677711B2 (ko)
JP (1) JP4897195B2 (ko)
KR (1) KR101048635B1 (ko)
CN (1) CN1515018B (ko)
TW (1) TW550978B (ko)
WO (1) WO2002101784A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012005881A2 (en) * 2010-06-30 2012-01-12 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
KR20120009440A (ko) * 2009-04-06 2012-01-31 램 리써치 코포레이션 멀티주파수 용량적으로 커플링된 플라즈마 에칭 챔버

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002355030A1 (en) * 2001-11-27 2003-06-10 Kabushiki Kaisha Toshiba Plasma processing system
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US20110104381A1 (en) * 2004-01-15 2011-05-05 Stefan Laure Plasma Treatment of Large-Scale Components
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7105075B2 (en) * 2004-07-02 2006-09-12 Advanced Energy Industries, Inc. DC power supply utilizing real time estimation of dynamic impedance
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
WO2006108395A1 (de) * 2005-04-11 2006-10-19 Dr. Laure Plasmatechnologie Gmbh Vorrichtung und verfahren zur plasmabeschichtung
EP1889279B1 (en) * 2005-06-10 2009-08-26 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US7851368B2 (en) * 2005-06-28 2010-12-14 Lam Research Corporation Methods and apparatus for igniting a low pressure plasma
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
JP4689586B2 (ja) * 2006-12-06 2011-05-25 太陽誘電株式会社 低歪可変周波数増幅器
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US7649363B2 (en) 2007-06-28 2010-01-19 Lam Research Corporation Method and apparatus for a voltage/current probe test arrangements
CN101478857A (zh) * 2008-01-04 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit
US7970562B2 (en) * 2008-05-07 2011-06-28 Advanced Energy Industries, Inc. System, method, and apparatus for monitoring power
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8324525B2 (en) * 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20090294275A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of a source power or bias power rf generator
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
KR101151419B1 (ko) * 2010-07-30 2012-06-01 주식회사 플라즈마트 Rf 전력 분배 장치 및 rf 전력 분배 방법
KR101251930B1 (ko) * 2011-06-03 2013-04-08 (주)스마텍 필드 강화 유도 결합 플라즈마 처리 장치 및 플라즈마 형성 방법
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130284369A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9594105B2 (en) * 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9876476B2 (en) * 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
CN106856644A (zh) * 2017-01-04 2017-06-16 京东方科技集团股份有限公司 等离子体射流装置
CN110291408B (zh) * 2017-02-16 2022-12-13 应用材料公司 用于测量高温环境中的射频电功率的电压-电流探针及其校准方法
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) * 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US10536130B2 (en) 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
JP6963097B2 (ja) 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11348761B2 (en) * 2020-09-04 2022-05-31 Tokyo Electron Limited Impedance matching apparatus and control method
US12020902B2 (en) 2022-07-14 2024-06-25 Tokyo Electron Limited Plasma processing with broadband RF waveforms
CN115696709B (zh) * 2022-12-28 2023-03-21 江苏奥文仪器科技有限公司 监测射频辉光放电光谱仪放电室内等离子体稳定性的装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4333814A (en) 1979-12-26 1982-06-08 Western Electric Company, Inc. Methods and apparatus for improving an RF excited reactive gas plasma
JPS5825475A (ja) * 1981-08-05 1983-02-15 Nec Corp スパツタ装置
JPS58158929A (ja) * 1982-03-17 1983-09-21 Kokusai Electric Co Ltd プラズマ発生装置
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4626312A (en) * 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
JPS62111431A (ja) * 1985-11-11 1987-05-22 Hitachi Ltd ドライエツチング装置
JP2775656B2 (ja) * 1991-09-30 1998-07-16 株式会社島津製作所 成膜装置
US5605567A (en) * 1991-12-05 1997-02-25 Weyerhaueser Company Method of producing cellulose dope
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP2592217B2 (ja) 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
DE69509046T2 (de) 1994-11-30 1999-10-21 Applied Materials Inc Plasmareaktoren zur Behandlung von Halbleiterscheiben
US5982099A (en) 1996-03-29 1999-11-09 Lam Research Corporation Method of and apparatus for igniting a plasma in an r.f. plasma processor
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
JP3310608B2 (ja) * 1998-01-22 2002-08-05 アプライド マテリアルズ インコーポレイテッド スパッタリング装置
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
US6222718B1 (en) * 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
US6265831B1 (en) * 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP4437347B2 (ja) * 1999-10-14 2010-03-24 キヤノンアネルバ株式会社 前処理エッチング装置及び薄膜作成装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120009440A (ko) * 2009-04-06 2012-01-31 램 리써치 코포레이션 멀티주파수 용량적으로 커플링된 플라즈마 에칭 챔버
WO2012005881A2 (en) * 2010-06-30 2012-01-12 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
WO2012005881A3 (en) * 2010-06-30 2012-04-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing

Also Published As

Publication number Publication date
CN1515018A (zh) 2004-07-21
WO2002101784B1 (en) 2004-05-27
US6677711B2 (en) 2004-01-13
KR101048635B1 (ko) 2011-07-12
JP2004535039A (ja) 2004-11-18
TW550978B (en) 2003-09-01
US20020185227A1 (en) 2002-12-12
WO2002101784A1 (en) 2002-12-19
CN1515018B (zh) 2010-10-06
JP4897195B2 (ja) 2012-03-14

Similar Documents

Publication Publication Date Title
KR101048635B1 (ko) 플라즈마 프로세서
US6174450B1 (en) Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
JP5629891B2 (ja) Dcバイアス電圧に応答した制御を含む真空プラズマプロセッサ
US8454794B2 (en) Antenna for plasma processor and apparatus
US7169256B2 (en) Plasma processor with electrode responsive to multiple RF frequencies
KR100779444B1 (ko) 플라즈마 리액터에서의 무선주파수 전력 변동율에 대한 전압제어 센서 및 제어 인터페이스
US6974550B2 (en) Apparatus and method for controlling the voltage applied to an electrostatic shield used in a plasma generator
US7190119B2 (en) Methods and apparatus for optimizing a substrate in a plasma processing system
KR100299154B1 (ko) 고정된rf매칭회로를가지는플라즈마챔버
US7771608B2 (en) Plasma processing method and apparatus
KR19980025047A (ko) 플라즈마 처리 장치
US6855225B1 (en) Single-tube interlaced inductively coupling plasma source

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090327

Effective date: 20110331

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140624

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150623

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160624

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180627

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190626

Year of fee payment: 9