KR20040005866A - 전기도금층의 두께 균일성을 조절하는 방법과 장치 - Google Patents

전기도금층의 두께 균일성을 조절하는 방법과 장치 Download PDF

Info

Publication number
KR20040005866A
KR20040005866A KR10-2003-7008507A KR20037008507A KR20040005866A KR 20040005866 A KR20040005866 A KR 20040005866A KR 20037008507 A KR20037008507 A KR 20037008507A KR 20040005866 A KR20040005866 A KR 20040005866A
Authority
KR
South Korea
Prior art keywords
conductive
conductive element
mask
deposition
electrolyte
Prior art date
Application number
KR10-2003-7008507A
Other languages
English (en)
Inventor
바솔버렌트엠
린드퀴스트폴
Original Assignee
누툴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 누툴 인코포레이티드 filed Critical 누툴 인코포레이티드
Publication of KR20040005866A publication Critical patent/KR20040005866A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 기판(108)의 표면에 전해질로부터 도전재료를 증착하는 동안 두께 균일성을 조절할 수 있는 장치(100)가 제공된다. 이 장치는 도전재료의 증착중에 전해질과 접촉될 수 있는 양극(112), 증착중에 기판을 움직이기에 적합한 캐리어(106)를 갖는 음극조립체(102), 및 전해질을 통과시키는 도전요소(115)를 포함한다. 마스크(114)는 도전요소 위에 놓이고, 전해질(123)을 통과시키는 개구부들(117)을 갖는다. 이들 개구부는 도전요소의 활성영역들을 형성하고, 이때문에 기판 표면에 도전재료를 증착하는 속도를 변화시킬 수 있다. 양극과 음극 조립체 사이에 전위를 걸어주어 증착을 이루게 하는 전원을 제공할 수 있다. 본 발명은 또한 증착방법도 제공하는바, 반도체 기판 표면에서 도전재료를 균일하게 전기에칭하는 것도 실행할 수 있다.

Description

전기도금층의 두께 균일성을 조절하는 방법과 장치{Method and apparatus for controlling thickness uniformity of electroplated layer}
통상적인 반도체 장치는 일반적으로 반도체 기판, 보통 실리콘 기판, 및 다수의 연속적으로 형성된 절연 층간물질, 예컨대 실리콘 디옥사이드 층간물질, 및 도전 경로 또는 도전 재료로 이루어진 접속부를 포함한다. 접속부는 절연 중간물질로 에칭된 트렌치에 도전 재료를 채워서 형성된다. 집적회로에서, 다층의 접속부는 기판 표면에 대해서 측면으로 연장된다. 상이한 층들로 형성된 접속부는 비아나 접점을 이용해서 전기적으로 연결될 수 있다. 특징부, 예컨대 비아 개구부, 트렌치, 패드 또는 접점과 같은 충전재의 도전 재료 충전 방법은 상기 특징부를 포함하는 기판위에 도전 재료를 증착시켜서 수행할 수 있다. 기판 상의 과량의 도전 재료는 평판화 및 화학적 기계적 연마 (CMP)와같은 연마 기술을 사용해서 제거할 수 있다.구리 (Cu) 및 구리 합금이 최근에 그들의 뛰어난 전기이동(electromigration) 및 낮은 저항성 때문에 연결 물질로서 상당히 주목받고 있다. 바람직한 구리 증착 방법은 전기증착이다. 제작중에 구리는 배리어 및 시드 층으로 미리 코팅된 기판상에 전기도금 또는 전기증착 된다. 전형적인 배리어 물질은 일반적으로 텅스텐 (W), 탄탈 (Ta), 티타늄 (Ti),그들의 합금 및 그들의 질소화물을 포함한다. 전형적인 시드 층 물질은 보통 상기 배리어 층에 증착된 PVD 또는 CVD인 얇은 구리 층이다. 구리 도금 시스템 고안에는 많은 차이점이 있다. 예를들어 앤드리카코스 일동(Andricos)이 1996년 5월 4일에 제출한 미국특허 5,516,412호는 평면 제품상에 필름을 전기증착 하도록 형성된 수직형 패들 도금 셀을 기술한다. 쿤 (Koon)에 의해 1999년 11월 16일에 제출된 미국특허 5,985,123호는 다양한 기판 크기와 관련된 비균일 증착 문제를 극복하기 위한 또 다른 수직형 전기도금 장치를 기술한다.
구리 전기증착 방법중에 특별히 배합된 도금용액 또는 전해질이 사용된다. 이들용액 또는 전해질은 이온성 구리 및 증착된 물질의 조직, 형태, 및 도금 양상을 조절하기 위한 첨가제를 포함한다. 첨가제는 증착된 층에 부드러움과 어느정도의 광택을 주기위해 필요하다.
도1 - 도2는 통상적인 전기증착 방법 및 장치를 예시한다. 도1은 절연체 층(12)이 그 위에 형성되어 있는 기판(10)을 설명한다. 통상적인 에칭기술을 사용하면, 일렬의 작은 비아(14) 및 넓은 트렌치(16)와 같은 특징부는 절연체 층(12)상에 또는 기판(10)의 노출된 영역상에 형성된다. 전형적으로 비아(14)의 너비는 미크론 이하이다. 한편, 여기서 보여진 트렌치(16)은 넓고, 작은 종횡비를 갖는다.트렌치(16)의 너비는 그 깊이의 5- 15배 또는 그 이상일 수 있다.
도 1B- 1C는 특징부를 구리재료로 충전하는 통상적인 방법을 설명한다.도 1B는 배리어/글루 또는 접착 층(18) 및 시드 층(20)이 기판(10) 및 절연체(12)상에 연속적으로 증착된 것을 보여준다. 도 1C에 나타낸 바와 같이, 시드 층 (20)을 증착한 후에, 도전재료 층 (22)(예컨대, 구리 층)을 적당한 도금조 또는 조 배합물로 부터 그위에 부분 증착시킨다.이 단계에서, 전기 접점이 구리 시드 층(20) 및 배리어 층(18)에 만들어져 음극전압이 양극(나타내지 않음)에 전달될 수 있다. 이후에, 구리재료 층(22)을 상기한 바와 같은 도금 용액을 사용하여 기판 표면상에 증착시킨다. 염화물 이온, 억제제/저해제 및 촉진제와 같은 첨가제의 양을 조절하여, 작은 특징부에서 위로 성장하는 구리 필름을 얻을 수 있다.
도 1C에서 나타낸 바와 같이, 구리재료(22)는 비아(14)을 완전히 채우고, 넓은 트렌치(16)에는 깔리는데, 사용된 첨가제가 넓은 특징부에서는 작동하지 않기 때문이다. 여기서, 트렌치(16) 바닥 표면의 구리 두께 t1은 절연체 층(12)상의 구리 두께 t2와 거의 같다. 예측할 수 있는바와 같이, 구리재료로 트렌치(16)를 완전히 채우기 위해서 부가적인 도금이 요구된다. 도 1D는 추가 구리 도금후에 결과 형성된 구조물을 설명한다. 여기서 절연체 층(12)상의 구리 두께 t3는 비교적 두꺼우며, 절연체(12)상의 구리층 상부에서 트렌치(16)의 구리층(22)상부에 이르는 단계높이(s1)가 있다. 도 1C 적용물에서, 절연체 층(12)상의 배리어(18) 및 구리층(22)를 제거하기 위해서 구리층 (22)를 CMP 또는 다른 물질 제거 공정에 적용하여 특징부 (14 및 16)내에만 구리층을 남기도록 한다. 이들 제거 방법은 꽤 값비싼 것으로알려져 있다.
도 1E에서 설명하는 바와 같이 일반적으로 평판인 구리 증착물을 얻기위한 방법 및 장치는 공정 효율 및 비용 면에서 유용해야 한다. 본 예시의 절연체 층(12)상의 구리 두께 t5는 도 1D에서 나타낸 전통적인 경우보다 작으며, 단계 높이 s2 또한 단계 높이 s1 보다 매우 작다. CMP 또는 다른 방법에 의한 도 1E의 얇은 구리층 제거는 보다 수월하여, 중요한 비용 절감을 제공할 것이다.
본 발명의 양수인 소유의 "전기화학적 기계적 증착 방법 및 장치"가 제목인 미국특허 6,176,992에서는, 기판 표면의 공동에 도전재료를 증착하되, 도전재료로서의 패드로 필드 영역들을 연마하여 필드 영역들에 대한 증착을 최소화하여 평평한 구리증착을 이루는 ECMD(elecrochemical mechanical deposition) 기술이 설명되어 있다. 이 출원의 도금 전해질은 다공성 패드나 패드의 개구부들을 통해 패드와 기판 표면 사이의 작은 갭으로 공급된다.
"Pad Designs and Structures for a Versatile Materials Processing Apparatus"란 명칭으로 2000년 2월 23일 출원되고 본 발명의 양수인이 공동 소유중인 미국특허출원 09/511,278에는, 웨이퍼 표면에 전해질이 흐르게 하는 패드내의 구명의 다양한 형태가 기재되어 있다.
"Plating Method and Apparatus That Creates a Differential Between Additive Disposed on a Surface and a Cavity Surface of a Work Piece Using an External Influence"란 명칭으로 2000년 12월 18일 출원된 미국특허출원 09/740,701에 기재된 다른 발명에서는, 공정중에 기판과 양극 사이에 놓인 마스크를 단속적으로 움직여 기판표면과 접촉시키고 양극과 기판 사이에 파워를 인가해 기판에 도전물질을 "마스크-펄스 도금"하는 방법과 장치가 제공된다. "Method and Apparatus for Making Electrical Contact to Wafer Surface For Jull-Face Electroplating or Electropolishing"란 명칭으로 2000년 12월 14일 출원된 미국특허출원 09/735,546에 기재된 다른 발명에서는, 전기접점의 모든 가장자리를 배제하지 않고 웨이퍼 전면 전체를 전기도금하거나 전기연마하는 것이 기재되어 있다. 이 방법에서는, 양극영역을 갖는 양극과, 양극영역 외부에 놓인 전기접점들을 이용한다. 공정중에, 웨이퍼를 양극과 전기접점에 대해 움직여, 웨이퍼 전면 전체에 대한 전면증착이 이루어진다. "Method and Apparatus for Electrodeposition of Uniform Film with Minimal Edge Exclusion on Substrate"란 명칭으로 2001년 1월 17일 출원된 미국특허출원 09/760,757에 기재된 또다른 가장자리 비배제 방법에서도, 웨이퍼 전면과 양극 사이에 놓인 마스크나 성형판을 갖는 시스템에 의한 전면증착이 기재되어 있다. 마스크에는 전해질이 통과할 수 있는 개구부들이 있다. 이 시스템에서는 마스크의 면적이 웨이퍼 표면보다 크다. 이 마스크는 전기접점들이 웨이퍼 전면과 접촉할 수 있도록 하는 오목한 가장자리들을 갖도록 구성된다. 이 시스템에서는, 웨이퍼가 회전하면서, 웨이퍼의 전체 표면이 성형판을 통과하는 전해질과 접촉하여 증착이 이루어진다.
도 2A은 종래의 전기증착 시스템(30)의 개략도이다. 이 시스템에서는, 웨이퍼(32)의 가장자리를 덮는 링형 클램프(36)의 도움으로 웨이퍼 홀더(34)로 웨이퍼(32)를 홀딩한다. 전기접점(38)은 링 형태로 되고 음극 도금용 전원의 음극단자에 연결된다. 도금 전해질(42)로 충전된 도금 셀(40)까지 웨이퍼 홀더(34)를 하강시킨다. 전해질(42)과 접촉하는 양극(44)은 웨이퍼 표면을 가로질러 놓이고 전원의 양극단자에 연결된다. 양극(44)은 증착할 물질, 즉 구리로 구성되거나, 적절한 불활성 양극재료, 예컨대 백금, 또는 백금으로 도금된 티타늄이나 흑연으로 이루어질 수도 있다. 전압을 걸어주면 도금공정이 시작된다. 이 도금시스템에서는, 전기접점(38)이 전해질로부터 밀봉되고 웨이퍼(32) 주변을 통해 도금전류를 흘려준다.
도 1A-1E는 구리로 웨이퍼 표면의 특징부들이 어떻게 충전되는지를 보여준다. 웨이퍼 전체를 통해 효과적이고 균일하게 충전공정을 진행하려면, 웨이퍼 전체 표면에 일정 두께의 구리를 증착하는 것이 중요하다. 역시, 도금공정의 두께 균일성, 즉 도 1D에서의 두께(t3)의 균일성과 도 1E에서의 두께(t5)의 균일성은 아주 양호할 필요가 있는데(10% 미만의 변동, 바람직하게는 5% 미만의 변동), 이는 구리 두께가 균일하지 않으면 CMP 공정중에 문제가 생기기 때문이다.
도 2B에 도시된 바와 같이, 증착층의 균일성을 개선하기 위해, 도 2A에 도시된 것과 같은 종래의 전기도금 시스템에 실드(46)를 포함시킬 수 있다. 이런 시스템에서, 웨이퍼(32)나 실드(46)가 회전할 수 있다. 이런 실드에 대해서는 Broadbent의 미국특허 6,027,631, Reid 일행의 미국특허 6,074,544, Woo 일행의 미국특허 6,103,085 에 설명되어 있다. 또, 이런 시스템에서, 전기증착 재료에 대해 전기적인 탈취를 이용할 수 있다. 이런 탈취에 대해서는, Ang의 미국특허 6,620,581rhk 5,744,019, Uzoh의 미국특허 6,071,388, Hanson 일행의 미국특허6,004,440 및 6,139,703에 기재되어 있다.
이상의 설명을 감안하면, 증착공정 동안 기판의 여러 부분들에 대한 증착속도를 변화시킬 수 있고 균일한 도전막을 증착하는 다른 전기증착 방법과 시스템이 필요하다.
본 발명은 2000년 12월 21일에 출원된 미국특허출원 60/256,974 및 2001년 5월 15일에 출원된 미국특허출원 09/855,059의 우선권을 주장하며, 그 내용을 본 발명에 참고한다.
본 발명은 일반적으로 전기증착 방법에 관한 것이며, 구체적으로는 평탄한 증착 층을 생산하는 방법 및 장치에 관한 것이다.
도 1A는 트랜치와 비아를 갖는 덮개절연층을 구비한 반도체기판의 부분단면도;
도 1B, 1C는 도 1A의 트렌치와 비아에 도전물질을 충전하는 종래의 방법을 보여주는 단면도들;
도 1D는 도 1C와 비슷하지만 추가 도전재료를 증착한 뒤의 구조를 보여주는 단면도;
도 1E는 도 1D와 비슷하지만 절연층 위의 도전재료 두께가 감소된 구조를 보여주는 단면도;
도 2A는 종래의 전기증착 시스템을 보여주는 단면도;
도 2B는 도 2A와 비슷하지만 증착균일성을 향상하도록 의도된 실드들을 갖는 시스템을 보여주는 개략도;
도 3은 본 발명에 따른 전기증착시스템의 일 실시예를 보여주는 개략적 단면도;
도 4는 거의 평탄한 도전재료 증착을 제공하는데 사용되는 도 3의 시스템을 보여주는 도면;
도 5는 도 3, 4의 실시예에 사용될 수 있는, 불규칙 형상의 절연구간들을 갖는 도전메시의 평면도;
도 6A는 반도체기판의 전면 부근에 있는 마스크와 메시의 조합 구조를 보여주는 확대단면도;
도 6B는 도 6A에 보이는 구간(6B)의 확대도;
도 6C는 도 6B의 6C-6C선 단면도;
도 7은 마스크와 메시의 조합 구조의 다른 예를 보여주는 도면;
도 8A는 도 5와 비슷하지만 절연구간이 불규칙한 형상이 아닌 도전메시의 평면도;
도 8B는 반도체기판의 전면 부근의 상단마스크부와 하단마스크부 사이에 끼인 도 8A의 메시를 보여주는 도면;
도 9A는 절연구간들이 서로 인접한 스트립으로 이루어진 도전메시의 평면도;
도 9B는 도 8B와 비슷하지만 도 9A의 메시가 상단마스크부와 하단마스크부 사이에 끼인 상태를 보여주는 도면;
도 9C는 도 9B의 9C-9C선 단면도;
도 10은 전술한 실시예에 따른 메시를 가동시키는 시스템의 개략도;
도 11은 복수의 메시가 복수의 스위치들을 통해 다중화되어 있는 다른 시스템의 개략도;
도 12는 도 11에 도시된 시스템 일부의 확대도;
도 13은 웨이퍼로 또한 양극으로부터 웨이퍼로 구리를 도금하는위치의 스위치들을 보여주는 도 12와 유사한 도면;
도 14는 도 13과 비슷하지만, 웨이퍼에서는 도금이 적게 발생하도록 메시에 구리를 도금하는 위치의 스위치를 보여주는 도면;
도 15는 도금전류를 도금금속 두께 측정에 연관시키는데 이용될 수 있는 다른 시스템을 보여주는 개략도.
발명의 요약
본 발명에 따르면, 웨이퍼 표면에 도전물질을 전기증착하는 시스템이 제공된다. 이 시스템은 양극, 상하면을 갖는 마스크, 마스크위 윗면 밑에 위치하거나 판을 형성하는 도전메시, 및 전해질을 포함한다. 마스크는 상하면 사이로 뻗는 다수의 개구부들을 포함하며, 웨이퍼 표면과 상기 양극 사이에 지지된다. 도전메시는 마스크의 다수의 개구부들이 다수의 활성영역을 형성하도록 마스크의 윗면 밑에 배치된다. 도전메시는 제1 파워입력에 연결된다. 액체전해질은 마스크의 개구부들을 통해 그리고 도전메시의 활성영역들을 통해 흘러 웨이퍼 표면과 접촉한다.
본 발명의 다른 특징에 따르면, 반도체 기판의 표면에 전해질로부터의 도전재료를 증착하는 동안 두께 균일성을 조절할 수 있는 장치가 제공된다. 이 장치는 도전재료의 증착중에 전해질과 접촉할 수 있는 양극, 증착중에 기판을 운반하기에 적합한 캐리어를 포함하는 음극조립체, 전해질이 통과할 수 있는 도전요소, 및 도전요소 위에 놓이는 마스크를 포함한다. 마스크는 상기 표면에 대한 도전재료의 증착속도를 변화시키는 도전요소의 활성영역들을 형성하면서 전해질이 통과하는 개구부들을 갖고 상기 도전요소 위에 놓인다. 양극과 음극조립체 사이에 전위를 주어상기 증착을 실행하는 전원을 제공할 수 있다.
바람직하게, 도전요소는 도전메시이고, 다수의 절연구간들을 포함한다. 절연구간들은 하나 이상의 절연부재나 갭에 의해 분리될 수 있다. 절연구간들은 별도의 제어전원들에 연결될 수 있다.
일 실시예에서, 도전요소는 함께 상기 마스크를 형성하는 상단 마스크부와 하단 마스크부 사이에 끼일 수 있다. 도전요소는 마스크의 밑면 밑에 위치할 수 있다. 절연구간들중의 하나는 절연구간들의 다른 것을 둘러쌀 수 있다.
절연구간들은 불규칙한 형상을 가질 수 있다. 한편, 절연구간들중 하나는 링 모양이고 나머지는 디스크 형상일 수 있다. 이들 절연구간들은 서로 인접한 스트립들을 형성할 수도 있다.
하나 이상의 제어전원을 이용해 절연구간들중의 적어도 하나에 전압을 공급하여 기판 표면의 일부에 대한 도전재료의 증착속도를 변화시킬 수 있다. 이 구성에서, 증착속도는 증가되거나 감소될 수 있다. 이런 장치는 전해질에 양극을 접촉시키는 단계, 도전요소를 통해 그리고 도전요소 위에 놓여 도전요소의 활성영역들을 형성하는 마스크의 개구부들을 통해 상기 기판 표면에 전해질을 공급하는 단계, 양극과 기판 표면 사이에 전위를 주어 증착을 실행하는 단계, 및 도전요소에 전압을 걸어 도전재료 증착속도를 변화시키는 단계를 포함하는 방법에서 도전재료 증착중에 두께 균일성을 조절하는데 사용될 수 있다.
음극조립체와 양극의 극성을 반전시켜 웨이퍼 표면에 도전재료를 균일하게 전기에칭하는 것 역시 본 발명의 범위에 있다. 도전메시의 활성영역들의 증착전류들과 반도체기판 표면에 증착된 도전재료의 두께 사이의 관계를 정립하는 방법 역시 고려의 대상이다.
이하, 첨부 도면들을 참조하여 본 발명에 대해 자세히 설명하면 다음과 같다.
본 발명은 반도체 표면에 증착된 도체층의 균일성을 조절하는 방법과 시스템을 제공한다. 본 발명은 ECMD, 마스크 펄스 도금 및 전면도금은 물론, 순응적 필름을 증착하는 도금시스템과 함게 사용될 수 있다. 본 발명의 증착과정은 반도체 웨이퍼 표면의 트렌치, 비아, 접촉공 등과 같은 다수의 공동에 도전물질을 증착할 수 있다는 점에서 유리하다.
주지하는 바와 같이, 웨이퍼 표면의 전기증착공정 동안, 표면에 인가된 전류밀도는 표면 중심보다는 표면 주변에서 훨씬 더 크다. 종래 기술에서는 이렇게 높은 전류밀도로 인해 웨이퍼 중심에 비해 웨이퍼 주변에서 증착필름의 증착속도가 컸다. 본 발명에서는, 전기증착중에 다공판이나 다공마스크와 본 발명의 도전메시를 같이 사용하여 웨이퍼의 내부와 주변 사이의 필름 두께 차이를 없앨 수 있다. 다공판과 도전메시를 조합하면 도체재료의 균일한 증착이 촉진된다.
또, 다른 실시예에서, 본 발명은 다공판과 도전메시의 조합체를 통해 웨이퍼 표면의 특징부들에 도전물질을 증착하면서도, 본 발명의 다공판과 기판표면의 접촉, 스위핑 및/또는 연마에 의해 특징부들 사이의 윗면 영역들에 대한 증착은 최소화할 수 있다. 평탄막, 즉 ECMD, 마스크펄스 도금 및 전면도금을 증착할 수 있는 시스템에 대해서는, 채택되는 마스크, 패드 또는 성형판의 개구부들의 형상, 크기 및 위치를 디자인하여 두께 균일성을 어느정도 조절할 수 있다. 주어진 공정 인자들에 효과적이기는 하지만, 이런 방식은 증착공정의 균일성보다 다이내믹 컨트롤을 갖는데 충분히 유연성을 가질 수 없다.
본 발명의 장치와 방법은 증착공정을 개선하여, 전에는 얻을 수 없던 평탄도를 갖는 층과, 종래의 방법과 장치를 이용해 생성된 종래의 층을 뛰어넘는 특성을 갖는 재료를 갖는 도전층들을 얻게 된다.
이하, 도면을 통해 동일한 부분에는 동일한 부호를 병기한다. 도 3에 도시된 바와 같이, 본 발명의 전기증착 시스템(100)은 바람직하게 음극조립체(102)와 양극조립체(104)를 갖는다. 이 시스템(100)은 실리콘 웨이퍼와 같은 반도체웨이퍼에 구리와 같은 도전재료를 증착하는데 사용될 수 있다. 구리를 예로 들었지만, 본 발명은 다른 일반적인 도체, 예컨대 Ni, Pd, Pt, At 및 이들의 합금의 증착에도 이용될 수 있다. 전기증착 시스템(100)의 음극조립체(102)는 웨이퍼(108)를 홀딩하는 웨이퍼 캐리어(106)를 포함할 수 있고(도 3 참조), 이 캐리어는 캐리어 아암(110)에 연결된다. 캐리어 아암은 웨이퍼(108)를 회전시키거나 상하좌우로 움직일 수 있다.
이 시스템(100)의 양극조립체(104)는 양극(112)(일회용 구리가 바람직함), 마스크 및 본 발명의 도전메시(115)로 구성된다. 마스크는 마스크판(114) 형태로 도시되어 있다. 양극(112)는 마스크판(114)과 도전메시(115)로 둘러싸일 수 있는 양극컵(116) 안에 위치하는 것이 바람직할 수 있다(도 3 참조). 마스크판(114)과메시(115)는 둘다 다공판이다. 마스크판은 제1 마스크부(114a)인 상단마스크부와 제2 마스크부(114b)인 하단마스크부를 포함하는 것이 바람직하다. 메시(115)는 상하단 마스크부(114a,114b) 사이에 삽입될 수 있다. 마스크판(114)은 다수의 개구부(117)를 포함하고, 이곳을 통해 구리도금 전해질(118)이 마스크판(114)과 메시(115)를 통과하여 웨이퍼(108) 전면(108a)과 인가된 전위하에 웨이퍼 전면(108a)의 증착재료를 적신다. 사하단 마스크부의 개구부들(117)은 일반적으로 상하단 마스크부(114a,114b)를 통해 전해질이 흐를 수 있도록 정렬된다. 그러나, 상단 마스크부(114a)를 통해 웨이퍼 표면까지 전해질이 흐를 수 있기만 하면 부분정렬되거나 위치이동하는 것도 역시 본 발명의 범위에 있다. 전기증착 공정동안, 웨이퍼 표면(108a)은 마스크판(114)의 윗면(119)과 평행하게 유지되어 회전된다. 웨이퍼 표면과 패드 표면 사이의 상대운동은 몇회라도 된다. 이 운동은 회전운동일 수도 있고 회전운동과 선형운동의 병합일 수도 있다.
메시(115)는 절연부재(115c)에 의해 서로 절연되는 제1, 제2 구간들(115a,115b)을 갖는다. 절연부재(115c)는 두 구간을 분리하는 갭일 수도 있다. 제1 구간(115a)은 제1 제어전원(V1)에 연결되고, 제2 구간은 제2 제어전원(V2)에 연결될 수 있다. 제어전원이 메시 구간들에 음의 전압을 부분적으로 공급하면, 전기증착중에 이들 구간(115a,115b)에 어느정도의 재료증착이 이루어지는바, 즉 이들 구간으로부터 어느정도의 증착이 "도둑질"된다. 한편, 양의 전압을 웨이퍼 표면에 대히 메시에 인가하면, 양의 전압을 갖는 메시 구간으로부터 웨이퍼 구간이 더 많은 도금을 받게된다. 후술하는 바와 같이, 마스크 개구부들의 기능과 연계되어전원(V1)이 인가되면, 메시(115)의 제1 구간은 웨이퍼(108)의 전면(108a) 주변의 두께를 조정할 수 있다. 이와 관련해, 제2 구간(115a)의 제2 전원(V2)은 전면(108a)의 중심부나 그 부근의 두께를 조정한다. 증착과정 동안, 전해질(118)은 화살표(122) 방향으로 액체입구(121)를 통해 양극컵(116)에 펑핑된 다음 화살표(123) 방향으로 흘러 회전중의 웨이퍼(108) 표면(108a)에 닿아 표면을 적신다. 양극(112)은 양극커넥터(124)를 통해 전원(도시 안됨)의 양극단자에 전기적으로 연결된다. 웨이퍼(108)는 전원(도시 안됨)의 음극단자에 연결된다. 양극(112)에 구멍(도시 안됨)이 있을 수도 있다. 또, 양극은 그 둘레에 증착공정 동안 생기는 입자들을 여과하는 양극백이나 필터를 갖출 수도 있다. 마스크판(114)과 양극컵(116)은 전해질의 흐름을 조정하는 개구부들(도시 안됨)을 가질 수도 있다.
도 4에 도시된 바와 같이, 평면 전기증착 공정을 이용할 수 있다. 이 경우, 음극조립체(102)는 양극조립체(104)를 향해 하강되고, 웨이퍼(108)는 회전하면서 그 표면(108a)이 마스크(114) 윗면(119)에 접촉된다. 이 실시예에서, 마스크(114)는 단단한 유전체와 같은 단단한 물질로 구성될 수도 있고, 또는 마스크(114)의 윗면(119)이 단단한 연마재를 포함할 수도 있다. 이 공정 동안, 기계적 연마나 스위핑 같은 추가 공정을 진행하여 평탄한 증착층을 두께를 조정하여 제공한다.
도 5는 도전메시(115)와, 절연부재(115c)에 의해 서로 분리되는 구간들(115a,115b)을 보여준다. 메시(115)는 전해질을 통과시키는 개구부들(126)을 갖는다. 메시(115)는 백금으로 구성되거나, 백금이나 기타 불활성 도전체로 코팅될 수도 있다. 5회 내지 50회의 사용 사이클 뒤, 시스템의 극성을 반전시키고 메시를청소하여 1 사이클 더 사용할 수 있다. 가능한 사이클의 횟수는, 청소 전에는 메시의 사용과 메시의 사이즈에 따라 다르다. 도 5에는 두개의 영역이 도시되어 있지만, 그 이상의 영역의 사용도 본 발명의 범위내에 있다.
도 6A-6C에 도시된 바와 같이, 메시(115)는 적당한 체결수단을 이용해 상하단 마스크부(114a,114b) 사이에 배치되거나, 또는 마스크(114)와 일체로 형성될 수 있다. 측면도와 평면도인 도 6B, 6C에 도시된 바와 같이, 메시(115)와 마스크(114)를 조합하면, 마스크(114)를 관통한 개구부들(117)이 메시(115)의 다수의 활성영역(130)을 형성한다. 전기증착 동안, 메시(115)에 음전위를 인가하면, 활성영역(130)에 증착이 일어난다. 양전위를 걸어주면, 메시(115)의 활성영역(130)이 음극화되어 그 위의 웨이퍼 표면에 추가적인 증착이 일어난다. 개구부(117)의 크기와 형상을 변화시키면, 활성영역(130)의 크기와 형상도 변한다. 이렇게 되면, 웨이퍼(108) 전면(108a)에 대한 증착속도도 변하여, 필름 두께를 조정할 수 있다.
도 7에는 마스크(114)와 메시(115)의 조합 구조의 다른 예가 도시되어 있다. 이 실시예에서, 메시(115)는 마스크(114)의 밑면(128) 밑에 놓인다. 마스크(114)의 밑면(128)과 윗면(119) 사이에 여러개의 메시를 위치시키는 것도 본 발명의 범위내에 있을 수 있다. 복수의 메시들 각각은 마스크층가 서로 절연되고, 각 메시에는 전기증착 공정동안 차례로 다른 전압이 인가되어 증착속도를 조정할 수 있다.
도 8A, 8B는 도전메시의 다른 예를 보여준다. 이 실시예에서, 메시(131)는 절연부재(131c)에 의해 서로 절연된 제1 구간(131a)과 제2 구간(131b)을 갖는다. 제1 구간(131a)은 링 모양이고, 제1 제어전압(V1)을 공급받는다. 도 8B에 도시된바와 같이, 제1 구간(131a)은 웨이퍼(108) 주변(132)의 증착두께를 조정한다. 디스크형인 제2 구간(131b)은 제2 제어전압(V2)에 의해 웨이퍼(108)의 중심(134)의 증착두께를 조정한다.
도 9A-9C는 메시(136)의 다른 실시예로서, 절연부재(136c)로 제1 구간(136a)과 제2 구간(136b)이 서로 절연된다. 이들 제1, 제2 구간들(136a,136b)은 모두 스트립 형상으로서, 원형이나 사각형이고 개구부(40)를 갖는 마스크(138)와 함께 사용될 수 있다. 전술한 실시예들과 마찬가지로, 마스크(138)는 상부(138a)와 하부(138b)를 포함하고, 메시(136)는 이들 상하부(138a,138b) 사이에 삽입될 수 있다. 도 9B, 9C에 도시된 바와 같이, 제1 구간(136a)은 마스크(138)의 제1 단부(142)와 정렬되어, 전기증착 공정동안 회전하는 웨이퍼(108)의 주변(132)의 증착두께를 조정한다. 웨이퍼(108)는 Y 방향으로 움직일 수도 있다. 마찬가지로, 제2 구간(136b)은 마스크(138)의 중심(144)과 정렬되어, 웨이퍼(108)의 중심(134)의 증착두께를 조정한다.
물론, 전술한 시스템(100)의 극성을 반전시켜 웨이퍼 표면을 균일하게 전기에칭하는 것도 본 발명의 범위에 있다.
도 10은 전술한 실시예에서 설명한 메시의 구간들을 작동하는 일실시예를 보여준다. 이 실시예에서, 메시(150)는 마스크판(152)의 상부(152a)와 하부(152b) 사이에 삽입될 수 있다. 마스크판(152)은 메시(150)의 활성영역(156)을 형성하는 다수의 개구부들(154)을 포함한다. 메시는 절연부재(150c)에 의해 서로 절연되는 제1 주변부(150a)와 제2 중앙부(150b)를 포함한다. 도 3-4에서 설명한 것과 같이, 전기증착 시스템의 양극컵(도시 안됨)의 양극과 도전면(158a)을 갖는 웨이퍼(158)에 제1 전원(Va)이 연결된다. 제1 전원(Va)은 스위치(S2)를 통해 메시(150)의 제1 구간(150a)이나 제2 구간(150b)에 연결될 수도 있다. 메시(150)의 제1 구간(150a)이나 제2 구간(150b)과 웨이퍼(158)에는 스위치(S1)를 통해 제2 전원(Vb)이 연결된다.
따라서, 스위치(S1)를 통해 노드 D를 노드 A에 연결하면, 메시(150)에 전압이 걸리지 않는다. 스위치(S1)를 통해 노드 D를 노드 B에 연결하면, 메시(150)의 구간(150a)에 양전압이 인가된다. 따라서, 웨이퍼 표면(158a)의 구간(AA)에는 추가증착이 일어난다. 각 구간(AA)은 메시(150)의 구간(150a)을 가로질러 위치한다. 스위치(S1)가 노드 D를 노드 C에 연결하면, 웨이퍼의 구간(BB)에 추가증착이 일어난다.
스위치(S2)가 노드 H를 노드 E에 연결하면, 웨이퍼 표면(158a)에 규칙적인 증착이 시작한다. 스위치(S2)가 노드 H를 노드 G에 연결하면, 메시(150)의 구간(150a)이 음극화되고, 따라서 증착물을 흡인하여, 웨이퍼 표면(158a)의 구간(AA)의 증착량은 감소된다. 마찬가지로, S2가 노드 H를 노드 F에 연결하면, 웨이퍼표면(158a)의 구간(BB)의 증착량이 감소된다. 따라서, 스위치(S1,S2)를 적절히 선택하면 웨이퍼의 2개 구간(AA,BB)에서의 증착속도를 조정할 수 있다.
도 11-14에 도시된 바와 같이, 스위치(S1,S2,S3...Sn)를 통해 메시들(M1,M2,M3..Mn)을 다중화하는데는 단지 하나의 전원이 필요하다. 또, 직렬 저항기들을 통한 전류를 측정하면 시스템내의 마스크패턴을 향상시키는데 유용할것이다. 이것은 현제의 셀 디자인에 특히 필요한데, 컴퓨터 모델에 대한 셀이 복잡하고 시스템을 가로지르는 전기장이 균일하지 않기 때문이다.
스위치를 많이 사용해도 전원은 하나만 있어도 된다. 예컨대, 도 11-14에 도시된 바와 같이 하나의 마크로 도금 셀(M1)을 보면, 스위치(S1)가 VA위치로 스위칭되면, 메시(M2)가 VA전위에 있고, 메시로부터 음극까지 그리고 양극으로부터 음극까지의 둘다 구리가 도금된다.
도 14와 같이 스위치(S1)가 Vc 위치로 스위칭되면, 메시(M1)가 음극위치에 있고 구리가 메시에 도금된다. 웨이퍼의 각 구간의 두께를 조정하기 위해, 이들 영역에서 스위칭된 메시의 듀티 사이클을 변조할 수 있다. 스위치(S1)가 비접속 위치(N.C)에 있고 VA나 Vc에 연결되지 않으면, 구리는 일반적인 것처럼 도금된다.
메시의 각 개구부용으로 절연된 메시들을 이용해 메시내의 각 개구부의 국부 전류밀도를 결정할 수도 있다. 전류밀도를 측정하면 새로움 마스크 패턴을 설계하고 시험하는데 도움이 되므로, 도금두께의 균일성을 최적화하거나 개선할 수 있다.
도 15에 따르면, 첫번째 단계로 저항(R1)을 가로질르는 전압강하가 결정되고, 특정 셀의 도금전류가 결정된다. 다음, 이 동작을 모든 셀에 대해 차례대로 반복한다. 그 결과를 매핑하여, 도금된 금속 두께 측정치와 비교한다.
물론, 이상의 바람직한 실시예를 예로 들어 본 발명에 대해 설명했지만, 당업자라면 본 발명의 범위내에서 다양한 변경이나 변형이 가능할 것이므로, 본 발명의 범위는 어디까지나 특허청구범위에 의해서만 제한된다.

Claims (62)

  1. 웨이퍼 표면에 도전물질을 전기증착하는 시스템에 있어서:
    양극;
    상하면을 갖고, 상하면 사이로 뻗는 다수의 개구부들을 포함하며, 웨이퍼 표면과 상기 양극 사이에 지지되는 마스크;
    마스크의 다수의 개구부들이 도전메시의 다수의 활성영역을 형성하도록 마스크의 윗면 밑에 배치되고, 제1 파워입력에 연결되는 도전메시; 및
    상기 마스크의 개구부들을 통해 그리고 도전메시의 활성영역들을 통해 흘러 웨이퍼 표면과 접촉하는 액체 전해질;을 포함하는 것을 특징으로 하는 시스템.
  2. 제1항에 있어서, 상기 도전메시가 마스크 밑면에 부착되는 것을 특징으로 하는 시스템.
  3. 제1항에 있어서, 상기 도전메시가 마스크내에 있고 마스크의 윗면과 밑면 사이에 배치되는 것을 특징으로 하는 시스템.
  4. 제1항에 있어서, 상기 도전메시가 제1 영역과 제2 영역을 포함하는 것을 특징으로 하는 시스템.
  5. 제4항에 있어서, 상기 제1 영역이 제1 파워입력에 연결되는 것을 특징으로 하는 시스템.
  6. 제5항에 있어서, 상기 제2 영역이 제2 파워입력에 연결되는 것을 특징으로 하는 시스템.
  7. 반도체기판의 표면에 전해질로부터 도전물질을 증착할 수 있는 장치에서 음극조립체와 같이 사용될 수 있는 양극조립체에 있어서:
    상기 도전물질의 증착중에 전해질과 접촉할 수 있는 양극;
    전해질이 통과할 수 있는 도전요소; 및
    도전요소 위에 놓이고 전해질이 통과할 수 있는 개구부들을 갖는 마스크;를 포함하고,
    상기 개구부들은 도체요소의 활성영역들을 형성하며, 이에 의해 반도체 표면에서의 도전물질의 증착속도가 변할 수 있는 것을 특징으로 하는 양극조립체.
  8. 제7항에 있어서, 상기 도전요소가 도전메시인 것을 특징으로 하는 양극조립체.
  9. 제7항에 있어서, 상기 도전요소가 다수의 절연구간들을 포함하는 것을 특징으로 하는 양극조립체.
  10. 제9항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 절연부재를 포함하는 것을 특징으로 하는 양극조립체.
  11. 제9항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 갭을 포함하는 것을 특징으로 하는 양극조립체.
  12. 제9항에 있어서, 상기 절연구간들이 별도의 제어전원들에 연결될 수 있는 것을 특징으로 하는 양극조립체.
  13. 제7항에 있어서, 상기 도전요소가 함께 상기 마스크를 형성하는 상단 마스크부와 하단 마스크부 사이에 끼이는 것을 특징으로 하는 양극조립체.
  14. 제7항에 있어서, 상기 도전요소가 상기 마스크의 밑면 밑에 위치하는 것을 특징으로 하는 양극조립체.
  15. 제9항에 있어서, 상기 절연구간들중의 하나는 상기 절연구간들의 다른 것을 둘러싸는 것을 특징으로 하는 양극조립체.
  16. 제15항에 있어서, 상기 절연구간들이 불규칙한 형상을 갖는 것을 특징으로하는 양극조립체.
  17. 제15항에 있어서, 상기 절연구간들의 상기 하나는 링 모양인 것을 특징으로 하는 양극조립체.
  18. 제17항에 있어서, 상기 절연구간들의 나머지는 디스크 형상인 것을 특징으로 하는 양극조립체.
  19. 제9항에 있어서, 상기 절연구간들이 서로 인접한 스트립들을 형성하는 것을 특징으로 하는 양극조립체.
  20. 반도체 기판의 표면에 전해질로부터의 도전재료를 증착하는 동안 두께 균일성을 조절할 수 있는 장치에 있어서:
    상기 도전재료의 증착중에 전해질과 접촉할 수 있는 양극;
    상기 증착중에 기판을 운반하기에 적합한 캐리어를 포함하는 음극조립체;
    전해질이 통과할 수 있는 도전요소;
    상기 표면에 대한 도전재료의 증착속도를 변화시키는 도전요소의 활성영역들을 형성하면서 전해질이 통과하는 개구부들을 갖고 상기 도전요소 위에 놓이는 마스크; 및
    상기 양극과 음극조립체 사이에 전위를 주어 상기 증착을 실행할 수 있는 전원;을 포함하는 것을 특징으로 하는 장치.
  21. 제20항에 있어서, 상기 도전요소가 도전메시인 것을 특징으로 하는 장치.
  22. 제20항에 있어서, 상기 도전요소가 다수의 절연구간들을 포함하는 것을 특징으로 하는 장치.
  23. 제22항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 절연부재를 포함하는 것을 특징으로 하는 장치.
  24. 제22항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 갭을 포함하는 것을 특징으로 하는 장치.
  25. 제22항에 있어서, 상기 절연구간들이 별도의 제어전원들에 연결될 수 있는 것을 특징으로 하는 장치.
  26. 제20항에 있어서, 상기 도전요소가 함께 상기 마스크를 형성하는 상단 마스크부와 하단 마스크부 사이에 끼이는 것을 특징으로 하는 장치.
  27. 제20항에 있어서, 상기 도전요소가 상기 마스크의 밑면 밑에 위치하는 것을특징으로 하는 장치.
  28. 제22항에 있어서, 상기 절연구간들중의 하나는 상기 절연구간들의 다른 것을 둘러싸는 것을 특징으로 하는 장치.
  29. 제28항에 있어서, 상기 절연구간들이 불규칙한 형상을 갖는 것을 특징으로 하는 장치.
  30. 제28항에 있어서, 상기 절연구간들의 상기 하나는 링 모양인 것을 특징으로 하는 장치.
  31. 제30항에 있어서, 상기 절연구간들의 나머지는 디스크 형상인 것을 특징으로 하는 장치.
  32. 제22항에 있어서, 상기 절연구간들이 서로 인접한 스트립들을 형성하는 것을 특징으로 하는 장치.
  33. 제22항에 있어서, 상기 절연구간들중의 적어도 하나에 전압을 공급하여 상기 표면의 일부에 대한 도전재료의 증착속도를 변화시킬 수 있는 하나 이상의 제어전원을 더 포함하는 것을 특징으로 하는 장치.
  34. 제33항에 있어서, 상기 증착속도가 증가되는 것을 특징으로 하는 장치.
  35. 제 33항에 있어서, 상기 증착속도가 감소되는 것을 특징으로 하는 장치.
  36. 제22항에 있어서, 상기 전원이 절연구간들중의 적어도 하나에 전압을 추가로 공급하여 상기 표면의 일부에 대한 도전재료의 증착속도를 변화시킬 수 있는 것을 특징으로 하는 장치.
  37. 제36항에 있어서, 상기 증착속도가 증가되는 것을 특징으로 하는 장치.
  38. 제 36항에 있어서, 상기 증착속도가 감소되는 것을 특징으로 하는 장치.
  39. 제36항에 있어서, 상기 절연구간들의 다른 것에 추가 전압을 공급할 수 있는 하나 이상의 추가전원을 더 포함하는 것을 특징으로 하는 장치.
  40. 제20항에 있어서, 상기 도전요소에 전압을 공급하여 도전재료 증착속도를 변화시킬 수 있는 하나 이상의 제어전원을 더 포함하는 것을 특징으로 하는 장치.
  41. 제39항에 있어서, 상기 증착속도가 증가되는 것을 특징으로 하는 장치.
  42. 제 39항에 있어서, 상기 증착속도가 감소되는 것을 특징으로 하는 장치.
  43. 제20항에 있어서, 상기 전원이 상기 도전요소에 전압을 공급하여 상기 도전재료 증착속도를 변화시킬 수 있는 것을 특징으로 하는 장치.
  44. 제43항에 있어서, 증착속도가 증가되는 것을 특징으로 하는 장치.
  45. 제 43항에 있어서, 상기 증착속도가 감소되는 것을 특징으로 하는 장치.
  46. 반도체 기판의 표면에 전해질로부터 도전재료를 증착하는 동안 두께 균일성을 조절하는 방법에 있어서:
    전해질에 양극을 접촉시키는 단계;
    도전요소를 통해 그리고 도전요소 위에 놓여 도전요소의 활성영역들을 형성하는 마스크의 개구부들을 통해 상기 기판 표면에 전해질을 공급하는 단계;
    상기 양극과 기판 표면 사이에 전위를 주어 상기 증착을 실행하는 단계; 및
    상기 도전요소에 전압을 걸어 도전재료 증착속도를 변화시키는 단계;를 포함하는 것을 특징으로 하는 방법.
  47. 제46항에 있어서, 상기 도전요소가 도전메시인 것을 특징으로 하는 방법.
  48. 제46항에 있어서, 상기 도전요소가 상기 마스크의 밑면 밑에 위치하는 것을 특징으로 하는 방법.
  49. 제46항에 있어서, 상기 증착이 일어날 때 상기 도전재료를 연마하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  50. 반도체 기판의 표면에 전해질로부터 도전재료를 증착하는 동안 두께 균일성을 조절하는 방법에 있어서:
    전해질에 양극을 접촉시키는 단계;
    도전요소의 다수의 절연구간들을 통해 그리고 도전요소 위에 놓여 도전요소의 활성영역들을 형성하는 마스크의 개구부들을 통해 상기 기판 표면에 전해질을 공급하는 단계;
    상기 양극과 기판 표면 사이에 전위를 주어 상기 증착을 실행하는 단계; 및
    상기 절연구간들중의 하나 이상에 전압을 걸어 기판 표면의 일부에 대한 도전재료 증착속도를 변화시키는 단계;를 포함하는 것을 특징으로 하는 방법.
  51. 제50항에 있어서, 상기 도전요소가 도전메시인 것을 특징으로 하는 방법.
  52. 제50항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 절연부재를 포함하는 것을 특징으로 하는 방법.
  53. 제50항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 갭을 포함하는 것을 특징으로 하는 방법.
  54. 제50항에 있어서, 상기 증착속도가 증가하는 것을 특징으로 하는 방법.
  55. 제50항에 있어서, 상기 증착속도가 감소하는 것을 특징으로 하는 방법.
  56. 제50항에 있어서, 상기 증착이 일어날 때 상기 도전재료를 연마하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  57. 반도체 기판의 표면으로부터 도전재료를 전기에칭하는 동안 두께 균일성을 조절할 수 있는 장치에 있어서:
    상기 도전재료의 전기에칭중에 전해질과 접촉할 수 있는 양극;
    상기 전기에칭중에 기판을 운반하기에 적합한 캐리어를 포함하는 음극조립체;
    전해질이 통과할 수 있는 도전요소;
    상기 표면으로부터의 도전물질 전기에칭속도를 변화시키는 도전요소의 활성영역들을 형성하면서 전해질이 통과하는 개구부들을 갖고 상기 도전요소 위에 놓이는 마스크; 및
    상기 양극과 음극조립체 사이에 전위를 주어 상기 전기에칭을 실행할 수 있는 전원;을 포함하는 것을 특징으로 하는 장치.
  58. 제57항에 있어서, 상기 도전요소가 도전메시인 것을 특징으로 하는 장치.
  59. 제57항에 있어서, 상기 도전요소가 다수의 절연구간들을 포함하는 것을 특징으로 하는 장치.
  60. 제59항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 절연부재를 포함하는 것을 특징으로 하는 장치.
  61. 제59항에 있어서, 상기 도전요소가 절연구간들을 분리하는 하나 이상의 갭을 포함하는 것을 특징으로 하는 장치.
  62. 도전요소의 활성영역들의 증착전류과 반도체 기판 표면에 전해질로부터 증착된 도전재료의 두께 사이의 관계를 정립하는 방법에 있어서:
    전해질에 양극을 접촉시키는 단계;
    도전요소를 통해 그리고 도전요소 위에 놓여 도전요소의 활성영역들을 형성하는 마스크의 개구부들을 통해 상기 기판 표면에 전해질을 공급하는 단계;
    상기 양극과 기판 표면 사이에 전위를 주어 상기 기판 표면에 도전재료의 증착을 실행하는 단계;
    상기 도전요소에 전압을 공급하는 단계;
    각 개구부의 증착전류를 결정하는 단계;
    도전재료의 두께를 측정하는 단계; 및
    도전재료 두께 측정값들과 결정된 증착전류를 비교하는 단계;를 포함하는 것을 특징으로 하는 방법.
KR10-2003-7008507A 2000-12-21 2001-12-11 전기도금층의 두께 균일성을 조절하는 방법과 장치 KR20040005866A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25692400P 2000-12-21 2000-12-21
US60/256,924 2000-12-21
US09/855,059 US6802946B2 (en) 2000-12-21 2001-05-15 Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US09/855,059 2001-05-15
PCT/US2001/047445 WO2002063072A1 (en) 2000-12-21 2001-12-11 Method and apparatus for controlling thickness uniformity of electroplated layer

Publications (1)

Publication Number Publication Date
KR20040005866A true KR20040005866A (ko) 2004-01-16

Family

ID=26945682

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7008507A KR20040005866A (ko) 2000-12-21 2001-12-11 전기도금층의 두께 균일성을 조절하는 방법과 장치

Country Status (7)

Country Link
US (2) US6802946B2 (ko)
EP (1) EP1360348A4 (ko)
JP (1) JP2004518817A (ko)
KR (1) KR20040005866A (ko)
CN (1) CN1551931A (ko)
TW (1) TW539778B (ko)
WO (1) WO2002063072A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100755661B1 (ko) * 2005-03-07 2007-09-05 삼성전자주식회사 도금 처리 장치 및 이를 이용한 도금 처리 방법
KR20160009571A (ko) * 2013-05-20 2016-01-26 도쿄엘렉트론가부시키가이샤 기판의 처리 방법 및 템플릿

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US20030168344A1 (en) * 2002-03-08 2003-09-11 Applied Materials, Inc. Selective metal deposition for electrochemical plating
CN100439571C (zh) * 2002-07-18 2008-12-03 株式会社荏原制作所 电镀装置
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040055873A1 (en) * 2002-09-24 2004-03-25 Digital Matrix Corporation Apparatus and method for improved electroforming
US6890413B2 (en) * 2002-12-11 2005-05-10 International Business Machines Corporation Method and apparatus for controlling local current to achieve uniform plating thickness
US6966976B1 (en) 2003-01-07 2005-11-22 Hutchinson Technology Incorporated Electroplating panel with plating thickness-compensation structures
US7201828B2 (en) * 2003-02-25 2007-04-10 Novellus Systems, Inc. Planar plating apparatus
KR20040094560A (ko) * 2003-05-03 2004-11-10 삼성전자주식회사 반도체 소자 금속배선층의 전해 연마 방법 및 장치
US7803257B2 (en) * 2004-10-22 2010-09-28 Taiwan Semiconductor Manufacturing Company Current-leveling electroplating/electropolishing electrode
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
CN101368284B (zh) * 2007-08-15 2010-10-06 富葵精密组件(深圳)有限公司 电镀装置
US8197660B2 (en) 2007-09-10 2012-06-12 Infineon Technologies Ag Electro chemical deposition systems and methods of manufacturing using the same
US8309259B2 (en) 2008-05-19 2012-11-13 Arizona Board Of Regents For And On Behalf Of Arizona State University Electrochemical cell, and particularly a cell with electrodeposited fuel
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8492052B2 (en) 2009-10-08 2013-07-23 Fluidic, Inc. Electrochemical cell with spacers for flow management system
US8343327B2 (en) 2010-05-25 2013-01-01 Reel Solar, Inc. Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
US9960312B2 (en) 2010-05-25 2018-05-01 Kurt H. Weiner Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
WO2011151530A1 (fr) 2010-05-31 2011-12-08 Arcelormittal Investigacion Y Desarrollo, S.L. Procede et dispositif de mesure de l'epaisseur d'une couche de revetement sur une bande en defilement
ES2620238T3 (es) 2010-06-24 2017-06-28 Fluidic, Inc. Celda electroquímica con ánodo de combustible de andamio escalonado
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN202550031U (zh) 2010-09-16 2012-11-21 流体公司 具有渐进析氧电极/燃料电极的电化学电池系统
CN102456934B (zh) 2010-10-20 2016-01-20 流体公司 针对基架燃料电极的电池重置过程
JP5908251B2 (ja) 2010-11-17 2016-04-26 フルイディック,インク.Fluidic,Inc. 階層型アノードのマルチモード充電
EP2476784A1 (en) * 2011-01-18 2012-07-18 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method for manufacturing an electronic device by electrodeposition from an ionic liquid
JP5731917B2 (ja) * 2011-06-30 2015-06-10 上村工業株式会社 表面処理装置およびめっき槽
CN102703961A (zh) * 2012-06-08 2012-10-03 镇江华印电路板有限公司 一种电镀均匀性改善的方法
US9909228B2 (en) * 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US10932371B2 (en) 2014-11-05 2021-02-23 Corning Incorporated Bottom-up electrolytic via plating method
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
JP6107799B2 (ja) * 2014-12-03 2017-04-05 トヨタ自動車株式会社 表面処理方法および表面処理装置
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
CA3031513A1 (en) 2016-07-22 2018-01-25 Nantenergy, Inc. Moisture and carbon dioxide management system in electrochemical cells
US10692735B2 (en) * 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
TWI700401B (zh) * 2018-08-21 2020-08-01 財團法人工業技術研究院 待電鍍的面板、使用其之電鍍製程、及以其製造之晶片
CN109652826A (zh) * 2019-02-22 2019-04-19 圣达电气有限公司 阴极辊的电解铜箔厚度均匀性控制方法
WO2020231718A1 (en) 2019-05-10 2020-11-19 Nantenergy, Inc. Nested annular metal-air cell and systems containing same
IT201900013626A1 (it) 2019-08-01 2021-02-01 Fluid Metal 3D As Procedimento e sistema di elettroformatura localizzata da getti con retroazione ad anello chiuso in tempo reale
CN113265695A (zh) * 2021-05-18 2021-08-17 南京萨特科技发展有限公司 合金箔电阻器挂镀治具及方法
TWI801144B (zh) * 2021-10-14 2023-05-01 欣興電子股份有限公司 電鍍設備與電鍍方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3328273A (en) 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
FR2510145B1 (fr) 1981-07-24 1986-02-07 Rhone Poulenc Spec Chim Additif pour bain de cuivrage electrolytique acide, son procede de preparation et son application au cuivrage des circuits imprimes
US4643816A (en) * 1985-05-09 1987-02-17 Burlington Industries, Inc. Plating using a non-conductive shroud and a false bottom
US4678545A (en) * 1986-06-12 1987-07-07 Galik George M Printed circuit board fine line plating
US4948474A (en) 1987-09-18 1990-08-14 Pennsylvania Research Corporation Copper electroplating solutions and methods
DE3836521C2 (de) 1988-10-24 1995-04-13 Atotech Deutschland Gmbh Wäßriges saures Bad zur galvanischen Abscheidung von glänzenden und rißfreien Kupferüberzügen und Verwendung des Bades
US5084071A (en) 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
JPH04143299A (ja) * 1990-10-03 1992-05-18 Fujitsu Ltd 電解メッキ方法
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US6042712A (en) 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US5681215A (en) 1995-10-27 1997-10-28 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5795215A (en) 1995-06-09 1998-08-18 Applied Materials, Inc. Method and apparatus for using a retaining ring to control the edge effect
KR100232506B1 (ko) 1995-06-27 1999-12-01 포만 제프리 엘. 전기적 접속을 제공하는 배선 구조 및 도체와 그 도체형성방법
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5762544A (en) 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5858813A (en) 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5793272A (en) 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6051117A (en) * 1996-12-12 2000-04-18 Eltech Systems, Corp. Reticulated metal article combining small pores with large apertures
EP0932913A1 (en) 1996-12-16 1999-08-04 International Business Machines Corporation Electroplated interconnection structures on integrated circuit chips
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5911619A (en) 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5807165A (en) 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5922091A (en) 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6132583A (en) * 1997-05-16 2000-10-17 Technic, Inc. Shielding method and apparatus for use in electroplating process
US5985123A (en) 1997-07-09 1999-11-16 Koon; Kam Kwan Continuous vertical plating system and method of plating
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6004880A (en) 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251251B1 (en) 1998-11-16 2001-06-26 International Business Machines Corporation Anode design for semiconductor deposition
US6103085A (en) 1998-12-04 2000-08-15 Advanced Micro Devices, Inc. Electroplating uniformity by diffuser design
US6066030A (en) 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6297155B1 (en) 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6197182B1 (en) 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100755661B1 (ko) * 2005-03-07 2007-09-05 삼성전자주식회사 도금 처리 장치 및 이를 이용한 도금 처리 방법
KR20160009571A (ko) * 2013-05-20 2016-01-26 도쿄엘렉트론가부시키가이샤 기판의 처리 방법 및 템플릿

Also Published As

Publication number Publication date
US6802946B2 (en) 2004-10-12
EP1360348A4 (en) 2006-09-27
US20040231994A1 (en) 2004-11-25
US20020079230A1 (en) 2002-06-27
EP1360348A1 (en) 2003-11-12
CN1551931A (zh) 2004-12-01
WO2002063072A1 (en) 2002-08-15
JP2004518817A (ja) 2004-06-24
US7435323B2 (en) 2008-10-14
TW539778B (en) 2003-07-01

Similar Documents

Publication Publication Date Title
KR20040005866A (ko) 전기도금층의 두께 균일성을 조절하는 방법과 장치
US6610190B2 (en) Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6852630B2 (en) Electroetching process and system
US6251236B1 (en) Cathode contact ring for electrochemical deposition
KR101765346B1 (ko) 전기도금을 위한 방법 및 장치
US7578923B2 (en) Electropolishing system and process
US9593431B2 (en) Electroplating systems
JP2005501963A5 (ko)
US7416975B2 (en) Method of forming contact layers on substrates
US6444101B1 (en) Conductive biasing member for metal layering
KR20050067180A (ko) 통합 도금과 평탄화 공정 및 이를 위한 장치
US20070141818A1 (en) Method of depositing materials on full face of a wafer
US6514393B1 (en) Adjustable flange for plating and electropolishing thickness profile control
US6706166B2 (en) Method for improving an electrodeposition process through use of a multi-electrode assembly
US7201829B2 (en) Mask plate design
US20090020437A1 (en) Method and system for controlled material removal by electrochemical polishing

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid