CN1551931A - 用于控制电镀层厚度均匀性的方法和装置 - Google Patents

用于控制电镀层厚度均匀性的方法和装置 Download PDF

Info

Publication number
CN1551931A
CN1551931A CNA018225640A CN01822564A CN1551931A CN 1551931 A CN1551931 A CN 1551931A CN A018225640 A CNA018225640 A CN A018225640A CN 01822564 A CN01822564 A CN 01822564A CN 1551931 A CN1551931 A CN 1551931A
Authority
CN
China
Prior art keywords
conducting element
mask
electro
conductive material
anode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA018225640A
Other languages
English (en)
Inventor
˹���ء�M������
布伦特·M·贝斯
�ֵ¿�˹��
保罗·林德奎斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN1551931A publication Critical patent/CN1551931A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Abstract

本发明提出了一种可以在将导电材料从电解质沉积到一个半导体表面上的期间控制厚度均匀性的装置,该装置包括一个可以在所述导电材料沉积期间被电解质接触的阳极、包含适合于在沉积期间移动基片的载体的阴极装置、以及允许电解质流经的导电元件。一个掩模覆盖在导电元件表面,且有允许电解质流经的开孔。所述开孔界定了导电元件的激活区域,通过所述激活区域可以改变导电材料沉积在表面上的速率。一个电源可以在阳极装置和阴极装置之间提供电势,以产生沉积。还揭示了一种沉积材料的工艺,且可以另外完成半导体基片上导电材料的均匀电蚀刻。

Description

用于控制电镀层厚度均匀性的方法和装置
                                 技术领域
本发明是关于电沉积处理技术,尤其关于产生平面沉积层的电沉积处理和装置。
                                 背景技术
传统的半导体器件一般包括半导体基片,通常是一个硅基片;数个相继形成的电介质层,如二氧化硅层;以及由导电物质制成的导电路线或互联。互联通常是通过将导电物质填入被蚀刻到电介质层中的槽内而形成的。在集成电路中,多层次的互联网在基片表面横向延伸。在不同层面形成的互联通过使用圆孔和触头被电连接。导电物质填充这种部件,也就是经由开孔、开槽、垫片或触头,的填充过程可以通过在包括这些部件的基片上沉积一导电物质来实现。然后在基片上的剩余的导电物质可通过使用磨平和抛光技术如化学机械抛光(CMP)来去除。
最近由于铜(Cu)和铜合金的良好的导电性以及低电阻特性,铜和铜合金作为互联物质受到相当多的注意。首选的铜沉积方法是电沉积。在制作中,铜被电镀或电沉积在预先涂有隔离层和晶粒层的基片上。典型的隔离层物质一般包括钨(W)、钽(Ta)、钛(Ti),它们的合金以及它们的氮化物。一个用于铜的典型晶粒层物质通常是用CVD或PVD法沉积在前述隔离层上的铜的一个薄层。
有许多不同的铜电镀系统设计。例如,安德瑞克克斯等人在1996年5月14日公布的美国专利号5,516,412的专利中,揭示的安装成用来将镀层电沉积在扁平物品上的立式叶片电镀单元。库恩在1999年11月16日公布的美国专利号5,985,123的专利中更揭示了另一种立式电镀装置,该装置主要为了克服因不同基片大小引起的不均匀沉积问题。
在铜的电沉积过程中,使用特定配方的电镀液或电解质,这些液体或电解质包括铜离子和控制沉积物质的质地、形态学和电镀性能的添加剂。需要添加剂来使沉积层平滑且有些光泽。
图1到图1例示了传统的电沉积方法和装置。图1A举例说明了其上形成有绝缘层12的基片10。使用传统的蚀刻技术,在绝缘层12和基片10的暴露的区域上形成了诸如一排小的圆孔14和一条宽的开槽16的部件。通常,圆孔14的宽度是亚微级的。另一方面,在本例中所示的开槽16是宽的且有较小的纵横比。开槽16的宽度可以是其深度的5至50倍或更大。
图1B-1C举例说明了用铜质材料填充该部件的传统的方法。图1B举例说明了一隔离物/胶合剂或粘着物层18和一晶种层20相继沉积在基片10和绝缘体12上。在晶种层20沉积后,如图1C所示,一导电物质层22(例如一铜层)就从一适当的电镀浴或浴制剂部分地电沉积在上面。在这一步骤中,要使铜晶粒层20和/或隔离层18能电连接,这样就可相对于-阳极(图未示)而向它施加一负极(阴极)电压。其后,使用电镀液就将铜物质层22电沉积在基片表面,如上所述。通过调节添加剂如氯离子、抑制物/抑制剂和加速剂的量,就可以在小部件中得到自底向上的铜镀层增长。
如图1C所示,铜物质层22完全填入圆孔14且在大开槽16中通常是相似的,因为使用的添加剂在大的部件中是不起作用的。这里,在开槽16底面的铜厚度t1和绝缘层12上的铜厚度t2大致相同。正如可预料的,为了完全将铜物质填入开槽16,还需要进一步的电镀。图1D举例说明了在额外铜电镀之后的构造结果。在这种情况下,绝缘层12上的铜厚度t3就相对大一些,且从绝缘层12上的铜层顶部到开槽16中铜层22的顶部有一个台阶高度s1。供IC使用时,铜层22需要经过CMP或其他材料去除过程,使绝缘体层12上的铜层22以及隔离层18被去除,接此只在部件14和16之内留有铜层。人们知道这些去除过程是非常昂贵的。
完成如图1E中举例说明的一般平面的铜沉积的方法和装置,在处理效率和成本方面都是无法估价的。在本例中绝缘层12上的铜厚度t5比图1D中所示的传统的情况要小,而且台阶高度s2也比台阶高度s1要小得多。通过CMP或其他方法去除图1E中较薄的铜层将会比较容易,重要的是可节约成本。
在本发明的受让人所共同拥有的名为“用于电化学机械沉积的方法和装置”的美国专利6,176,992 B1中,揭示了一种电化学机械沉积(ECMD)技术,该技术通过用一个垫片在导电材料被沉积时抛光作业区,使在作业区上的沉积最小化的同时实现导电物质沉积到一个基片表面上的空洞之中,因此而产生平面铜沉积。在这一应用中,将电镀电解质通过多孔垫片或通过垫片表面的微小凸出物供应至垫片和基片表面之间的小缝隙中。
题为“用于多方面材料处理装置的垫片设计和构造”的,于2000年2月23日提交的,为本发明的受托人共同所有的待审美国专利申请序列号09/511,278,描述了垫片中空洞的不同外形和形状,电解质通过该空洞流到晶片表面。
另一个在2000年12月18日提交的美国专利序列号为09/740,701的题为“利用外部影响在沉积于表面上的添加剂和被加工件的空洞表面之间产生差异的电镀方法和装置”的专利中所描述的,提供了一种通过间歇地将位于基片和阳极之间的掩模移到与基片表面接触,且在处理期间在阳极和基片之间施加功率以“掩模脉冲电镀”一导电物质到基片上的方法和装置。另一个在2000年12月14日提交的美国专利序列号为09/735,546的题为全表面电镀或电化学抛光中导致与晶片表面电接触的方法和装置”的专利中所描述的内容,实现了晶片的前侧表面的完全或全面的电镀或电解法抛光,连供电接触用的任何边缘区域也不除外。该方法使用有阳极区域的阳极,以及放在阳极区域外部的电接触点。在处理期间,晶片相对于阳极和电接触点进行移动,这样就实现了在全部晶片的正面上的全表面的沉积。另一种在2001年1月17日提交的题为“用于在基片上进行边缘的均匀镀层的电沉积的方法和装置”的美国专利序列号为09/760,757的专利描述了的非边缘除外的过程,使用具有置于晶片正面和阳极之间的有掩模或样板的系统也实现了全表面沉积。该掩模包含允许电解质流其中的粗糙面。在该系统中,掩模有一个比晶片表面大的区域。该掩模的构造中有隐蔽的边缘,通过该边缘电接触可以接触到晶片的前表面。在该系统中,随着晶片的旋转,整个晶片的表面通过样板与流经样板的电解质相接触,完成沉积。
图2A是描述现有技术的电沉积系统30的简图。在这个系统中,在覆盖住晶片32周边缘的一环钳36的帮助下,通过一晶片支架34夹持住一晶片32。电接触点38也呈圆环状,且连接到电源的(-)极端以备负极电镀。将晶片支架34下降到充填有电镀电解质42的电镀单元40中。与电解质42相接触的阳极44位于晶片表面的对面,且与电源的(+)极端相连接。阳极44可以是由待沉积的材料制成,也就是铜,或者可以由惰性阳极材料如铂金、镀铂的钛或石墨制成。电镀过程从施加电源开始。在这个电镀系统中,电接触点38是与电解质隔绝的,且通过晶片32的周边缘传送电镀电流。
图1A到图1E显示了在晶片表面的部件是如何填入铜的。为了使该全部晶片的填入过程有效且均匀,重要的是要在整个晶片表面有均匀厚度的铜沉积。而且,该电镀过程所及到的厚度均匀性,也就是图1D中的厚度均匀性t3和图1E中的厚度均匀性t5都需要非常优良(通常应小于10%的变化,最好是小于5%的变化)。因为在CMP过程中一非均匀的铜厚度会引起问题。
如图2B所示,为了改进沉积层的均匀性,可在如图2A所示的现有技术的电镀系统中把屏蔽物46包含进去。在这样的系统中,或者晶片32或者屏蔽物46可以旋转。这种屏蔽物,例如在布罗德本的美国专利6,027,631,里德等人的美国专利6,074,544以及沃乌等人的美国专利6,103,085中有所描述。此外,在这样的系统中,电取样器(electrical thieves)可用于电沉积材料。这种电取样器,例如在昂的、尤喔的美国专利5,620,581和5,744,019,美国专利6,071,388,以及汉森等人的美国专利6,004,440和6,139,703中有所描述。
综上所述,需要有可替换的电沉积工艺和系统来沉积均匀的导电镀层并能在沉积过程中在一晶片上的不同部位改变沉积速率。
                                发明内容
本发明一方面提供了一个用于在一晶片表面电沉积一导电材料的系统。该系统包括一阳极、有上下表面的掩模、位于掩模上表面下的导电网孔或样板、和电解质。该掩模包含许多在上下表面之间延伸的开孔,且该掩模被支撑在阳极和晶片表面之间。导电网孔位于掩模上表面之下,这样掩模的许多开孔就界定了导电网孔上许多作用区。该导电网孔被连接到第一电源输入。电解质液流过掩模的开孔和掩模的作用区以接触晶片的表面。
本发明的另一个特征是提供了一种装置,该装置可在从电解质沉积导电物质到半导体基片的表面上时控制厚度的均匀性。该装置包括一个在沉积期间被电解质所接触的阳极、包含在沉积期间适合于使基片运动的载体的阴极装置、允许电解质流经其中的导电元件、以及位于导电元件之上的掩模。该掩模有开孔,允许电解质流经,且该开孔界确定了导电元件的激活区域,通过该导电元件,表面上导电材料沉积的速率就可以变化。一个电源可以提供阳极装置和阴极装置之间的电势,以产生沉积。
最好的是,导电元件是一个导电网孔,且包含许多电隔离部分。至少一个隔离部件或间隙可以隔离这些电隔离部分。这些电隔离部分可连接于隔开的控制电源。
在一个结构中,导电元件可夹在一起确定掩模的顶部掩模部分和底部掩模部分之间。该导电元件可放置在掩模下表面的下面。电隔离部分之一可以园周形围住另一个电隔离部分。
电隔离部分可以是无规则的形状。作为选择,当其他的这些部分是盘状时,一个电隔离部分可以是环状。电隔离部分另外可以界定相邻的带状部分。
至少一个控制电源可用于给至少一个电隔离部分提供一个电压,以改变基片表面一个区域上导电材料沉积的速率。在一个结构中,该速率可以增加或者降低。在一种包含用电解质接触阴极、通过导电元件和位于导电元件上的掩模为基片表面提供电解质、在阳极和表面之间提供一个电势、以及为了变化导电材料沉积的速率而为导电元件提供电压的处理过程中,用如上所述的装置可在导电材料沉积期间控制厚度的均匀性。
通过转换阳极装置和阴极装置的极性,在基片表面的导电材料的均匀电蚀刻也同样在本发明的范围之内。在导电网孔上的激活区域的沉积电流和半导体基片表面上导电材料沉积的厚度之间建立联系的工艺也被研究过。
本发明的这些和其他特征、方面以及优点将可参考附图在以下的描述中得到更好的理解。
                                附图说明
图1A是带有包含开槽和圆孔的覆在上面的绝缘层的半导体基片的局部剖视图;
图1B和1C是举例说明用于使用导电材料填充如图1A中的开槽和圆孔的传统方法的截面视图;
图1D是显示在附加的导电材料的沉积后的与图1C类似的结构的截面视图;
图1E是与图1D相似的视图,但显示了在绝缘层上减少导电材料厚度的结构;
图2A是一个已知的电沉积系统的截面的简图;
图2B是与图2A相似的简图,但显示了包括旨在改进沉积均匀性的屏蔽物的系统;
图3是根据本发明的一个电沉积系统的一个实施方案的截面的简图;
图4显示了用于充分提供平坦的导电材料沉积时的图3的系统;
图5是一个带有不规则形状的电隔离部分的导电网孔的俯视图,该网孔可用于图3和图4中的实施方案;
图6A是放大的截面视图,显示了接近一个半导体基片的前表面的掩模与网孔结构的组合;
图6B是图6A中6B部分的放大视图;
图6C是图6B中沿线6C-6C部分的局部平面视图;
图7显示了掩模和网孔结构组合的另一个实施方案;
图8A是类似于图5的一个导电网孔的俯视图,但这里的电隔离部分不是无规则的形状;
图8B显示了图8A中靠近一个半导体基片的前表面的夹在顶部掩模部分与底部掩模部分之间的网孔;
图9A是带有界定邻近带条的电隔离部分的一个导电网孔的俯视平面图;
图9B是与图8B相似的视图,但显示了图9A中夹在顶部掩模部分与底部掩模部分之间的网孔;
图9C是图9B中沿线9C-9C部分的视图;
图10是一个系统的简图,通过该系统,依照前面所述的任一个实施方案的网孔可被供给能量;
图11是另一个系统的简图,该系统中通过多个开关对多个网孔进行多路传输;
图12是图11中部分系统的放大视图;
图13是与图12相似的视图,显示了处于使铜从一个网孔电镀到一个晶片上、和从一个阳极电镀到晶片上的位置上的开关;
图14与图13相似,但显示了处于使铜电镀到网孔以减少晶片上电镀发生的位置上的开关;
图15是另一个系统的简图,该系统可用于使电镀电流与电镀金属厚度的测量相关联。
                              具体实施方式
本发明提供了一种用于控制半导体表面上导电材料层沉积的均匀性的方法和系统。本发明可用ECMD、掩模脉冲电镀和全表面电镀以及沉积正形镀层的电镀系统来使用。本发明的沉积处理过程方便地完成一半导体晶片表面上的许多空洞,如开槽、圆孔、接触孔和类似物中电镀材料的沉积。
正如人们所知道的,在一晶片表面的电沉积过程中,施加于表面的电流密度在表面的外围比表面的中间要强得多。在现有技术中,这个较高的电流密度导致与晶片中心相比,晶片外围的沉积镀层的沉积速率较高。在电沉积期间,使用本发明的打孔的平板或一掩模与导电网孔的组合,晶片内部和外围之间的镀层厚度的差异就可以消除。打孔的平板与导电网孔的组合有利于导电材料的均匀沉积。
此外,在另一实施方案中,本发明还通过使用本发明的打孔平板的接触、清扫和/或抛光,使在部件之间的顶面上的沉积最小化的同时,通过打孔的平板与导电网孔的组合来实现导电材料的沉积。对于能够沉积平面镀层的系统,也就是ECMD、掩模脉冲电镀和全表面电镀,通过对所采用的掩模、垫片或样板中开孔的外形、尺寸和方位的设计,可以将厚度的均匀性控制在某一个范围。尽管对一给定的程序参数有效,但这些方法可能没有足够好的适应性,来对沉积过程的均匀性有动态控制。
本发明的装置和处理过程展示了增强的沉积特征,导致涂层有以前难以得到的平直度,以及有超过使用现有技术处理过程和设备所产生的现有技术的导电层的材料特征。
现给附图注明参考数字,其中同样的数字在全文中指同样部件。如图3所示,本发明的一个电沉积系统100最好可以包括一个阴极装置102和一个阳极装置104。该系统100可以用于沉积一导电材料如铜到如硅片的半导体晶片上。尽管举例是使用铜,但本发明可以用于其他普通导体如镍、钯、铂、金和它们的合金的沉积。电沉积系统100的阴极装置102可包括一晶片的载体106,如图3所示它握持着一典范的晶片108,该晶片载体附着于一载体支杆110。该载体支杆可旋转或以横向或纵向移动晶片108。
该系统100的阳极装置104可包括本发明的一阳极112,最好是一自耗铜阳极,以及一掩模和导电网孔115。所示的掩模是掩模平板114的形式。阳极112组合可以放置在如一阳极杯状物116的外壳之中,该外壳可以如图3所示的方式被掩模平板114和导电网孔115所包围。掩模平板114和导电网孔115都是多孔的平板。掩模平板最好包括第一掩模部分114a或顶部掩模部分、第二掩模部分114b或底部掩模部分。该网孔115可以插入或夹在顶部部分114a和底部部分114b之间。掩模平板114包括许多开孔或表面上的微小凸出物117,它们可以允许铜电镀电解质118流经掩模平板114和网孔115,并润湿晶片108的前面108a,在施加的电势下将材料沉积在晶片前面108a上。在顶部和底部掩模部分的表面上的微小凸出物117一般排列成允许电解质流经顶部掩模部分114a和底部掩模部分114b。但是,它们以任何其他仍允许电解质流经顶部掩模部分114a到晶片表面的方式的排列或放置也是在本发明的范围之内的。在电沉积处理过程中,晶片表面108a可以与掩模平板114的上表面119完全平行并旋转。应该理解的是什么计数是晶片表面和垫片表面之间的相对移动。该移动可以是旋转移动或带有线性平移的旋转移动。
网孔115有通过一个隔离部件115c被电隔离的第一部分115a和第二部分115b。隔离部件115c可以是分离两部分的间隔。第一部分115a可被连接到第一控制电源V1,且第二部分115b可被连接到第二控制电源V2。如果控制电源在网孔部分上提供部分负极电压,这就会导致在电沉积中,一些材料沉积在部分115a和115b上也就是直接在这些部分的对面“偷走”一些沉积。另一方面,如果相对于晶片表面而言对网孔施加正电压,那么带有正电压的网孔部分对面的晶片部分对面就会接收到更多电镀。如下面将要描述的,采用电压V1和掩模面上的微小凸出物的功能性的组合,网孔115的第一部分例如可以控制晶片108的前表面108a外围处的厚度。在这一方面,在第二部分115a上的第二电源V2就控制前表面108a的中央区域或接近中央区域的厚度。在沉积处理过程中,电解质118按照箭头122的方向被通过液体入口121被泵入到阳极杯状物116中,然后按照箭头123的方向流到旋转的晶片108的表面108a,并将其润湿。通过一个阳极连接器124,阳极112被电连接到电源(图未示)的阳极端子。晶片108被连接到电源(图未示)的负极端子。阳极112内可以有孔(图未示)。另外,在阳极周围可以有一个阳极袋或过滤器以过滤在沉积处理过程中产生的颗粒。掩模平板114和阳极杯状物116可以有排出开口(图未示)来控制电解质的流动。
如图4所示,也可以采用平面电沉积处理过程。在这种情况下,阴极装置102可以朝阳极装置104下降,且当晶片108旋转的时候,晶片108的前表面108a与掩模114的上表面119相接触。在该实施方案中,掩模114可以是由刚硬的材料如硬的电介质材料所构成,或者随意的,掩模114的上表面119可以包含刚硬的研磨材料。在这种处理过程中,添加机械抛光或清扫为充分地平坦沉积层提供了可控制的厚度。
图5例示了导电网孔115以及被隔离部件115c分离的部分115a和115b。该网孔115包括允许电解质流经的开孔126。该网孔115可以由铂或镀钛的铂网孔或其他惰性导电材料所构成。在循环5到50次使用后,系统的极性就可能被变换,且为另一次的循环使用,可以清洗网孔。在清洗之前的可能循环的次数,决定于网孔的使用和网孔的尺寸。尽管图5中显示了两个区域,但超过两个区域的使用仍在本发明的范围内。
如图6A-6C所示,通过使用适当的紧固装置,网孔115可被放置在顶部掩模部分114a和底部掩模部分114b之间,或者成为掩模114的不可缺少的组成。如图6B-6C所示,分别在侧视图和平面图中,当网孔115和掩模114组合在一起时,穿过掩模114的开孔117界定了网孔115上许多的活性区130。在电沉积期间,当一阴极电势被采用到网孔115时,就会出现材料沉积到活动区130上。如果采用一个阳极端子,网孔115的活性区130就变成阳极,且引起正在其上的晶片表面的额外的沉积。通过变化开孔117的尺寸和外形,活性区130的尺寸和外形也会改变。这样,转而可改变晶片108前表面108a上的沉积速率,或在因而可控制镀层的厚度。
图7举例说明了掩模114和网孔115组合结构的另一个实施方案。在该实施方案中,网孔被放置在掩模平板114下表面128的下面。将许多网孔放置在掩模114的上表面119和下表面128之间同样也在本发明的范围之内。许多网孔中的每一个可以用一个掩模层来彼此隔开,且每个网孔可以在电沉积处理过程中有相继施加的不同的电源,以控制沉积速率。
【0061】图8A和8B显示了导电网孔的另一实施方案。在该实施方案中,网孔131包含通过一个隔离部件131c而互相隔离开的第一部分131a和第二部分131b。第一部分131a是环形的,且由第一控制电压V1提供电源。如图8B所示,第一部分131a控制在晶片108外围132的沉积厚度。磁盘状的第二部分131b,通过第二控制电压V2控制晶片108中央134的沉积厚度。
图9A-9C显示了网孔136的另一实施方案,该网孔包含通过一个隔离部件136c而彼此隔开的第一部分136a和第二部分136b。第一部分136a和第二部分136b都是带状,且可与带有开孔140的圆形或者矩形的掩模138一起使用。与前面的实施方案相似,掩模138可包含顶部部分138a和底部部分138b,且网孔136可被夹在顶部部分138a和底部部分138b之间。如图9B和9C所示,第一部分136a与掩模138的第一终端142排成一行,以控制在电沉积处理过程中旋转的晶片108的外围132处的沉积厚度。晶片108也可以在Y方向上移动。类似的,第二部分136b与掩模138的中央144排成一行,以控制晶片108的中央134处的沉积厚度。
当然,如上所述的,通过反转系统100的极性的晶片表面的均匀电蚀刻也是在本发明的范围之内的。
图10显示了如前面实施方案所描述的网孔部分的激活的实施方案。在该实施方案中,一个典型的网孔150可插入是掩模平板152的顶部部分152a和底部部分152b之间。该掩模平板152包含许多界定网孔150上的活性区156的表面上的微小凸出物154。该网孔包含通过一个隔离部件150c而被彼此隔开的第一或外围部分150a和第二或中央部分150b。第一电源Va被连接到晶片158,后在有如图3-4所述的电沉积系统的一个导电表面158a和阳极杯状物(图未示)的阳极。第一电源Va也可以通过开关S2连接到网孔150的第一部分150a或第二部分150b。第二电源Vb被连接到晶片158,并通过开关S1连接到网孔150的第一部分150a或第二部分150b。
因此,如果开关S1接通接点D和接点A,则网孔150没有电压。如果开关S1接通接点D和接点B,则网孔150的部分150a就有一个正电压。所以,附加的沉积就在晶片表面158a上的部分或多个部分AA中实现。每个部分AA正好位于网孔150的一个部分150a处的对面。如果开关S1接通接点D和接点C,则晶片上的部分BB收到附加的沉积。
如果开关S2接通接点H和接点E,晶片表面158a上就开始有规则的沉积。如果开关S2接通接点H和接点G,那么网孔150的部分150a就成了阴极,且因此吸收沉积,减少晶片表面158a的部分AA上的沉积量。类似的,如果开关S2接通接点H和接点F,则晶片表面158a的部分BB上的沉积就会减少。这样,晶片的部分AA和BB中的沉积速率就可通过为开关S1和S2选择合适的位置来控制。
如图11-14所示,如果通过开关S1,S2,S3...Sn多路传输网孔M1,M2,M3...Mn,则只需要一个电源。同样,测量通过一系列的电阻器的电流也会有助于更好地设计该系统中的掩模样式。这对于目前的槽设计是特别需要的,因为对于计算机模式来说它是一个复杂的槽,且穿过系统的势场是不均匀的。
如果使用许多开关,只用一个电源就可以完成每一件事。例如,参看图11-14中所示的大型电镀槽M1,在一种情况下,如图13所示,当开关S1切换到VA的位置,那么网孔M1就处于电势VA,铜就从网孔电镀到阴极和从阳极电镀到阴极。
如图14所示,当开关S1切换到VC的位置,网孔M1就处于阴极位置,铜就充分地电镀到网孔上。为了控制晶片不同部分上的厚度,可在这些区域中调节被切换的网孔的负荷循环。
如果开关S1处于不连接的位置(NC),且没有连接到VA或VC,那么铜将如正常系统中那样电镀。
充分隔离的网孔,对于每个在网孔中的开孔都可以用于确定网孔中每个开孔的局部电流密度。测量这个有益于设计并测试新的掩模样式,以获得优化的或更好电镀厚度的均匀性的控制。
对于一个单元,参考图15,在第一步骤中,确定经过R1电阻器的电压降,再确定特定槽的电镀电流。然后在随后的步骤中对每个槽重复这一操作。将结果作图与电镀后的金属厚度测量值相比较。
当然应该理解的是,前述内容系涉及本发明的最佳实施方案,在不背离如权利要求所述的本发明的精神和范围的情况下可予修改。

Claims (62)

1.一种用于将导电材料电沉积在晶片表面上的系统,其特征在于,该系统包括:
一个阳极;
一个有上表面和下表面的掩模,该掩模含有许多在上表面和下表面之间延伸的开孔,且该掩模被支撑在阳极和晶片表面之间;
位于掩模上表面下方的导电网孔,使掩模的许多开孔可以界定导电网孔的许多激活区域,其中,导电网孔被连接到第一电源;以及
电解质液,它流经掩模的开孔和导电网孔的激活区域,以接触晶片的表面。
2.如权利要求1所述的系统,其特征在于,导电网孔附在掩模的下表面。
3.如权利要求1所述的系统,其特征在于,导电网孔是在掩模中,且位于掩模的上表面和下表面之间。
4.如权利要求1所述的系统,其特征在于,导电网孔包含第一区域和第二区域。
5.如权利要求4所述的系统,其特征在于,第一区域连接到第一电源。
6.如权利要求5所述的系统,其特征在于,第二区域连接到第二电源。
7.在一个设备中可以与阴极装置一起使用的阳极装置,该设备可将导电材料从电解质沉积到半导体基片的表面,其特征在于,所述阳极装置包括:
在所述导电材料沉积期间被电解质接触的阳极;
允许电解质流经其中的导电元件;
覆盖在导电元件上且有允许电解质流经其中的开孔的掩模,所述开孔界定导电元件的激活区域,由此可改变沉积到所述表面上的导电材料的沉积速率。
8.如权利要求7所述的阳极装置,其特征在于,所述导电元件是导电网孔。
9.如权利要求7所述的阳极装置,其特征在于,所述导电元件包含许多电隔离部分。
10.如权利要求9所述的阳极装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的隔离部件。
11.如权利要求9所述的阳极装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的间隙。
12.如权利要求9所述的阳极装置,其特征在于,电隔离部分可连接到隔开的控制电源。
13.如权利要求7所述的阳极装置,其特征在于,导电元件被夹在一起界定所述掩模的顶部掩模部分和底部掩模部分之间。
14.如权利要求7所述的阳极装置,其特征在于,所述导电元件被放置在所述掩模的下表面的下方。
15.如权利要求9所述的阳极装置,其特征在于,所述电隔离部分之一沿周边围绕在另一个所述电隔离部分周围。
16.如权利要求15所述的阳极装置,其特征在于,所述电隔离部分是不规则的形状。
17.如权利要求15所述的阳极装置,其特征在于,所述电隔离部分之一是环形的。
18.如权利要求17所述的阳极装置,其特征在于,其他电隔离部分是盘状的。
19.如权利要求9所述的阳极装置,其特征在于,所述电隔离部分界定相邻的带条。
20.一种在导电材料从电解质沉积到半导体基片表面期间控制厚度均匀性的装置,其特征在于,该装置包括:
在所述导电材料沉积期间被电解质接触的阳极;
包含在所述沉积期间适宜于承载移动基片的载体的一个阴极装置;
允许电解质流经其中的导电元件;
覆盖在导电元件上且有允许电解质流经其中的开孔的掩模,所述开孔界定导电元件的激活区域,由此可改变沉积到所述表面上的导电材料的沉积速率;
在所述阳极和所述阴极装置之间提供一个电势、以产生所述沉积的电源。
21.如权利要求20所述的装置,其特征在于,所述导电元件是导电网孔。
22.如权利要求20所述的装置,其特征在于,所述导电元件包含许多电隔离部分。
23.如权利要求22所述的装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的隔离部件。
24.如权利要求22所述的装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的间隙。
25.如权利要求22所述的装置,其特征在于,所述电隔开的部分可被连接到隔开的控制电源。
26.如权利要求20所述的装置,其特征在于,所述导电元件被夹在一起界定所述掩模的顶部掩模部分和底部掩模部分。
27.如权利要求20所述的装置,其特征在于,所述导电元件被放置在所述掩模下表面的下方。
28.如权利要求22所述的装置,其特征在于,所述电隔离部分之一以圆周形围绕在另一个所述电隔离部分周围。
29.如权利要求28所述的装置,其特征在于,所述电隔离部分是不规则形状的。
30.如权利要求28所述的装置,其特征在于,所述电隔离部分之一是环形的。
31.如权利要求30所述的装置,其特征在于,所述其他电隔离部分是盘状的。
32.如权利要求22所述的装置,其特征在于,所述电隔离部分界定邻近的带条。
33.如权利要求22所述的装置,其特征在于,还进一步包括至少一个控制电源,该电源可以为至少一个所述电隔离部分提供电压,以改变在所述表面区域上的所述导电材料沉积的速率。
34.如权利要求33所述的装置,其特征在于,所述速率是增加的。
35.如权利要求33所述的装置,其特征在于,所述速率是减少的。
36.如权利要求22所述的装置,其特征在于,所述电源可以至少为所述电隔离部分之一额外提供电压,以改变在所述表面区域上的所述导电材料沉积的速率。
37.如权利要求36所述的装置,其特征在于,所述速率是增加的。
38.如权利要求36所述的装置,其特征在于,所述速率是减少的。
39.如权利要求36所述的装置,其特征在于,进一步包括至少一个附加的电源,该电源可以为另一个所述电隔离部分提供额外电压。
40.如权利要求20所述的装置,其特征在于,进一步包括至少一个控制电源,该电源可以为所述导电元件提供电压以改变导电材料沉积的速率。
41.如权利要求39所述的装置,其特征在于,所述速率是增加的。
42.如权利要求39所述的装置,其特征在于,所述速率是减少的。
43.如权利要求20所述的装置,其特征在于,所述电源可以为所述导电元件提供一个电压,以改变所述导电材料沉积的速率。
44.如权利要求43所述的装置,其特征在于,所述速率是增加的。
45.如权利要求43所述的装置,其特征在于,所述速率是减少的。
46.在将导电材料从电解质沉积到一个半导体基片表面期间控制厚度均匀性的处理过程,其特征在于,该处理过程包括:
将阳极与电解质接触;
通过导电元件和覆盖在界定导电元件激活区域的导电元件上的掩模中的开孔,为所述表面提供电解质;
在所述阳极和所述表面之间提供电势以产生所述沉积;以及
为所述所述导电元件提供电压以改变导电材料沉积的速率。
47.如权利要求46所述的处理过程,其特征在于,所述导电元件是一个导电网孔。
48.如权利要求46所述的处理过程,其特征在于,所述导电元件被放置在所述掩模下表面的下方。
49.如权利要求46所述的处理过程,其特征在于,进一步包含在所述沉积发生时抛光所述导电材料。
50.在将导电材料从电解质沉积到半导体基片表面期间控制厚度均匀性的处理过程,其特征在于,该处理过程包括:
将阳极与电解质接触;
通过导电元件的许多电隔离部分以及覆盖在界定导电元件激活区域的导电元件上的掩模中的开孔,为所述表面提供电解质;
在阳极和所述表面之间提供电势以产生所述沉积;以及
至少为所述电隔离部分之一提供电压以改变所述表面莫区域上导电材料沉积的速率。
51.如权利要求50所述的处理过程,其特征在于,所述导电元件是一个导电网孔。
52.如权利要求50所述的处理过程,其特征在于,所述导电元件包含至少一个隔离电隔离部分的隔离部件。
53.如权利要求50所述的处理过程,其特征在于,所述导电元件包含至少一个隔离电隔离部分的间隙。
54.如权利要求50所述的处理过程,其特征在于,所述速率是增加的。
55.如权利要求50所述的处理过程,其特征在于,所述速率是减少的。
56.如权利要求50所述的处理过程,其特征在于,进一步包括在所述沉积发生时抛光所述材料。
57.从半导体基片表面电蚀刻导电材料期间控制厚度均匀性的装置,其特征在于,该装置包括:
在所述导电材料电蚀刻期间可被电解质接触的阳极;
包括适合于在所述电蚀刻期间承载移动基片的载体的阴极装置;
允许电解质流经其中的导电元件;
覆盖在导电元件上且有允许电解质流经其中的开孔的掩模,所述开孔界定导电元件的激活区域,由此可改变从所述表面电蚀刻导电材料的速率;
在所述阳极和所述阴极装置之间提供电势以产生所述蚀刻的电源。
58.如权利要求57所述的装置,其特征在于,所述导电元件是一个导电网孔。
59.如权利要求57所述的装置,其特征在于,所述导电元件包含许多电隔离部分。
60.如权利要求59所述的装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的隔离部件。
61.如权利要求59所述的装置,其特征在于,所述导电元件至少包含一个隔离电隔离部分的间隙。
62.一种处理过程,用于在导电元件的激活区域中的沉积电流和导电材料从电解质沉积到半导体基片表面上的厚度之间建立关联,其特征在于,该处理过程包括:
将电解质与阳极接触;
通过导电元件和在覆盖在界定导电元件激活区域的导电元件上的掩模中的开孔,为所述表面提供电解质;
在所述阳极和所述表面之间提供电势以在所述表面上产生导电材料的沉积;
为所述导电元件提供电压;
在每个开孔测定沉积电流;
获得导电材料厚度的测量值;
将所测定的沉积电流与导电材料厚度的测量值作比较。
CNA018225640A 2000-12-21 2001-12-11 用于控制电镀层厚度均匀性的方法和装置 Pending CN1551931A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25692400P 2000-12-21 2000-12-21
US60/256,924 2000-12-21
US09/855,059 2001-05-15
US09/855,059 US6802946B2 (en) 2000-12-21 2001-05-15 Apparatus for controlling thickness uniformity of electroplated and electroetched layers

Publications (1)

Publication Number Publication Date
CN1551931A true CN1551931A (zh) 2004-12-01

Family

ID=26945682

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA018225640A Pending CN1551931A (zh) 2000-12-21 2001-12-11 用于控制电镀层厚度均匀性的方法和装置

Country Status (7)

Country Link
US (2) US6802946B2 (zh)
EP (1) EP1360348A4 (zh)
JP (1) JP2004518817A (zh)
KR (1) KR20040005866A (zh)
CN (1) CN1551931A (zh)
TW (1) TW539778B (zh)
WO (1) WO2002063072A1 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102703961A (zh) * 2012-06-08 2012-10-03 镇江华印电路板有限公司 一种电镀均匀性改善的方法
CN103026506A (zh) * 2010-05-25 2013-04-03 瑞而索乐公司 用于制造太阳能电池的快速化学电沉积的设备及方法
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
CN105671603A (zh) * 2014-12-03 2016-06-15 丰田自动车株式会社 表面处理方法和表面处理装置
CN106637363A (zh) * 2010-07-02 2017-05-10 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
CN109652826A (zh) * 2019-02-22 2019-04-19 圣达电气有限公司 阴极辊的电解铜箔厚度均匀性控制方法
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
CN110846698A (zh) * 2018-08-21 2020-02-28 财团法人工业技术研究院 待电镀的面板、使用其的电镀制作工艺及以其制造的晶片
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
CN113265695A (zh) * 2021-05-18 2021-08-17 南京萨特科技发展有限公司 合金箔电阻器挂镀治具及方法
TWI801144B (zh) * 2021-10-14 2023-05-01 欣興電子股份有限公司 電鍍設備與電鍍方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US20030168344A1 (en) * 2002-03-08 2003-09-11 Applied Materials, Inc. Selective metal deposition for electrochemical plating
US20040262150A1 (en) * 2002-07-18 2004-12-30 Toshikazu Yajima Plating device
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040055873A1 (en) * 2002-09-24 2004-03-25 Digital Matrix Corporation Apparatus and method for improved electroforming
US6890413B2 (en) * 2002-12-11 2005-05-10 International Business Machines Corporation Method and apparatus for controlling local current to achieve uniform plating thickness
US6966976B1 (en) 2003-01-07 2005-11-22 Hutchinson Technology Incorporated Electroplating panel with plating thickness-compensation structures
US7201828B2 (en) * 2003-02-25 2007-04-10 Novellus Systems, Inc. Planar plating apparatus
KR20040094560A (ko) * 2003-05-03 2004-11-10 삼성전자주식회사 반도체 소자 금속배선층의 전해 연마 방법 및 장치
US7803257B2 (en) * 2004-10-22 2010-09-28 Taiwan Semiconductor Manufacturing Company Current-leveling electroplating/electropolishing electrode
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
KR100755661B1 (ko) * 2005-03-07 2007-09-05 삼성전자주식회사 도금 처리 장치 및 이를 이용한 도금 처리 방법
CN101368284B (zh) * 2007-08-15 2010-10-06 富葵精密组件(深圳)有限公司 电镀装置
US8197660B2 (en) 2007-09-10 2012-06-12 Infineon Technologies Ag Electro chemical deposition systems and methods of manufacturing using the same
US8309259B2 (en) 2008-05-19 2012-11-13 Arizona Board Of Regents For And On Behalf Of Arizona State University Electrochemical cell, and particularly a cell with electrodeposited fuel
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
EP2486622B1 (en) 2009-10-08 2014-07-23 Fluidic, Inc. Rechargeable metal-air cell with flow management system
US8343327B2 (en) 2010-05-25 2013-01-01 Reel Solar, Inc. Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
WO2011151530A1 (fr) * 2010-05-31 2011-12-08 Arcelormittal Investigacion Y Desarrollo, S.L. Procede et dispositif de mesure de l'epaisseur d'une couche de revetement sur une bande en defilement
EP2586092B1 (en) 2010-06-24 2017-01-04 Fluidic, Inc. Electrochemical cell with stepped scaffold fuel anode
CN102403525B (zh) 2010-09-16 2016-02-03 流体公司 具有渐进析氧电极/燃料电极的电化学电池系统
DK2966722T3 (en) 2010-10-20 2018-10-08 Fluidic Inc BATTERY RETURN PROCEDURE FOR SCAFFOLD FUEL ELECTRODE
JP5908251B2 (ja) 2010-11-17 2016-04-26 フルイディック,インク.Fluidic,Inc. 階層型アノードのマルチモード充電
EP2476784A1 (en) * 2011-01-18 2012-07-18 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method for manufacturing an electronic device by electrodeposition from an ionic liquid
JP5731917B2 (ja) * 2011-06-30 2015-06-10 上村工業株式会社 表面処理装置およびめっき槽
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
JP6198456B2 (ja) * 2013-05-20 2017-09-20 東京エレクトロン株式会社 基板の処理方法及びテンプレート
JP6723236B2 (ja) 2014-11-05 2020-07-15 コーニング インコーポレイテッド バイアボトムアップ電解メッキ方法
EP3491690B1 (en) 2016-07-22 2020-07-15 NantEnergy, Inc. Moisture and carbon dioxide management system in electrochemical cells
US10692735B2 (en) * 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11251476B2 (en) 2019-05-10 2022-02-15 Form Energy, Inc. Nested annular metal-air cell and systems containing same
IT201900013626A1 (it) 2019-08-01 2021-02-01 Fluid Metal 3D As Procedimento e sistema di elettroformatura localizzata da getti con retroazione ad anello chiuso in tempo reale

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3328273A (en) 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
FR2510145B1 (fr) 1981-07-24 1986-02-07 Rhone Poulenc Spec Chim Additif pour bain de cuivrage electrolytique acide, son procede de preparation et son application au cuivrage des circuits imprimes
US4643816A (en) * 1985-05-09 1987-02-17 Burlington Industries, Inc. Plating using a non-conductive shroud and a false bottom
US4678545A (en) * 1986-06-12 1987-07-07 Galik George M Printed circuit board fine line plating
US4948474A (en) 1987-09-18 1990-08-14 Pennsylvania Research Corporation Copper electroplating solutions and methods
DE3836521C2 (de) 1988-10-24 1995-04-13 Atotech Deutschland Gmbh Wäßriges saures Bad zur galvanischen Abscheidung von glänzenden und rißfreien Kupferüberzügen und Verwendung des Bades
US5084071A (en) 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
JPH04143299A (ja) * 1990-10-03 1992-05-18 Fujitsu Ltd 電解メッキ方法
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US6042712A (en) 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US5795215A (en) 1995-06-09 1998-08-18 Applied Materials, Inc. Method and apparatus for using a retaining ring to control the edge effect
US5681215A (en) 1995-10-27 1997-10-28 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
KR100232506B1 (ko) 1995-06-27 1999-12-01 포만 제프리 엘. 전기적 접속을 제공하는 배선 구조 및 도체와 그 도체형성방법
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5762544A (en) 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5858813A (en) 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5793272A (en) 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6051117A (en) * 1996-12-12 2000-04-18 Eltech Systems, Corp. Reticulated metal article combining small pores with large apertures
JP2000510289A (ja) 1996-12-16 2000-08-08 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 集積回路チップ上の電気めっき相互接続構造
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5807165A (en) 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5911619A (en) 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6132583A (en) * 1997-05-16 2000-10-17 Technic, Inc. Shielding method and apparatus for use in electroplating process
US5922091A (en) 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US5985123A (en) 1997-07-09 1999-11-16 Koon; Kam Kwan Continuous vertical plating system and method of plating
US6004440A (en) * 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6004880A (en) 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251251B1 (en) 1998-11-16 2001-06-26 International Business Machines Corporation Anode design for semiconductor deposition
US6103085A (en) 1998-12-04 2000-08-15 Advanced Micro Devices, Inc. Electroplating uniformity by diffuser design
US6066030A (en) 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6297155B1 (en) 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6197182B1 (en) 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103026506B (zh) * 2010-05-25 2016-08-24 瑞而索乐投资有限公司 用于制造太阳能电池的快速化学电沉积的设备及方法
CN103026506A (zh) * 2010-05-25 2013-04-03 瑞而索乐公司 用于制造太阳能电池的快速化学电沉积的设备及方法
US9960312B2 (en) 2010-05-25 2018-05-01 Kurt H. Weiner Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN106637363A (zh) * 2010-07-02 2017-05-10 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN106637363B (zh) * 2010-07-02 2019-01-15 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
CN102703961A (zh) * 2012-06-08 2012-10-03 镇江华印电路板有限公司 一种电镀均匀性改善的方法
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
CN105671603A (zh) * 2014-12-03 2016-06-15 丰田自动车株式会社 表面处理方法和表面处理装置
CN105671603B (zh) * 2014-12-03 2018-08-28 丰田自动车株式会社 表面处理方法和表面处理装置
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US11047059B2 (en) 2016-05-24 2021-06-29 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10941498B2 (en) 2018-08-21 2021-03-09 Industrial Technology Research Institute Panel to be plated, electroplating process using the same, and chip manufactured from the same
CN110846698A (zh) * 2018-08-21 2020-02-28 财团法人工业技术研究院 待电镀的面板、使用其的电镀制作工艺及以其制造的晶片
CN109652826A (zh) * 2019-02-22 2019-04-19 圣达电气有限公司 阴极辊的电解铜箔厚度均匀性控制方法
CN113265695A (zh) * 2021-05-18 2021-08-17 南京萨特科技发展有限公司 合金箔电阻器挂镀治具及方法
TWI801144B (zh) * 2021-10-14 2023-05-01 欣興電子股份有限公司 電鍍設備與電鍍方法

Also Published As

Publication number Publication date
TW539778B (en) 2003-07-01
EP1360348A1 (en) 2003-11-12
US20020079230A1 (en) 2002-06-27
JP2004518817A (ja) 2004-06-24
US20040231994A1 (en) 2004-11-25
US7435323B2 (en) 2008-10-14
WO2002063072A1 (en) 2002-08-15
EP1360348A4 (en) 2006-09-27
US6802946B2 (en) 2004-10-12
KR20040005866A (ko) 2004-01-16

Similar Documents

Publication Publication Date Title
CN1551931A (zh) 用于控制电镀层厚度均匀性的方法和装置
US6610190B2 (en) Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6319384B1 (en) Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
US6203684B1 (en) Pulse reverse electrodeposition for metallization and planarization of a semiconductor substrates
JP2005501963A5 (zh)
US7854828B2 (en) Method and apparatus for electroplating including remotely positioned second cathode
US7238092B2 (en) Low-force electrochemical mechanical processing method and apparatus
CN1636084A (zh) 用于高深宽比特征结构的动态脉冲电镀
EP1560949B1 (en) Integrated plating and planarization process and apparatus therefor
US20020033341A1 (en) Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
US8099861B2 (en) Current-leveling electroplating/electropolishing electrode
CN1561280A (zh) 用于避免在电沉积中粒子聚集的方法和设备
US6768194B2 (en) Electrode for electroplating planar structures
CN1847464A (zh) 改善电镀薄膜均匀性的电镀方法
US20040099534A1 (en) Method and apparatus for electroplating a semiconductor wafer
KR20040064699A (ko) 전진가능한 스위퍼를 구비한 전기화학적 기계적 처리
US20090020437A1 (en) Method and system for controlled material removal by electrochemical polishing
KR20170059108A (ko) 일체화된 도금과 평탄화 공정 및 이를 위한 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication