KR20030054726A - 자연산화막 제거방법 - Google Patents

자연산화막 제거방법 Download PDF

Info

Publication number
KR20030054726A
KR20030054726A KR1020010085122A KR20010085122A KR20030054726A KR 20030054726 A KR20030054726 A KR 20030054726A KR 1020010085122 A KR1020010085122 A KR 1020010085122A KR 20010085122 A KR20010085122 A KR 20010085122A KR 20030054726 A KR20030054726 A KR 20030054726A
Authority
KR
South Korea
Prior art keywords
gas
oxide film
natural oxide
gas supply
inline heater
Prior art date
Application number
KR1020010085122A
Other languages
English (en)
Other versions
KR100442167B1 (ko
Inventor
이태완
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR10-2001-0085122A priority Critical patent/KR100442167B1/ko
Publication of KR20030054726A publication Critical patent/KR20030054726A/ko
Application granted granted Critical
Publication of KR100442167B1 publication Critical patent/KR100442167B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

반응가스의 플라즈마를 사용하지 않기 때문에 기판의 손상을 줄일 수 있는 자연산화막 제거방법에 관해 개시하고 있다. 본 발명의 자연산화막 제거방법은, 반응가스의 활성화에 인라인 히터를 사용하며, 특히 반응가스로서 암모니아를, 식각가스로서 NF3를 사용한다. 본 발명에 따르면, 플라즈마 이온 충돌에 의한 기판 손상 가능성을 원천적으로 배제할 수 있어서 반도체 소자의 성능을 향상시킬 수 있다.

Description

자연산화막 제거방법 {Method of removing native oxide film}
본 발명은 반도체 기판 상의 자연산화막 제거방법에 관한 것으로서, 특히 반응가스의 플라즈마를 사용하지 않기 때문에 기판의 손상을 줄일 수 있는 자연산화막 제거방법에 관한 것이다.
반도체 기판이 대구경화되고 소자들이 고밀도, 고집적화됨에 따라, 반도체 기판 상에 존재하는 미립자나 금속 불순물 등으로 대표되는 미세 오염(micro-contamination)이 제품의 수율과 신뢰성에 큰 영향을 미치게 되었다. 이 때문에 초 LSI공정에서는 청정화의 중요성이 한층 높아지고 있다. 따라서, 전공정에 걸쳐서 반도체 기판의 표면을 청정하게 보존하는 것이 수율 향상의 과제가 되고 있다.
통상적으로 반도체 소자 제조 공정에서는, 반도체 기판 상에 잔류하는 미립자를 비롯한 금속 불순물, 유기 오염물 및 자연산화막과 같은 표면 피막 등을 제거하기 위하여 습식 세정 공정을 수행한 후, 반도체 기판을 건조시키게 된다. 그러나, 최종세정 후의 반도체 기판 상에는 통상 얇은 두께의 자연산화막이 남아 있게 마련이다.
반도체 제조 공정에 있어서 반도체 기판의 초기 세정 후, 산화 공정 전의 세정 단계와 산화 공정 후, 폴리실리콘막의 증착 후,또는 고온 산화막의 형성 후 반도체 기판을 대기 중에 방치하면 시간이 경과함에 따라 자연산화막이 성장하게 된다. 이 자연산화막은 기 형성되어 있는 산화막의 두께를 변화시키거나 막들 사이의 접촉 면에서 저항을 증가시켜 전류의 흐름을 방해하는 등 완성될 소자의 성능에 바람직하지 못한 결과들을 유발한다. 또한, 자연산화막이 형성되어 있는 상태에서 산화막을 성장시키게 되면 막의 균일성이 저하되고 두께 제어가 어려워지는 문제가 있다.
따라서, 양산 공정에서는 자연산화막이 형성될 수 있는 단계에서 반도체 기판의 정체 시간을 수 시간으로 제한하여 자연산화막의 성장을 억제하는 방법을 사용한다. 즉, 자연산화막의 성장을 제어해야 하는 공정에서 전 공정의 진행 후 수 시간 이내에 다음 단계가 진행될 수 있도록 작업을 표준화하여 자연산화막의 성장을 제어하고 있다. 그러나, 이와 같이 정체시간의 관리를 통하여 자연산화막의 성장을 제어할 경우에는 양산 라인의 제공 관리가 어려워지는 단점이 있다. 즉, 다음 공정의 설비 상황을 확인한 후 현 공정의 진행 여부를 결정해야 하는 문제가 있다.
따라서, 후 공정을 진행하기 전에 자연산화막을 제거하는 단계를 반드시 거치도록 하여 자연산화막의 영향을 배제하도록 하고 있다.
이와 같은 자연산화막의 제거방법으로서, 종래에는 일반적으로 증류수에 의해 희석된 불산(HF) 용액을 사용한 습식식각을 적용하였으나, 이 경우에는 증류수를 사용함에 따라 반도체 기판을 건조한 후에도 다시 자연산화막이 발생하는 문제가 있었다.
따라서, 플라즈마를 사용하는 반응기에서 공정을 진행하는 경우에는, 플라즈마 공정을 진행하기에 앞서서 할로겐 원소의 화합물 기체, 예컨대 SiCl4, Cl2, BCl3, CCl4, SF6, NF3등을 반응기 내에 흘리고 플라즈마 전력을 인가하여 반도체 기판의 표면에 형성되어 있는 자연산화막을 제거하였다. 그러나, 플라즈마에 포함된이온들의 충격에 의해 자연산화막이 제거되지만, 이에 의한 반도체 기판 표면의 손상을 피할 수 없었다. 이와 같은 이유 때문에 최근에 리모트 플라즈마 방식이 많이 사용되고 있다.
도 1은 리모트 플라즈마를 이용한 자연산화막 제거방법을 설명하기 위한 도면이다. 도 1을 참조하면, 반응기(100) 내의 서셉터(110) 위에 자연산화막 제거 대상인 실리콘 기판(120)이 놓여 있다. 수소 및 질소 가스들은 리모트 플라즈마 발생장치(130)를 통과하면서 원자형태의 수소와 질소로 변한 상태로 반응기(100) 내로 주입되고, 식각용 가스인 NF3는 리모트 플라즈마 발생장치(130)를 거치지 않고 직접 반응기 내로 주입된다. 반응기 내로 주입된 원자형태의 수소와 질소, 그리고 NF3는 실리콘 기판 상에 형성되어 있는 자연산화막인 SiO2와 반응하여 (NH3)x(SiF3)y등의 휘발성 부산물을 생성한다. 이어서, 서셉터(110)에 내장된 히터(미도시)로 실리콘 기판(120)을 가열하거나, 기판(120)에 대해 후속 열처리를 거치게 하면 휘발성 부산물이 기판(120)의 표면으로부터 탈착되어 자연산화막이 제거된다. 이와 같이 리모트 플라즈마를 이용한 자연산화막 제거방법은 반응기 내에 플라즈마를 직접 발생시켜서 자연산화막을 제거하는 방법에 비해 실리콘 기판을 덜 손상시키지만, 다음과 같은 점에서 여전히 문제점을 가지고 있다.
첫째, 리모트 플라즈마 발생장치(130) 내에서만 생성되어야 할 수소 및 질소의 플라즈마가 반응기(100) 내에까지 유출되어 실리콘 기판(120)의 표면에 충돌함으로써 기판 손상을 유발할 우려가 있다.
둘째, 리모트 플라즈마 발생장치(130)가 고가이며 통상적으로 그 내부에 석영재질의 부품을 채용하고 있는데, NF3가스가 리모트 플라즈마 발생장치(130)로 확산되어 들어갈 경우, 불소이온이 형성되어 석영재질의 부품을 부식시킬 가능성이 있다.
셋째, 리모트 플라즈마 발생장치에 의해 원자화된 수소 및 질소, 그리고 NF3가스를 자연산화막 제거용 가스로 사용할 경우, 그 반응 메커니즘 상, 상온이나 그 이하의 온도에서 식각률이 높고, 온도가 올라갈수록 식각률이 낮아지는 문제가 있다. 따라서, 에피택셜층의 성장온도, 예컨대 550℃∼750℃에서도 균일한 식각률로 자연산화막을 제거할 수 있는 반응 메커니즘을 제공하는 식각 가스의 선택이 요구된다.
따라서, 본 발명의 기술적 과제는, 실리콘 기판의 손상을 줄일 수 있는 자연산화막 제거방법을 제공하는 것이다.
본 발명의 다른 기술적 과제는, 에피택셜층의 성장온도에서도 균일한 식각률을 나타냄으로써 공정 마진(margin)을 확보할 수 있는 자연산화막 제거방법을 제공하는 것이다.
도 1은 리모트 플라즈마를 이용한 자연산화막 제거방법을 설명하기 위한 도면; 및
도 2는 본 발명의 실시예에 따른 자연산화막 제거방법을 설명하기 위한 도면이다.
* 도면 중의 주요 부분에 대한 부호 설명 *
100 : 반응기
110 : 서셉터
120 : 실리콘 기판
130 : 리모트 플라즈마 발생장치
220 : 인라인 히터가 설치된 가스 공급라인
222 : 인라인 히터가 설치되지 않은 가스 공급라인
230 : 인라인 히터
상기한 기술적 과제를 해결하기 위한 본 발명의 자연산화막 제거방법은:
적어도 2개의 가스 공급라인들을 갖되, 상기 가스 공급라인들 중의 어느 하나에 통과 가스를 가열하는 인라인 히터가 설치된 반응기에서 이루어지는 것으로서,
상기 반응기 내에 자연산화막이 그 표면에 형성된 실리콘 기판을 위치시키는 단계와;
상기 인라인 히터가 설치된 가스 공급라인을 통해 암모니아 가스를 통과시키면서 상기 인라인 히터의 온도를 700℃ 이상으로 가열하여 상기 암모니아 가스를 해리시키는 단계와;
상기 인라인 히터가 설치되지 않은 가스 공급라인을 통해 NF3가스를 공급하는 단계;
상기 암모니아 가스로부터 해리된 수소 및 질소, NF3가스와 상기 기판 상의 자연산화막이 서로 반응하여 휘발성 부산물을 형성하도록 하는 단계와;
상기 기판을 가열하는 단계를 구비하는 것을 특징으로 한다.
이 때, 상기 인라인 히터의 온도는 800℃∼1000℃ 범위 내로 조절되는 것이 바람직하며, 상기 암모니아 가스의 공급단계에서, 상기 인라인 히터가 설치된 가스 공급라인에 암모니아와 더불어 수소 및 질소 가스를 더 공급할 수도 있다.
이하, 첨부도면을 참조하여 본 발명의 바람직한 실시예에 대해 설명한다.
도면에서 동일 참조부호는 동일 구성요소를 나타내며, 중복을 피하기 위해 별도의 설명은 생략한다.
도 2는 본 발명의 실시예에 따른 자연산화막 제거방법을 설명하기 위한 도면이다. 도 2를 참조하면, 반응기(100) 내에 제1 및 제2 가스 인젝터들(220a, 222a)이 삽입되어 있고, 제1 및 제2 가스 인젝터들(220a, 222a)은 각각 가스 공급라인들(220, 222)에 연결되어 반응기(100) 내에 가스를 분사시킨다. 한편, 제1 가스 공급라인(220)의 중간에는 인라인 히터(230)가 설치되어 있으며, 제2 가스 공급라인(222)에는 인라인 히터가 설치되어 있지 않다. 이와 같은 구성을 가진 장비에서, 우선 제거하고자 하는 자연산화막이 자신의 표면에 형성된 실리콘 기판(120)을 반응기(100) 내의 서셉터(110) 위에 위치시킨다. 이어서, 인라인 히터(230)의 온도를 900℃로 올린 후, 제1 가스 공급라인(220)을 통해 암모니아, 질소 및 수소의 혼합가스를 공급하여, 암모니아 가스를 해리시키는 동시에 활성화된 질소 및 수소가스를 얻는다. 암모니아 가스는 질소 가스나 수소 가스에 비해 낮은 해리온도(cracking temperature)를 가지므로 쉽게 활성화된 질소, 수소 및 암모니아 가스를 얻을 수 있다. 이렇게 하면서, 제2 가스 공급라인(222)을 통해서는 NF3가스를 공급하며, 서셉터(110) 내에 내장된 히터(미도시)를 이용하여 기판(120)을 가열한다. 이와 같이 하면, NF3와 해리된 질소, 산소 종들이 기판(120) 상의 자연산화막과 반응하여 고온 휘발성이 강한 SiOwNxHyFz등의 부산물을 형성한 후에 탈착되어 자연 산화막이 제거된다.
상기한 바와 같이, 가스 플라즈마 대신 열에너지에 의해 활성화된 가스를 자연산화막에 이용하면 이온 충돌에 의한 기판 손상 가능성을 원천적으로 배제할 수 있어서 반도체 소자의 성능을 향상시킬 수 있다. 또한, 반응가스로서 질소나 수소 외에 해리온도가 낮은 암모니아를 사용하기 때문에 종래기술과는 화학반응 메커니즘을 적용할 수 있어서 고온에서도 균일한 식각률을 나타내고 공정 마진을 확보할 수 있다. 또한, 플라즈마에 의한 불소이온 형성가능성이 없으므로 석영제 부품의 손상도 방지할 수 있다.

Claims (3)

  1. 적어도 2개의 가스 공급라인들을 갖되, 상기 가스 공급라인들 중의 어느 하나에 통과 가스를 가열하는 인라인 히터가 설치된 반응기를 준비하는 단계와;
    상기 반응기 내에 자연산화막이 그 표면에 형성된 실리콘 기판을 위치시키는 단계와;
    상기 인라인 히터가 설치된 가스 공급라인을 통해 암모니아 가스를 통과시키면서 상기 인라인 히터의 온도를 700℃ 이상으로 가열하여 상기 암모니아 가스를 해리시키는 단계와;
    상기 인라인 히터가 설치되지 않은 가스 공급라인을 통해 NF3가스를 공급하는 단계;
    상기 암모니아 가스로부터 해리된 수소 및 질소, NF3가스와 상기 기판 상의 자연산화막이 서로 반응하여 휘발성 부산물을 형성하도록 하는 단계와;
    상기 기판을 가열하는 단계;
    를 구비하는 자연산화막 제거방법.
  2. 제1항에 있어서, 상기 인라인 히터가 800℃∼1000℃ 범위 내의 온도로 가열되는 것을 특징으로 하는 자연산화막 제거방법.
  3. 제2항에 있어서, 상기 암모니아 가스의 공급단계에서, 상기 인라인 히터가 설치된 가스 공급라인에 암모니아와 더불어 수소 및 질소 가스를 더 공급하는 것을 특징으로 하는 자연산화막 제거방법.
KR10-2001-0085122A 2001-12-26 2001-12-26 자연산화막 제거방법 KR100442167B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2001-0085122A KR100442167B1 (ko) 2001-12-26 2001-12-26 자연산화막 제거방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0085122A KR100442167B1 (ko) 2001-12-26 2001-12-26 자연산화막 제거방법

Publications (2)

Publication Number Publication Date
KR20030054726A true KR20030054726A (ko) 2003-07-02
KR100442167B1 KR100442167B1 (ko) 2004-07-30

Family

ID=32213461

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0085122A KR100442167B1 (ko) 2001-12-26 2001-12-26 자연산화막 제거방법

Country Status (1)

Country Link
KR (1) KR100442167B1 (ko)

Cited By (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
KR100752199B1 (ko) * 2006-09-18 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
WO2010102089A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
WO2014046845A1 (en) * 2012-09-18 2014-03-27 Applied Materials, Inc. Radical-component oxide etch
US20140166617A1 (en) * 2012-12-18 2014-06-19 Applied Materials, Inc. Non-local plasma oxide etch
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818566B2 (en) 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318580A (ja) * 1993-05-07 1994-11-15 Fuji Electric Co Ltd ドライクリーニング方法
JP3234385B2 (ja) * 1993-12-14 2001-12-04 東京エレクトロン株式会社 エッチング方法,処理容器内構成部材及びエッチング装置
JPH1070105A (ja) * 1996-08-27 1998-03-10 Hitachi Ltd 半導体デバイスの製造方法及びそれに用いるプラズマ処理装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
KR100440501B1 (ko) * 2000-03-16 2004-07-15 주성엔지니어링(주) 반도체 소자의 게이트 산화막 형성방법

Cited By (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
KR100752199B1 (ko) * 2006-09-18 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US9058988B2 (en) 2009-03-05 2015-06-16 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
WO2010102089A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
WO2010102089A3 (en) * 2009-03-05 2011-01-13 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN104641455A (zh) * 2012-09-18 2015-05-20 应用材料公司 自由基成分的氧化物蚀刻
WO2014046845A1 (en) * 2012-09-18 2014-03-27 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140166617A1 (en) * 2012-12-18 2014-06-19 Applied Materials, Inc. Non-local plasma oxide etch
US9111877B2 (en) * 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) * 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
WO2014099205A1 (en) * 2012-12-18 2014-06-26 Applied Materials, Inc. Non-local plasma oxide etch
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
KR100442167B1 (ko) 2004-07-30

Similar Documents

Publication Publication Date Title
KR100442167B1 (ko) 자연산화막 제거방법
TWI674617B (zh) 用於在電漿清潔製程之後執行電漿處理製程的方法
KR102245729B1 (ko) 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
KR101389801B1 (ko) 기판 표면을 세정하기 위한 방법 및 장치
EP2922083B1 (en) Plasma pre-clean process
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
JPH1187341A (ja) 成膜方法及び成膜装置
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
JP5021907B2 (ja) 窒化物半導体製造装置の洗浄方法と洗浄装置
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
KR101321424B1 (ko) 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
KR20040048483A (ko) 반도체소자의 게이트 산화막 형성방법
KR20230006004A (ko) 하드 마스크 선택도 (selectivity) 개선을 위한 불활성 가스 주입
KR20190032030A (ko) 기판 처리 방법 및 장치
KR101134909B1 (ko) 실리콘 산화막의 건식 식각 방법
JPH10270434A (ja) 半導体ウエーハの洗浄方法及び酸化膜の形成方法
US20130095665A1 (en) Systems and methods for processing substrates
KR100324822B1 (ko) 반도체소자의 게이트 산화막 제조방법
JPH11330080A (ja) 水素処理方法
JPH07235530A (ja) 絶縁膜の形成方法
US9653282B2 (en) Silicon-containing substrate cleaning procedure
KR20080020753A (ko) 공정가스 분사노즐의 오염 방지방법
KR20030078550A (ko) 반응기의 세정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120710

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee