KR20010110413A - 스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을위한 제품 또는 이 방법에 의해 생산된 제품 - Google Patents

스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을위한 제품 또는 이 방법에 의해 생산된 제품 Download PDF

Info

Publication number
KR20010110413A
KR20010110413A KR1020017008631A KR20017008631A KR20010110413A KR 20010110413 A KR20010110413 A KR 20010110413A KR 1020017008631 A KR1020017008631 A KR 1020017008631A KR 20017008631 A KR20017008631 A KR 20017008631A KR 20010110413 A KR20010110413 A KR 20010110413A
Authority
KR
South Korea
Prior art keywords
tip
compound
substrate
patterning
patterning compound
Prior art date
Application number
KR1020017008631A
Other languages
English (en)
Other versions
KR100668591B1 (ko
Inventor
차드 에이. 미르킨
리차드 파이너
성훈 홍
Original Assignee
인드래니 머캐르지
노쓰웨스턴 유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인드래니 머캐르지, 노쓰웨스턴 유니버시티 filed Critical 인드래니 머캐르지
Publication of KR20010110413A publication Critical patent/KR20010110413A/ko
Application granted granted Critical
Publication of KR100668591B1 publication Critical patent/KR100668591B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves
    • G03F9/7061Scanning probe microscopy, e.g. AFM, scanning tunneling microscopy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/007Processes for applying liquids or other fluent materials using an electrostatic field
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/26Processes for applying liquids or other fluent materials performed by applying the liquid or other fluent material from an outlet device in contact with, or almost in contact with, the surface
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q80/00Applications, other than SPM, of scanning-probe techniques
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • Y10S977/857Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure including coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/863Atomic force probe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/875Scanning probe structure with tip detail
    • Y10S977/877Chemically functionalized
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T436/00Chemistry: analytical and immunological testing
    • Y10T436/24Nuclear magnetic resonance, electron spin resonance or other spin effects or mass spectrometry

Abstract

본 발명은 금 기판 (Au) 상에 패턴을 형성하기 위해 분자를 원자력 현미경 팁 (AFM)으로부터 금 기판에 전송하기 위한, 딥 펜 나노리소그래피 (DPN)로서 수행되는, 소수성 화합물로 코팅된 팁을 갖는 원자력 현미경을 제공한다.

Description

스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을 위한 제품 또는 이 방법에 의해 생산된 제품 {METHODS UTILIZING SCANNING PROBE MICROSCOPE TIPS AND PRODUCTS THEREFOR OR PRODUCED THEREBY}
리소그래피 방법은 마이크로 제조, 나노기술 및 분자 전자공학에서 현재 널리 사용되고 있다. 이들 방법은 종종 레지스트 필름의 패터닝, 이어서 기판의 화학적 에칭에 의존한다.
예리한 사물 상의 잉크가 모세관력에 의해 종이에 수송되는 딥 펜 (dip pen) 기술은 약 4000년의 역사를 갖고 있다 (Ewing, The Fountain Pen: A Collector's Companion (Running Press Book Publishers, Philadelphia, 1997)). 분자를 대규모 크기 상에 수송하는 것은 역사 전반에 걸쳐 광범위하게 사용되었다.
본 발명에 의해서, 서로 관련되지만 규모 및 수송 메카니즘에 있어서 본질적으로 상이한 개념을 갖는 상기 2개의 관련 기술이 통합되어 "딥 펜" 나노리소그래피 (DPN)가 개발되었다. DPN은 스캐닝 프로브 현미경 (SPM) 팁 (예를 들어 원자력 현미경 (AFM) 팁)을 "첨단 (nib)" 또는 "펜"으로서, 고체 상태의 기판 (예를 들어금)을 "종이"로서, 고체 상태의 기판에 대한 화학적 친화도를 갖는 분자를 "잉크"로서 사용한다. 팁으로부터 고체 기판으로 분자를 모세관 수송하는 것은 비교적 작은 분자 집합으로 이루어진 패턴을 마이크로미터보다 작은 크기에 직접 기록하기 위해 DPN에 사용된다.
DPN은 분자를 양각 프린팅 방식으로 목적 기판에 직접 수송하는 유일한 리소그래피 방법이 아니다. 예를 들어, 엘라스토머 스탬프를 사용하는 미세 접촉식 프린팅은 금 기판 상에 티올 관능화된 분자의 패턴을 직접 침적시킬 수 있다 (Xia et al., Angew. Chem. Int. Ed. Engl., 37:550 (1998); Kim et al., Nature, 376:581 (1995); Xia et al., Science, 273:347 (1996); Yan et al., J. Am. Chem. Soc., 120:6179 (1998); Kumar et al., J. Am. Chem. Soc., 114:9188 (1992)). 이 방법은 완전한 패턴 또는 일련의 패턴을 1단계로 목적 기판에 침적시킬 수 있는 DPN에 필적하는 기술이다. 이것은 나노 구조체의 특정 형태 내의 특정 부위에 상이한 종류의 분자를 선택적으로 위치시키고자 하지 않는 한, DPN과 같은 순차적인 기술에 비추어 제시할 수 있는 잇점이다. 이와 관련하여, DPN은 마이크로 접촉식 프린팅 및 많은 다른 기존의 마이크로 및 나노 제조 방법을 보충한다.
또한, 레지스트층으로서 자체 회합성 단일층 및 다른 유기물질을 사용하여 기판을 패턴화하기 위해 (즉, 후속 가공 또는 흡착 단계를 위해 물질을 제거하기 위해) 스캐닝 프로브 도구, 전자빔 또는 분자빔에 의존하는 다양한 음각 프린팅 기술이 존재한다 (Bottomley, Anal. Chem., 70:425R (1998); Nyffenegger et al., Chem. Re., 97:1195 (1997); Berggren et al., Science, 269:1255 (1995); Sondag-Huethorst et al., Appl. Phys. Lett., 64:285 (1994); Schoer et al., Langmuir, 13:2323 (1997); Xu et al., Langmuir, 13:127 (1997); Perkins et al., Appl. Phys. Lett., 68:550 (1996); Carr et al., J. Vac. Sci. Technol. A, 15:1446 (1997); Lercel et al., Appl. Phys. Lett., 68:1504 (1996); Sugimura et al., J. Vac. Sci. Technol. A, 14:1223 (1996); Komeda et al., J. Vac. Sci. Technol. A, 16:1680 (1998); Muller et al., J. Vac. Sci. Technol. B, 13:2846 (1995); Kim et al., Science, 257:375 (1992)). 그러나, DPN은 비교적 소량의 분자 기판을 레지스트, 스탬프, 복잡한 처리 방법 또는 초음파 처리된 비상업적 도구에 의존하지 않는 나노리소그래피 방식으로 기판에 전달할 수 있다.
그 발명 이후로 AFM에 관련된 문제는 실온으로부터 물을 응축시키고 영상 형성 실험에 큰 영향을 끼치는 공기 중에서 실험을 수행할 때, 특히 나노미터 또는 심지어 옹스트롬 해상도를 달성하기 위해 시도할 때 AFM 팁과 시료 사이에 형성되는 좁은 갭 모세관이다 (Xu et al., J. Phys. Chem. B, 102:540 (1998); Binggeli et al., Appl. Phys. Lett, 65:415 (1994); Fujihira et al., Chem. Lett., 499 (1996); Piner et al., Langmuir, 13:6864 (1997)). 이것은 동력학적인 문제이고, 상대 습도 및 기판 습식 특성에 따라 물이 기판으로부터 팁으로, 또는 그 반대로 수송된다는 것을 보여주었다. 후자의 경우, 준안정 상태의 나노미터 길이 규모 패턴이 AFM 팁으로부터 되적되는 물의 매우 얇은 층으로부터 형성될 수 있다 (Piner et al., Langmuir, 13:6864 (1997)). 본 발명은 수소된 분자가 스스로를 기판에 고정시킬 때 안정한 표면 구조가 형성되어 새로운 종류의 나노리소그래피인 DPN을형성한다는 것을 밝혀내었다.
또한, 본 발명은 AFM 수행시에 발생하는 물 응축에 의해 발생하는 문제를 극복한다. 특히, AFM을 수행하기 전에 AFM 팁을 특정 소수성 화합물로 코팅할 때 AFM의 해상도가 상당히 개선됨을 밝혀내었다.
<발명의 요약>
상기한 바와 같이, 본 발명은 "딥 펜" 나노리소그래피, 즉 DPN으로 지칭되는 리 방법을 제공한다. DPN은 분자가 양각 프린팅 방식으로 목적 기판에 전달되는 직접 기록식, 나노리소그래피 기술이다. DPN은 스캐닝 프로브 현미경 (SPM) 팁 (예를 들어 원자력 현미경 (AFM) 팁)을 "펜"으로서, 고체 기판을 "종이"로서 사용한다. 이 팁은 패터닝 화합물 ("잉크")로 코팅하고, 코팅된 팁은 기판에 접촉시켜 패터닝 화합물을 기판에 도포하여 목적 패턴을 생성시킨다. 패터닝 화합물의 분자는 모세관 수송에 의해 팁으로부터 기판에 전달된다. DPN은 다양한 마이크로 규모 및 나노 규모 장치의 제조에 유용하다. 또한, 본 발명은 DPN에 의해 패턴화된 기판 및 DPN 수행용 키트를 제공한다.
또한, 본 발명은 공기 중에서 AFM 영상화를 수행하는 방법을 제공한다. 이 방버븐 AFM 팁을 소수성 화합물로 코팅하는 것을 포함한다. 이어서, AFM 영상화는 코팅된 팁을 사용하여 공기 중에서 수행된다. 소수성 화합물은 코팅된 AFM 팁을 사용하여 수행된 AFM 영상화가 비코팅된 AFM 팁을 사용하여 수행된 AFM 영상화에 비해 개선되도록 선택된다. 마지막으로, 본 발명은 소수성 화합물로 코팅된 AFM 팁을 제공한다.
본 발명은 마이크로 제조 및 나노 제조 방법에 관한 것이다. 또한, 본 발명은 원자력 현미경 영상화 수행 방법에 관한 것이다.
도 1은 "딥 펜" 나노리소그래피 (DPN)의 개략도이다. 물 메니스커스는 1-옥타데칸티올 (ODT)로 코팅된 원자력 현미경 (AFM) 팁과 금 (Au) 기판 사이에 형성된다. 상대 습도에 의해 조절되는 메니스커스의 크기는 ODT 수송 속도, 효과적인 팁 기판 접촉 영역 및 DPN 해상도에 영향을 준다.
도 2A는 DPN에 의해 Au 기판에 침적된 1 제곱마이크로미터의 ODT의 측방력 영상이다. 이 패턴은 상대 습도 39%에서 10분 동안 1 Hz의 스캔 속도에서 1 제곱마이크로미터 영역을 스캐닝함으로써 생성되었다. 이어서, 스캔 크기를 3 마이크로미터로 증가시키고, 영상을 기록하면서 스캔 속도를 4 Hz로 증가시켰다.
도 2B는 DPN에 의해 Au(111)/운모 기판 상에 침적된 ODT 자체 회합성 단일층 (SAM)의 격자 해상된 측방력 영상이다. 이 영상은 신속한 푸리에 전환 (FFT)를 사용하여 여과하고, 데이타의 FFT는 우측 하단에 나타내었다. 단일층은 39% 상대 습도 하에 9 Hz의 속도에서 1000 제곱 Å 면적의 Au(111)/운모 기판을 5회 스캐닝함으로써 생성시켰다.
도 2C는 DPN에 의해 Au/운모 기판에 침적된 30 nm 선 (길이 3 ㎛)의 측방력 영상이다. 선은 1 Hz의 스캔 속도에서 5분 동안 반복적으로 팁을 수직으로 스캐닝함으로써 생성시켰다.
도 2D는 DPN에 의해 Au 기판에 침적된 100 nm 선의 측방력 영상이다. 이 선을 침적시키는 방법은 도 2C의 영상 생성에 사용된 것과 유사하지만, 생성 시간은 1.5분이었다. 모든 영상 (도 2A 내지 2D)에서 어두운 영역은 비교적 마찰이 적은영역에 대응한다.
도 3A는 ODT로 코팅된 AFM 팁이 2, 4 및 16분 (좌측에서 우측으로) 동안 기판과 접촉시킨 후의 Au 기판의 측방력 영상이다. 상대 습도는 45%로 일정하게 유지하였고, 영상은 4 Hz의 스캔 속도로 기록하였다.
도 3B는 Au 기판 상의 16-머캅토헥사데칸산 (MHDA) 점의 측방력 영상이다. 점을 생성시키기 위해서, MHDA 코팅된 AFM 팁을 Au 기판 상에 10, 20 및 40초 (좌측에서 우측으로) 동안 유지시켰다. 상대 습도는 35%이었다. MHDA 및 ODT의 수송 특성은 상당이 상이하다.
도 3C는 DPN에 의해 생성된 점 어레이의 측방력 영상이다. 각각의 점은 ODT 코팅된 팁을 표면과 20초 이하 동안 접촉시켜 생성시켰다. 쓰기 및 기록 조건은 도 3A와 동일하였다.
도 3D는 분자 기재 그리드의 측방력 영상이다. 폭 100 nm, 길이 2 ㎛의 각 선은 그리기 위해 1.5분을 필요로 하였다.
도 4A-B는 AFM 팁을 1-도데실아민으로 코팅하기 전 (도 4A) 및 팁을 1-도데실아민으로 코팅한 후 (도 4B)의 측방력 검출기 출력의 오실로스코프 기록 결과이다. 기록 시간은 4개의 스캔 선에 해당한다. 시그날을 좌우 스캔 모두에 있어서 기록하였기 때문에, 방형파의 높이는 마찰에 직접 비례한다. Y축의 0은 분명히 하기 위하여 위치를 이동시켰다.
도 5A-B는 비변형 AFM 팁에 의한 유리 기판에 수송된 물을 보여주는 측방력 영상 (도 5A) 및 1-도데실아민 코팅된 팁으로 수행한 동일한 실험의 결과 (도 5B)이다. 높이 막대는 임의의 단위이다.
도 6A는 1-도데실아민 코팅된 팁을 사용한 운모 표면의 격자 해상된 측방력 영상이다. 2D 푸리에 전환은 우측 하단에 삽입하여 나타내었다.
도 6B는 11-머캅토-1-운데칸올의 자체 회합성 단일층 (SAM)의 격자 해상된 측방력 영상이다. 이 영상은 여과된 푸리에 전환 (FFT)이고, 데이타의 FFT는 우측 하단에 나타내었다. 규모 막대는 임의의 단위이다.
도 6C는 30% 상대 습도에서 운모 상의 물 응축의 토포그래프이다. 높이 막대는 5Å이다.
도 6D는 30% 상대 습도에서 운모 상의 물 응축의 측방력 영상이다 (도 6C와 동일한 스폿).
도 7A-B는 라텍스 스피어의 토포그래프 영상으로서, 1-도데실아민으로 변형하기 전후에 아무런 변화가 없음을 보여준다. 높이 막대는 0.1 ㎛이다. 도 7A는 비처리 팁을 사용하여 기록하고, 도 7B는 1-도데실아민으로 코팅한 동일한 팁을 사용하여 기록하였다.
도 8A-B는 1-도데실아민 분자로 코팅한 Si3N4표면의 영상으로서, 균일한 코팅을 보여준다. 도 8A는 1-도데실아민 분자로 코팅된 Si3N4웨이퍼 표면의 토포그래피를 도시한 것으로, 코팅 전과 유사한 특징을 갖는다. 높이 막대는 700Å이다. 도 8B는 측방력 방식으로 기록된 동일한 영역을 도시한 것으로, 어떠한 특이한 마찰 변병이 없음을 보여준다.
도 9A-C는 DPN에 의한 나노미터 규모 다중 패터닝을 위한 "필수 요소"를 보여주는 나노 규모의 분자 점의 측방력 현미경 (LFM) 영상의 개략적 다이어그램이다. 규모 막대는 100 nm이다. 도 9A는 직경 15 nm의 1,16-머캅토헥사데칸산 (MHA) 점의 생성에 사용된 MHA 코팅된 팁을 사용하여 LFM에 의해 영상화된 Au(111) 상의 상기 MHA 점의 제1 패턴을 보여준다. 도 9B는 도 9A에 나타낸 제1 패턴의 LFM 영상을 기초로 하여 계산된 제2 패턴을 위한 코디네이트를 사용하여 DPN에 의해 형성된 제2 패턴을 보여준다. 도 9C는 제1 및 제2 패턴을 모두 포함하는 최종 패턴을 보여준다. 2개의 패턴 형성 사이에 경과된 시간은 10분이었다.
도 10A-C에서 규모 막대는 100 nm이다. 도 10A는 DPN에 의해 MHA 분자를 사용하여 생성된 폭 50 nm의 선 및 배열 마크를 포함하는 제1 패턴을 보여준다. 도 10B는 ODT 분자를 사용하여 생성된 제2 패턴을 보여준다. 제2 패터의 코디네이트는 MHA 배열 패턴의 LFM 영상을 기초로 하여 조정하였다. 제1 선 패턴은 제2 분자에 의한 오염 가능성을 억제하기 위해 영상화하지 않았다. 도 10C는 70 nm 떨어진, 서로 깍지낀 형태의 폭 50 nm의 선을 포함하는 최종 결과를 보여준다.
도 11A는 무정형 금 표면 상에 MHA 분자를 사용하여 DPN에 의해 그려진 문자를 보여준다. 규모 막대는 100 nm이고, 선의 폭은 15 nm이다.
도 11B는 무정형 금 표면 상에 MHA 분자를 사용하여 DPN에 의해 그려진 다각형을 보여준다. ODT 분자는 다각형 주위에 중첩되어 씌여졌다. 규모 막대는 1 ㎛이고, 선의 폭은 100 nm이다.
DPN은 스캐닝 프로브 현미경 (SPM) 팁을 이용한다. 본원에서 사용된 구문 "스캐닝 프로브 현미경 팁" 및 "SPM 팁"은 원자력 현미경 (AFM) 팁, 근대역 스캐닝 광학 현미경 (NSOM) 팁, 스캐닝 터널링 현미경 (STM) 팁 및 유사한 특성을 갖는 장치를 포함하는 원자 규모 영상화에 사용되는 팁을 의미한다. 많은 SPM 팁은 상업적으로 시판되고 있으며, 시판사에서 제공하는 지침서를 사용하여 이와 유사한 장치를 개발할 수 있다.
SPM 팁은 AFM 팁이 가장 바람직하다. 어떠한 AFM 팁도 사용가능하다. 적합한 AFM 팁은 예를 들어 Park Scientific, Digital Instrument 및 Molecular Imaging에서 시판되는 것을 포함한다.
또한, NSOM 팁도 바람직하다. 이 팁은 가운데가 비어 있고, 패터닝 화합물은 DPN에 사용되는 "파운틴 펜" 형태를 생성하기 위해 패터닝 화합물의 저장기로서 기능하는 NSOM 팁의 중공(中空)에 축적된다. 적합한 NSOM 팁은 Nanonics Ltd. 및 Topometrix에서 시판하는 것을 사용할 수 있다.
팁은 패터닝 화합물이 단지 물리적으로 결합하는 것이 바람직하다. 본원에서 사용된 "물리적으로 결합하다"는 표현은 패터닝 화합물이 화학적 반응의 결과보다는 (화학적 흡착 또는 공유 결합에 의하지 않고) 다른 수단에 의해 팁 표면에 부착하고, 적합한 용매를 사용하여 팁 표면으로부터 제거될 수 있다는 것을 의미한다. 패터닝 화합물의 팁에 대한 물리적 결합은 팁을 부착층으로 코팅하고 패터닝 화합물에 대한 적합한 용매 (사용될 경우)를 선택하여 증강될 수 있다. 부착층은 팁의 형태를 크게 변형시키지 않는 팁 표면 상에 침적된 물질의 균일한 박층 (<10nm)이다. 또한, AFM 작동 (약 10 nN의 힘)을 견딜 만큼 충분히 강해야 한다. 티탄 및 크롬은 팁의 형태를 크게 변형시키지 않으면서 팁 상의 매우 얇은 균일한 층을 형성한다. 팁은 진공 증착 (Holland, Vacuum Deposition Of Thin Films (Wiley, New York, NY, 1956) 참조)에 의해 또는 다른 금속 박막 형성 방법에 의해 부착층으로 코팅될 수 있다. 적합한 용매는 사용된 패터닝 화합물, 팁이 부착층으로 코팅되었느지의 여부에 상관없이 사용된 팁의 종류 및 부착층 형성에 사용된 물질에 따라 다를 것이다. 예를 들어, 아세토니트릴은 비코팅된 질화규소 팁에 잘 부착하여 패터닝 화합물에 대한 용매로서 아세토니트릴이 사용될 때 부착층을 사용할 필요가 없게 한다. 반대로, 물은 비코팅된 질화규소 팁에는 부착하지 않는다. 물은 티탄 코팅된 질화규소 팁에 잘 부착하고, 이러한 코팅된 팁은 물이 용매로서 사용될 때 사용될 수 있다. 또한, 패터닝 화합물의 수용액의 물리적 결합은 (부착층으로 코팅되거나 코팅되지 않은) 팁의 친수성을 증가시킴으로써 증강될 수 있다. 예를 들어, 친수성은 팁을 세정(예를 들어 피라냐 용액을 사용하여, 플라즈마 세정에 의해 또는 UV 오존 세정을 사용하여)함으로써 또는 산소 플라즈마 에칭에 의해 증가시킬 수 있다 (예를 들어 Lo et al., Langmuir, 15, 6522-6526 (1999); James et al., Langmuir, 14, 741-744 (1998) 참조). 별법으로, 물과 다른 용매의 혼합물 (예를 들어 1:3 비율의 물:아세토니트릴)을 비코팅된 질화규소 팁에 부착시킴으로써 부착층의 사용 또는 친수성 증가를 위한 처리를 사용할 필요가 없게 만들 수 있다. 특정 환경을 위한 적합한 용매는 본원에서 제시하는 지침을 사용하여 경험적으로 결정할 수 있다.
기판은 임의의 형태 및 크기일 수 있다. 특히, 기판은 평면이거나 곡면일 수 있다. 기판은 안정한 표면 구조를 형성하기 위해 패터닝 화합물에 의해 변형될 수 있는 임의의 물질로 제조될 수 있다 (하기 참조). 본 발명의 실시에 유용한 기판은 금속 (예를 들어 금, 은, 알루미늄, 구리, 백금 및 팔라듐), 산화금속 (예를 들어 Al, Ti, Fe, Ag, Zn, Zr, In, Sn 및 Cu의 산화물), 반도체 재료 (예를 들어 Si, CdSe, CdS, 및 ZnS로 코팅된 CdS), 자성 재료 (예를 들어 강자성체), 중합체 또는 중합체 코팅된 기판, 초전도체 재료 (YBa2Cu307-δ), Si, SiO2, 유리, AgI, AgBr, HgI2, PbS, PbSe, ZnSe, ZnS, ZnTe, CdTe, InP, In203/SnO2, In2S3, In2Se3, In2Te3, Cd3P2, Cd3As2, InAs, AlAs, GaP 및 GaAs를 포함한다. 상기 기판의 제조 방법은 당업계에 공지되어 있으며, 증발 및 스퍼터링 (금속 필름), 결정 반도체 성장 (예를 들어, Si, Ge, GaAs), 화학 기상 증착 (반도체 박막), 적층 성장 (결정 반도체 박막), 및 열 수축 (배향 중합체) (예를 들어, Alcock et al., Canadian Metallurgical Quarterly, 23,309 (1984); Holland, Vacuum Deposition of Thin Films (Wiley, New York 1956); Grove, Philos. Trans. Faraday Soc., 87 (1852); Teal, IEEE Trans. Electron Dev. ED-23,621 (1976); Sell, Key Eng Materials, 58,169 (1991); Keller et al., Float-Zone Silicon (Marcel Dekker, New York, 1981); Sherman, Chemical Vapor Deposition For Microelectronics : Principles, Technology And Applications (Noyes, Park Ridges, NJ, 1987); Epitaxial Silicon Technology (Baliga, ed., Academic Press, Orlando, Florida, 1986); 미국 특허번호 제 5,138,174호; Hidber et al., Langmuir, 12, 5209-5215 (1996) 참조). 적합한 기판은 또한 예를 들어 Digital Instruments (금), Molecular Imaging (금), Park Scientific (금), Electronic Materials, Inc. (반도체 웨이퍼), Silicon Quest, Inc. (반도체 웨이퍼), MEMS TechnologyApplicationsCenter, Inc. (반도체 웨이퍼), Crystal Specialties, Inc. (반도체 웨이퍼), Siltronix, Switzerland (규소 웨이퍼), Aleene's, Buellton, CA (이축 배향 폴리스티렌 시트), 및 Kama Corp., Hazelton, PA (폴리스티렌 배향 박막)으로부터 구입할 수 있다.
SPM 팁은 목적 기판에 패터닝 화합물을 전달하는데 사용된다. 안정한 표면 구조를 형성시키기 위해 기판을 변형시킬 수 있는 한, 어떠한 패터닝 화합물도 사용할 수 있다. 안정한 표면 구조는 패터닝 화합물 분자의 기판에 대한 화학적 흡착 또는 패터닝 화합물의 기판에 대한 공유 결합에 의해 형성된다.
패터닝 화합물로서 사용될 수 있는 많은 안정한 화합물 및 이들 화합물에 대응하는 기판(들)은 당업계에 공지되어 있다. 예를 들어,
a. 화학식 R1SH, R1SSR2, R1SR2, R1SO2H, (R1)3P, R1NC, R1CN, (R1)3N, R1COOH, 또는 ArSH의 화합물은 금 기판을 패터닝하는데 사용될 수 있고,
b. 화학식 R1SH, (R1)3N, 또는 ArSH의 화합물은 은, 구리, 팔라듐 및 반도체 기판의 패터닝에 사용될 수 있으며,
c. 화학식 R1NC, R1SH, R1SSR2, 또는 R1SR의 화합물은 백금 기판의 패터닝에 사용될 수 있고,
d. 화학식 R1SH의 화합물은 알루미늄, TiO2, SiO2, GaAs 및 InP 기판의 패터닝에 사용될 수 있고,
e. 화학식 R1SiCl3, R1Si(OR2)3, (R1COO)2, R1CH=CH2, RLi 또는 R1MgX의 화합물을 포함하는 유기실란은 Si, SiO2및 유리 기판의 패터닝에 사용될 수 있고,
f. 화학식 R1COOH 또는 RCONHR2는 산화금속 기판의 패터닝에 사용될 수 있고,
g. 화학식 R1SH, R1NH2, ArNH2, 피롤 또는 피롤 유도체 (여기서 R1은 피롤 고리의 탄소 중의 하나에 부착됨)의 화합물은 고온 초전도체의 패터닝에 사용될 수 있고,
h. 화학식 R1PO3H2의 화합물은 ZrO2및 In203/SnO2기판의 패터닝에 사용될 수 있고,
i. 화학식 R1COOH의 화합물은 알루미늄, 구리, 규소 및 백금 기판의 패터닝에 사용될 수 있고,
j. 비포화 화합물, 예를 들어 아조알칸 (R2NNR3) 및 이소티오시아네이트 (R3NCS)는 규소 기판의 패터닝에 사용될 수 있고,
k. 단백질 및 펩티드는 금, 은, 유리, 규소 및 폴리스티렌의 패터닝에 사용될 수 있다.
상기 화학식에서,
R1및 R2는 각각 화학식 X(CH2)n을 갖고, 화합물이 R1및 R2모두로 치환되면, R1및 R2는 동일하거나 상이할 수 있으며;
n은 0 내지 30이며;
Ar은 아릴이며;
X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌(fullerene) C60, 핵산 (올리고뉴클레오티드, DNA, RNA 등), 단백질 (예를 들어 항체 또는 효소) 또는 리간드 (예를 들어 항원, 효소 기질 또는 수용체)이며;
m은 0 내지 30이다.
패터닝 화합물 및 그의 제조 및 용도에 대한 설명은 문헌 [Xia and Whitesides, Angew. Chem. Int. Ed., 37,550-575 (1998) 및 여기서 인용된 문헌; Bishop et al., Curr. Opinion Colloid Interface Sci., 1,127-136 (1996); Calvert, J. Vac. Sci. Technol. B, 11,2155-2163 (1993); Ulman, Chem. Rev., 96: 1533 (1996) (금에 대한 알칸티올); Dubois et al., Annu. Rev. Phys. Chem., 43: 437 (1992) (금에 대한 알칸티올); Ulman, An Introduction to Ultrathin Organic Films : From Langmuir-Blodgett to Self-Assembly (Academic, Boston, 1991) (금에 대한 알칸티올); Whitesides, Proceedings of the Robert A. Welch Foundation 39th Conference On Chemical Research Nanophase Chemistry, Houston, TX, pages109-121 (1995) (금에 부착된 알칸티올); Mucic et al. Chem. Commun. 555-557 (1996) (금 표면에 대한 3' 티올 DNA의 부착 방법을 설명); 미국 특허 제5,472,881호 (금 표면에 대한 올리고뉴클레오티드-포스포로티올레이트의 결합); Burwell, Chemical Technology, 4,370-377 (1974) and Matteucci and Caruthers, J. Am. Chem. Soc., 103,3185-3191 (1981) (실리카 및 유리 표면에 대한 올리고뉴클레오티드 알킬실록산의 결합); Grabar et al., Anal. Chem., 67, 735-743 (아미노알킬실록산의 결합 및 머캅토알킬실록산의 유사한 결합); Nuzzo et al., J. A7m. Chem. Soc., 109,2358 (1987) (금에 대한 디술피드); Allara and Nuzzo, Languir, 1,45 (1985) (알루미늄에 대한 카르복실산); Allara and Tompkins, J. Colloid Interface Sci., 49,410-421 (1974) (구리에 대한 카르복실산); Iler, The Chemistry Of Silica, Chapter 6, (Wiley 1979) (실리카에 대한 카르복실산); Timmons and Zisman, J Ph Chem., 69,984-990 (1965) (백금에 대한 카르복실산); Soriaga and Hubbard, J. Am. Chem. Soc., 104,3937 (1982) (백금에 대한 방향족 고리 화합물); Hubbard, Acc. Chem. Res., 13,177 (1980) (백금에 대한 술폴란, 술폭시드 및 다른 관능화된 용매); Hickman et al., J. Am. Chem. Soc., 111,7271 (1989) (백금에 대한 이소니트릴); Maoz and Sagiv, Languir, 3,1045 (1987) (실리카에 대한 실란); Maoz and Sagiv, Languir, 3,1034 (1987) (실리카에 대한 실란); Wasserman et al., Languir, 5,1074 (1989) (실리카에 대한 실란); Eltekova and Eltekov, Languir, 3,951 (1987) (이산화티탄 및 실리카에 대한 방향족 카르복실산, 알데히드, 알콜 및 메톡시기); 및 Lec et al., J. Phys. Chem., 92,2597(1988) (금속에 대한 경질 인산염); Lo et al., J. Am. Chem. Soc., 118,11295-11296 (1996) (초전도체에 대한 피롤의 부착); Chen et al., J Am. Chem. Soc., 117,6374-5 (1995) (초전도체에 대한 아민 및 티올의 부착); Chen et al., Languir, 12,2622-2624 (1996) (초전도체에 대한 티올의 부착); McDevitt et al., U. S. Patent No. 5,846,909 (초전도체에 대한 아민 및 티올의 부착); Xu et al., Langmuir, 14,6505-6511 (1998) (초전도체에 대한 아민의 부착); Mirkin et al., Adv. Mater. (Weinheim, Ger.), 9,167-173 (1997) (초전도체에 대한 아민의 부착); Hovis et al., J. Phys. Chem. B, 102, 6873-6879 (1998) (규소에 대한 올레핀 및 디엔의 부착); Hovis et al., Surf. Sci., 402-404,1-7 (1998) (규소에 대한 올레핀 및 디엔의 부착); Hovis et al., J Phys. Chem. B, 101,9581-9585 (1997) (규소에 대한 올레핀 및 디엔의 부착); Hamers et al., J Phys. Chem. B, 101,1489-1492 (1997) (규소에 대한 올레핀 및 디엔의 부착); Hamers et al., 미국 특허 제5,908,692호 (규소에 대한 올레핀 및 디엔의 부착); Ellison et al., J. Phys. Chem. B, 103,6243-6251 (1999) (규소에 대한 이소티오시아네이트의 부착); Ellison et al., J Phys. Chem. B, 102,8510-8518 (1998) (규소에 대한 아조알칸의 부착); Ohno et al., Mol. Cryst. Liq. Cryst. Sci. Technol., Sect. A, 295,487-490 (1997) (GaAs에 대한 티올의 부착); Reuter et al., Mater. Res. Soc. Symp. I'roc., 380,119-24 (1995) (GaAs에 대한 티올의 부착); Bain, Adv. Mater. (Weinheim, Fed. Repub. Ger.), 4,591-4 (1992) (attachment of thiols to GaAs); Sheen et al., J Am. Chem. Soc., 114,1514-15 (1992) (GaAs에 대한 티오의 부착);Nakagawa et al., Jpn. J. Appl. Phys., Part 1,30,3759-62 (1991) (GaAs에 대한 티올의 부착); Lunt et al., J. Appl. Phys., 70,7449-67 (1991) (GaAs에 대한 티올의 부착); Lunt et al., J. Vac. Sci. Technol., B, 9,2333-6 (1991) (GaAs에 대한 티올의 부착); Yamamoto et al., Languir ACS ASAP, web release number Ia990467r (InP에 대한 티올의 부착); Gu et al., J Phys. Chem. B, 102,9015-9028 (1998) (InP에 대한 티올의 부착); Menzel et al., Adv. Mater. (Weinheim, Ger.), 11,131-134 (1999) (금에 대한 디술피드의 부착), Yonezawa et al., Chem. Mater., 11, 33-35 (1999) (금에 대한 디술피드의 부착); Porter et al., Langmuir, 14, 7378-7386 (1998) (금에 대한 디술피드의 부착); Son et al., J. Phys. Chem., 98, 8488-93 (1994) (금 및 구리에 대한 니트릴의 부착), Steiner et al., Langmuir, 8, 2771-7 (1992) (금 및 구리에 대한 니트릴의 부착), Solomun et al., J. Phys. Chem., 95, 10041-9 (1991) (금에 대한 니트릴의 부착), Solomun et al., Ber. Bunsen-Ges. Phys. Chem., 95, 95-8 (1991) (금에 대한 니트릴의 부착), Henderson et al., Inorg. Chim. Acta, 242, 115-24 (1996) (금에 대한 이소니트릴의 부착), Huc et al. J. Phys. Chem. B, 103, 10489-10495 (1999) (백금에 대한 이소니트릴의 부착), Steiner et al., Langmuir, 8, 90-4 (1992) (금에 대한 아민 및 포스핀의 부착 및 구리에 대한 아민의 부착); Mayya et al., J. Phys. Chem. B, 101, 9790-9793 (1997) (금 및 은에 대한 아민의 부착); Chen et al., Languir, 15,1075-1082 (1999) (금에 대한 카르복실레이트의 부착); Tao, J. Am. Chem. Soc., 115, 4350-4358 (1993) (구리 및 은에 대한 카르복실레이트의 부착);Laibinis et al., J Am. Chem. Soc., 114, 1990-5 (1992) (은 및 구리에 대한 티올의 부착); Laibinis et al., Languir, 7,3167-73 (1991) (은에 대한 티올의 부착); Fenter et al., Languir, 7,2013-16 (1991) (은에 대한 티올의 부착); Chang et al., Am. Chem. Soc., 116,6792-805 (1994) (은에 대한 티올의 부착); Li et al., J. Phys. Chem., 98, 11751-5 (1994) (은에 대한 티올의 부착); Li et al., Report, 24 pp (1994) (은에 대한 티올의 부착); Tarlov et al., 미국 특허 제5,942,397호 (은 및 구리에 대한 티올의 부착); Waldeck, et al., PCT 출원 WO/99/48682 (은 및 구리에 대한 티올의 부착); Gui et al., Languir, 7,955-63 (1991) (은에 대한 티올의 부착); Walczak et al., J. Am. Chem. Soc., 113,2370-8 (1991) (은에 대한 티올의 부착); Sangiorgi et al., Gazz. Chim. Ital., 111,99-102 (1981) (구리에 대한 아민의 부착); Magallon et al., Book of Abstracts, 215th ACS National Meeting, Dallas, Manch 29 April 2,1998, COLL-048 (구리에 대한 아민의 부착); Patil et al., Langmvir, 14, 2707-2711 (1998) (은에 대한 아민의 부착); Sastry et al., J. Phys. Chem. B, 101, 4954-4958 (1997) (은에 대한 아민의 부착); Bansal et al., J. Phys. Chem. B, 102, 4058-4060 (1998) (규소에 대한 알킬리튬의 부착); Bansal et al., J. Phys. Chem. B, 102, 1067-1070 (1998) (규소에 대한 알킬 리튬의 부착); Chidsey, Book ofAbstracts, 214th ACS National Meeting, Las Vegas, NV) September 7-ll, 1997, I & EC-027 (규소에 대한 알킬리튬의 부착); Song, J. H., Thesis, University of California at San Diego (1998) (이산화규소에 대한 알킬리튬의 부착); Meyer et al., J. Am. Chem. Soc., 110,4914-18 (1988) (반도체에 대한 아민의 부착); Brazdil et al. J Phys. Chem., 85, 1005-14 (1981) (반도체에 대한 아미의 부착); James et al., Languir, 14, 741-744 (1998) (유리에 대한 단백질 및 펩티드의 부착); Bernard et al., Languir, 14, 2225-2229 (1998) (유리, 폴리스티렌, 금, 은 및 규소 웨이퍼에 대한 단백질의 부착)]을 참고할 수 있다.
상기 나열한 것 외에, 당업계에 공지되어 있거나 본원이나 다른 문헌에 제시된 지침을 사용하여 개발되거나 발견된 다른 화합물도 패터닝 화합물로서 사용될 수 있다. 다양한 기판에 대한 알칸티올 및 아릴티올 및 SiO2기판에 대한 트리클로로실란이 바람직하다 (실시예 1 및 2 참조).
DPN을 실시하기 위해, SPM 팁은 패터닝 화합물로 코팅된다. 이것은 많은 방법으로 달성될 수 있다. 예를 들어, 팁은 기상 증착, 직접 접촉식 스캐닝에 의해 또는 팁을 패터닝 화합물 용액과 접촉시켜 코팅시킬 수 있다.
팁을 코팅하는 가장 간단한 방법은 직접 접촉식 스캐닝이다. 직접 접촉식 코팅에 의한 코팅은 패터닝 화합물의 포화 용액의 소적을 고체 기판 (예를 들어 Fisher Scientific 또는 MEMS Technology Application Center에서 시판되는 유리 또는 질화규소)에 침적시켜 수행된다. 건조시에 패터닝 화합물은 기판 상에 마이크로 결정상을 형성한다. 패터닝 화합물을 SPM 팁 상에 코팅하기 위해서, 팁은 상기 마이크로 결정상을 가로질러 반복적으로 스캐닝된다. 이 방법은 간단하지만, 기판으로부터 팁에 전달되는 패터닝 화합물의 양을 조절하기 어렵기 때문에 팁에최적의 상태로 부가하지 못한다.
또한, 팁은 기상 증착으로 코팅될 수 있다 (Sherman, Chemical Vapor Deposition ForMicroelectronics: Principles, Technology And Applications (Noyes, Park Ridges, NJ, 1987 참조). 패터닝 화합물 (순수한 형태, 고체 또는 액체, 무용매)은 고체 기판 (예를 들어 유리 또는 질화규소; Fisher Scientific 또는 MEMS Technology Application Center에서 시판)에 위치시키고, 팁을 패터닝 화합물에 가까운 위치 (챔버 디자인에 따라 약 1-20 cm 내)에 배치한다. 이어서, 화합물을 증발 온도까지 가열하여 팁을 화합물로 코팅한다. 예를 들어, 1-옥타데칸티올은 60 ℃에서 기상 증착될 수 있다. 기상 증착에 의한 코팅은 다른 영역의 오염을 방지하기 위해 폐쇄 챔버에서 수행하여야 한다. 패터닝 화합물이 공기에 의해 산화되는 것이라면, 챔버는 진공 챔버 또는 질소 충전 챔버이어야 한다. 기상 증착에 의한 팁 코팅은 팁 상에 패터닝 화합물의 균일한 박층을 생성시키고, DPN에 매우 신뢰할 수 있는 있는 결과를 제공한다.
그러나, SPM 팁은 패터닝 화합물 용액 내에 침지시켜 코팅하는 것이 바람직하다. 용매는 중요하지 않고, 요구되는 것은 화합물이 용액 상태이어야 한다는 것이다. 그러나, 용매는 패터닝 화합물이 가장 가용성인 것이 바람직하다. 또한, 용액은 포화 용액이 바람직하다. 또한, 용매는 팁 (부착층으로 코팅되거나 코팅되지 않음)에 가장 잘 부착하는 (팁을 가장 습윤화시키는) 것이 바랍직하다 (상기 참조). 팁은 팁을 화합물로 코팅하기 충분한 시간 동안 패터닝 화합물 용액과 접촉시켜 유지한다.
이 시간은 경험적으로 결정될 수 있다. 일반적으로, 약 30 초 내지 약 3 분이면 충분한다. 바람직하게는, 팁은 용액에 다수회 침지되고, 팁은 각각의 침지 사이에 건조된다. 선택 용액에 팁을 침지하는 횟수는 경험적으로 결정될 수 있다. 바람직하게는, 팁은 임의의 입자를 함유하지 않는 (즉, 순수한) 불활성 기체 (예를 들어 사불화탄소, 1,2-디클로로-l,1,2,2-테트라플루오로에탄, 디클로로디플루오로메탄, 옥타플루오로시클로부탄, 트리클로로플루오로메탄, 디플루오로에탄, 질소, 아르곤, 또는 탈수 공기)를 팁에 대해 송풍함으로써 건조시킨다. 일반적으로, 상기 기체를 실온에서 약 10초 동안 송풍함으로써 팁을 충분히 건조시킬 수 있다. 침지 (1회 침지 또는 다중 침지의 최종 침지) 후에, 팁은 기판을 패터닝하기 위해 습식 상태의 팁을 사용할 수 있거나, 또는 사용 전에 건조시킬 수 있다 (바람직하게는 상기한 바와 같이). 건조된 팁을 사용하여 긴 시간 (몇주의 기간) 동안 패터닝 화합물을 낮지만 안정적인 속도로 수송할 수 있는 반면, 습식 팁을 사용하면 단기간 (약 2 내지 3시간) 동안 패터닝 화합물을 빠른 속도로 수송할 수 있다. 건조된 팁은 무수 조건 하에 양호한 수송 속도를 갖는 화합물 (예를 들어 X가 -CH3인 상기 나열한 화합물)에 바람직한 반면, 습식 팁은 무수 조건 하에서 낮은 수송 속도를 갖는 화합물 (예를 들어 X가 -COOH인 상기 나열한 화합물)에 바람직하다.
DPN을 수행하기 위해, 코팅된 팁은 기판에 접촉시킨다. 패터닝 화합물과 수송 매질 모두 DPN에 필요한데, 패터닝 화합물은 모세관 수송에 의해 기판으로 수송되기 때문이다 (도 1 참조). 수송 매질은 팁과 기판 사이의 갭을 연결하는 메니스커스를 형성한다 (도 1 참조). 따라서, 팁은 상기 메니스커스를 형성하도록 충분히 근접할 때 기판과 "접촉"한다. 적합한 수송 매질은 물, 탄화수소 (예를 들어 헥산), 및 패터닝 화합물이 가용성인 용매 (예를 들어, 팁을 코팅하기 위해 사용된 용매, 상기 참조)를 포함한다. 팁을 사용한 보다 신속한 패턴 형성은 패터닝 화합물이 가장 가용성인 수송 매질을 사용함으로써 달성될 수 있다.
AFM 또는 유사한 장치를 사용하여 패턴을 형성하기 위해 단일 팁을 사용할 수 있다. 당업계에 공지되어 있는 바와 같이, 몇몇 STM 및 NSOM 팁만이 AFM에 사용될 수 있고, AFM에 사용될 수 있는 STM 및 NSOM 팁은 시판되고 있다. 제1 패터닝 화합물로 코팅된 제1 팁을 제거하고 이를 상이한 패터닝 화합물로 코팅된 다른 팁으로 대체함으로써 상이한 화합물의 패턴 (동일하거나 상이함)을 형성하기 위해 2개 이상의 상이한 패터닝 화합물을 동일한 기판에 도포할 수 있다. 별법으로, 동일하거나 상이한 패터닝 화합물을 사용하여 다수의 패턴 (동일하거나 상이한 패턴)을 기판 상에 형성하기 위해 다수의 팁을 단일 장치에 사용할 수 있다 (예를 들어, 다중 캔티레버 및 기판을 패터닝하기 위한 팁을 포함하는 장치를 기재한 미국 특허 제5,666,190호 참조).
2개 이상의 패턴 및(또는) 2개 이상의 패터닝 화합물 (동일하거나 상이한 패턴에서)이 단일 기판에 적용될 때, 위치 (등록) 시스템을 사용하여 서로에 대하여 및(또는) 선택된 배열 마크에 대하여 패턴 및(또는) 패터닝 화합물을 배열한다. 예를 들어, 일반적인 AFM 영상화 방법에 의해 영상화될 수 있는 2개 이상의 배열 마크는 DPN 또는 다른 리소그래피 기술 (예를 들어 포토리소그래피 또는 전자빔 리소그래피)에 의해 기판에 적용된다. 배열 마크는 간단한 형태, 예를 들어 십자가 또는 직사각형일 수 있다. 보다 양호한 해상도는 DPN을 사용하여 배열 마크를 생성시킴으로써 달성된다. DPN이 사용될 경우, 배열 마크는 기판과 강한 공유결합을 형성하는 패터닝 화합물로 제조하는 것이 바람직하다. 금 기판에 배열 마크를 형성하기 위해 가장 우수한 화합물은 16-머캅토헥사데칸산이다. 배열 마크는 바람직하게는 목적 패턴 제조를 위해 패터닝 화합물로 코팅된 SPM 팁을 사용하여 일반적인 AFM 방법 (예를 들어, 측방력 AFM 영상화, AFM 토포그래피 영상화 및 비접촉식 AFM 영상화)에 의해 영상화된다. 이러한 이유로, 배열 마크 제조에 사용되는 패터닝 화합물은 목적 패턴의 제조에 사용되는 다른 패터닝 화합물과 반응하지 않아야 하고, 후속 DPN 패터닝에 의해 파괴되지 않아야 한다. 영상화 데이타를 사용하여 적절한 파라미터 (위치 및 배향)를 간단한 컴퓨터 프로그램 (예를 들어, Microsoft Excel spreadsheet)을 사용하여 계산할 수 있고, 계산된 파라미터를 사용하여 목적 패턴(들)을 기판 상에 침적시킬 수 있다.
실질적으로, 시스템은 배열 마크에 대한 위치 및 배향을 기초로 한 것이기 때문에, 배열 마크를 사용하여 무수한 패턴 및(또는) 패터닝 화합물을 위치시킬 수 있다. 가장 우수한 결과를 얻기 위해서, 사용되는 SPM 팁 배치 시스템은 안정하여야 하고, 드리프트 문제를 갖지 않아야 한다. 상기 기준을 충족시키는 AFM 배치 시스템은 Park Scientific사의 100 마이크로미터 압전관 스캐너이다. 이 시스템은 나노미터 규모 해상도를 갖는 안정한 배치를 제공한다.
DPN은 또한 기판에 인접한 세정액과 다수의 상이한 패터닝 화합물을 함유한일련의 미크론 크기의 웰 (또는 다른 용기)을 가짐으로써 나노플로터 포맷으로 사용할 수 있다. 팁을 패터닝 화합물을 함유한 웰에 침지시켜 팁을 코팅할 수 있으며, 코팅된 팁은 기판에 패턴을 도포하기 위해 사용한다. 이어서, 팁을 세정 웰 또는 일련의 세정 웰에 침지시켜 세정한다. 세정된 팁을 다른 웰에 침지시켜 제2 패터닝 화합물로 코팅시킨 다음 상기 제2 패터닝 화합물로 기판에 패턴을 도포하기 위해 사용한다. 패턴들을 앞 단락에 기재한 바와 같이 배열시킨다. 패터닝 화합물로 팁을 코팅하고, 이 패터닝 화합물로 기판에 패턴을 도포하며, 팁을 세정하는 공정은 원하는 만큼 많이 반복할 수 있으며, 전체 공정은 적절한 소프트웨어를 사용하여 자동화할 수 있다.
DPN은 또한 DPN에 의해서든 다른 방법에 의해서든 기판에 이미 도포된 제1 패터닝 화합물에 제2 패터닝 화합물을 도포하기 위해 사용할 수 있다. 제2 패터닝 화합물은 제1 패터닝 화합물과 화학적으로 반응하거나 또는 달리 안정하게 결합하도록 (예를 들면 핵산의 2개의 상보적 스트랜드의 혼성화에 의해) 선택된다. 예를 들면, 문헌[Dubois and Nuzzo,Annu. Rev. Phys. Chem., 43, 437-63 (1992); Yan 등,Langmuir, 15, 1208-1214 (1999); Lahiri 등,Langmuir, 15, 2055-2060 (1999); 및 Huck 등,Langmuir, 15, 6862-6867 (1999)]을 참조한다. 기판에 직접 수행된 DPN에서와 같이, 제2 패터닝 화합물 및 수송 매체가 모두 필요하며, 이는 제2 패터닝 화합물이 모세관 수송에 의해 제1 패터닝 화합물로 수송되기 때문이다 (상기 참조). 제3, 제4 등의 패터닝 화합물을 또한 이미 기판 상에 있는 제1 패터닝 화합물 또는 다른 패터닝 화합물에 도포할 수 있다. 또한, 다층 패터닝 화합물을 형성하기 위해 추가의 패터닝 화합물을 도포할 수 있다. 이들 추가의 패터닝 화합물은 각각 다른 패터닝 화합물과 동일하거나 상이할 수 있으며, 다층은 각각 다른 층과 동일하거나 상이할 수 있으며 1종 이상의 다른 패터닝 화합물로 이루어질 수 있다.
또한, DPN은 다른 리소그래피 기술과 조합하여 사용할 수 있다. 예를 들면, DPN은 미세접촉 프린팅 및 상기 배경기술란에서 논의한 다른 리소그래피 기술과 함께 사용할 수 있다.
몇몇 파라미터가 DPN의 해상도에 영향을 끼치며, 그 최종 해상도는 아직 분명하지 않다. 첫째로, 종이결이 종래 필기의 해상도를 제어하는 것과 같이, 기판의 그레인 크기가 DPN 해상도에 영향을 끼친다. 하기 실시예 1에 나타낸 바와 같이, DPN은 특정 금 기판 상에서 30 ㎚ 폭의 선을 그리기 위해 사용된다. 이 크기는 금 기판의 평균 그레인 직경이며, 이러한 유형의 기판 상에서 DPN의 해상도 한계를 나타낸다. 규소와 같은 보다 평활한 (보다 작은 그레인 크기의) 기판을 사용하면 보다 양호한 해상도를 얻을 것이다. 실제로 다른 보다 평활한 금 기판을 사용하면, 해상도가 15 ㎚로 증가하였다 (실시예 4 참조).
둘째로, 화학흡착, 공유 부착 및 자체회합은 모두 침적후 분자의 확산을 한정하는 작용을 한다. 반대로, 기판에 고정되지 않는 물과 같은 화합물은 불량한 해상도의 준안정성 패턴만을 형성하여(문헌[Piner 등,Langmuir, 13:6864(1997)] 참조) 사용될 수 없다.
세째로, 팁-기판 접촉 시간 및 따라서 스캔 속도가 DPN 해상도에 영향을 끼친다. 스캔 속도가 보다 빠르고 트레이스(trace) 수가 보다 적으면 보다 얇은 선을 형성한다.
네째로, 팁에서 기판으로의 패터닝 화합물의 수송율이 해상도에 영향을 끼친다. 예를 들면, 수송 매체로서 물을 사용하면, 상대 습도가 리소그래피 공정의 해상도에 영향을 끼치는 것으로 밝혀졌다. 예를 들면, 30 ㎚ 폭의 선 (도 2c)은 34%의 상대 습도 환경에서 생성을 위해 5분이 소요되는 반면, 100 ㎚ 폭의 선 (도 2d)은 42%의 상대 습도 환경에서 생성을 위해 1.5분이 소요된다. 팁과 기판을 가교하는 물 메니스커스의 크기는 상대 습도에 좌우되며 (Piner 등, Langmuir, 13:6864 (1997)), 물 메니스커스의 크기는 기판으로의 패터닝 화합물의 수송률에 영향을 끼친다. 또한, 습식 팁을 사용하는 경우, 물 메니스커스는 수송 매체인 잔류 용매를 함유하고, 수송률은 용매의 특성에 의해 또한 영향을 받는다.
다섯째로, 팁의 날카로움이 DPN의 해상도에 영향을 끼친다. 따라서, 보다 날카로운 팁을 사용하면(예를 들면, 팁을 자주 교체하고, 코팅하기 전에 팁을 세척하고, 팁의 단부에 날카로운 구조체 (탄소 나노튜브와 같은)를 부착함으로써), 보다 우수한 해상도가 얻어질 것으로 예상된다.
요약하면, DPN은 전자빔 리소그래피와 같은 훨씬 더 비싸고 복잡한 경쟁적 리소그래피 방법으로 얻는 것에 상당할만한 해상도로 SPM 팁으로부터 기판으로 분자를 수송하기 위한 단순하지만 강력한 방법이다. DPN은 마이크로크기 및 나노크기의 구조체를 형성하고 기능화시키기에 유용한 도구이다. 예를 들면, DPN은 마이크로센서, 마이크로반응기, 조합 배열, 마이크로기계 시스템, 마이크로분석 시스템, 생물학적 표면(biosurfaces), 생물학적 재료(biomaterials), 마이크로전자공학, 마이크로광학 시스템 및 나노전자공학 장치의 제작에서 사용할 수 있다. DPN은 보다 통상적인 리소그래피 방법에 의해 제조된 나노크기 장치의 상세한 기능화에 특히 유용할 것이다. 예를 들면, 문헌[Reed 등,Science, 278:252(1997); Feldheim 등,Chem. Soc. Rev., 27:1 (1998)]을 참조한다.
본 발명은 또한 DPN을 수행하기 위한 키트를 제공한다. 키트는 하나 이상의 기판과 하나 이상의 SPM 팁을 포함한다. 기판과 팁은 상기한 것이다. 팁은 패터닝 화합물로 코팅될 수 있거나 코팅되지 않을 수 있다. 팁이 코팅되지 않은 것이면, 키트는 추가로 각각 패터닝 화합물을 보유하는 하나 이상의 용기를 포함할 수 있다. 패터닝 화합물을 상기한 것이다. 바이알, 튜브 또는 자아(jar)와 같은 임의의 적합한 용기를 사용할 수 있다. 키트는 추가로 상기한 바와 같이 패터닝 화합물의 팁으로의 물리적 흡착을 증강시키기 위한 얇은 고체 부착층을 형성하기 위한 물질 (예를 들면, 티탄 또는 크롬의 용기), 패터닝 화합물로 팁을 코팅하기 위한 유용한 물질 (패터닝 화합물용 용매 또는 직접 접촉 스캐닝용 고체 기판과 같은) 및(또는) DPN과 다른 방법으로 리소그래피를 수행하기 위한 물질을 포함할 수 있다 (상기 배경기술란과 여기에 인용된 참고문헌 참조). 마지막으로, 키트는 DPN 또는 임의의 다른 리소그래피 방법을 수행하기에 유용한 다른 시약과 물품, 예를 들면 시약, 비이커, 바이알 등을 포함할 수 있다.
상기한 바와 같이, AFM을 공기 중에서 작동시키면, 팁과 표면 사이에 물이 응집한 후 팁을 표면을 가로질러 스캐닝함에 따라 모세관 효과에 의해 수송된다.이러한 채워진 모세관과 그와 관련된 모세관력은 AFM의 작동을 저해하여 영상화 공정에 실질적으로 영향을 끼친다.
매우 놀랍게도, 특정 소수성 화합물로 코팅된 AFM 팁은 코팅되지 않은 팁에 비해 AFM에 의해 공기 중에서 기판을 영상화하는 개선된 능력을 나타내는 것으로 밝혀졌다. 그 이유는 소수성 분자가 형성된 물 메니스커스의 크기를 감소시키고 마찰을 효과적으로 감소시키기 때문이다. 결론적으로, 공기 중의 AFM의 해상도는 코팅되지 않은 팁을 사용하는 것에 비해 코팅된 팁을 사용하면 증가한다. 따라서, 소수성 분자로 팁을 코팅하는 것은 AFM을 공기 중에서 수행하기 위한 AFM 팁에 대한 일반적인 예비처리로서 이용할 수 있다.
AFM을 공기 중에서 수행하기 위한 AFM 팁을 코팅하기 위해 유용한 소수성 화합물은 팁 표면 상에 균일한 얇은 코팅을 형성해야 하고, 영상화되는 기판 또는 팁에 공유 결합하지 않아야 하며, 기판보다 팁에 보다 강하게 결합해야 하며, AFM 작동 온도에서 고체로 유지되어야 한다. 적합한 소수성 화합물은 패터닝 화합물로서 사용하기 위해 상기한 소수성 화합물을 포함하며, 단, 이러한 소수성 패터닝 화합물은 패터닝 화합물에 대해 상응하는 기판을 영상화하기 위해 사용된 AFM 팁을 코팅하거나, 또는 패터닝 화합물에 대해 상응하는 기판으로서 유용한 물질로 제조되거나 코팅된 AFM 팁을 코팅하기 위해 사용되지 않는다. 대부분의 기판에 바람직한 화합물은 화학식 R4NH2{여기서 R4는 화학식 CH3(CH2)n의 알킬 또는 아릴이고, n은 0 내지 30, 바람직하게는 10 내지 20임}를 갖는 것이다 (상기 패터닝 화합물에 대한논의 참조). 74℉ (약 23.3℃) 미만의 AFM 작동 온도에 대해 1-도데실아민이 특히 바람직하다.
임의의 AFM 팁을 사용하는 공기 중의 AFM은 앞 단락에 기재한 바와 같은 소수성 화합물로 AFM 팁을 소수성 화합물로 코팅함으로써 개선시킬 수 있다. 적합한 AFM 팁은 DPN에서 사용하기 위해 상기한 것을 포함한다.
AFM 팁은 다양한 방식으로 소수성 화합물로 코팅할 수 있다. 적합한 방법은 DPN에 사용하기 위한 패터닝 화합물로 AFM 팁을 코팅하기 위해 상기한 것을 포함한다. 바람직하게는 모두 팁을 패터닝 화합물로 코팅하기 위해 상기한 바와 같이, AFM 팁은 단순히 팁을 화합물의 용액 중에 팁을 코팅하기에 충분한 시간 동안 침지시킨 다음 코팅된 팁을 불활성 기체로 건조시킴으로써 소수성 화합물로 코팅한다.
팁을 코팅한 후, 팁을 코팅하지 않은 경우에서와 같이 동일한 방식으로 AFM을 수행한다. AFM 절차에서는 어떠한 변화도 필요하지 않은 것으로 밝혀졌다.
실시예 1:금 기판 상에 알칸티올을 사용하는 "딥 펜" 나노리소그래피
금(Au) 표면에 1-옥타데칸티올 (ODT)을 전달하는 것은 광범위하게 연구된 시스템이다. 문헌[Bain 등,Angew. Chem. Int. Ed. Engl., 28:506(1989); A. Ulman,An Introduction to Ultrathin Organic Films; From Langmuir-Blodgett to Self-Assembly(Academic Press, Boston, 1991); Dubois 등,Annu. Rev. Phys. Chem., 43, 437(1992); Bishop 등,Curr. Opin. Coll. Interf. Sci., 1:127 (1996); Alves 등,J. Am. Chem. Soc., 114:1222(1992)]을 참조한다. 이러한 적절한 공기 안정성분자를 그 위에 고정시켜 갖는 Au는 (LFM; 측방력 현미경)에 의해 비변형 Au로부터 쉽게 구별할 수 있다.
ODT로 코팅된 AFM 팁을 샘플 표면과 접촉시키면, ODT는 딥 펜과 같이 모세관 작용에 의해 팁으로부터 샘플로 유동한다 (도 1). 이 방법은 실온에서 운모 상에 300Å의 다결정질 Au를 열 증발시켜 제조한 박막 기판 상에서 통상적인 AFM 팁을 사용하여 연구되었다. 모든 실험을 수행하기 위해 파크 사이언티픽(Park Scientific) 모델 CP AFM 장치를 사용하였다. 스캐너를 유리 격리실에 넣고, 습도계로 상대 습도를 측정하였다. 모든 습도 측정장치는 절대 오차가 ±5%이다. 질화규소 팁 (파크 사이언티픽, Microlever A)을 캔티레버를 아세토니트릴 중 ODT의 포화 용액에 1분간 침지시킴으로써 ODT로 코팅하였다. 캔티레버를 사용하기 전에 압축 디플루오로에탄으로 취입 건조시켰다.
DPN 공정의 단순한 실험모형은 이러한 방식으로 Au 기판의 1 ㎛×1 ㎛ 섹션을 가로질러 제조된 팁을 래스터(raster) 스캐닝하는 것을 포함하였다. 보다 큰 스캔 영역 (3 ㎛×3 ㎛) 내의 이 구역의 LFM 영상은 상이한 콘트라스트의 2개 영역을 보였다 (도 2a). 내부 암부 또는 저부 측방력 구역은 ODT의 침적 단층이고, 외부 명부는 노출된 Au였다.
고화질 자체회합 단층 (SAMs)의 형성이 Au 박막 기판을 300℃에서 3시간 동안 단련하여 제조된 Au(111)/운모 상에서 침적 공정을 수행할 때 발생하였다 [Alves 등,J. Am. Chem. Soc.114:1222(1992)]. 이 경우, ODT SAM의 격자 해상된 화상을 얻는 것이 가능하였다 (도 2b). 5.0±0.2Å의 6각 격자 파라미터는Au(111) 상에서 ODT의 SAM에 대한 보고된 값과 잘 비교되며, 다른 몇몇 흡착질 (물 또는 아세토니트릴)보다는 ODT가 팁으로부터 기판으로 수송된 것을 보여준다.
Au(111)/운모 상에서 수행된 실험은 이들 실험에서 수송된 화학종의 화학적 동일성에 대하여 중요한 정보를 제공하지만, Au(111)/운모는 DPN에 대해 불량한 기판이다. 작은 Au(111) 면 주변의 깊은 골짜기로 인해 나노미터 폭을 갖는 긴(마이크로미터) 연속선을 그리는 것이 어렵게 된다.
단련되지 않은 Au 기판은 비교적 거칠지만 (자승 평균 평방근 거칠기≒2 ㎚), DPN에 의해 30 ㎚ 선을 침적시킬 수 있다 (도 2c). 이 거리는 박막 기판의 평균 Au 그레인 직경이고 이러한 유형의 기판 상에서 DPN의 해상도 한계를 나타낸다. 이러한 유형의 기판 상에 제조된 30 ㎚ 분자기재 선은 불연속적이고 Au의 그레인 모서리가 뒤에 온다. 보다 평활하고 보다 연속적인 선은 선폭을 100 ㎚로 증가시킴으로써 (도 2d) 또는 아마도 보다 평활한 Au 기판을 사용함으로써 그릴 수 있다. 선 폭은 팁 스캔 속도와 알칸티올의 팁으로부터 기판으로의 수송률에 좌우된다 (상대 습도는 수송률을 변화시킬 수 있다). 스캔 속도가 보다 빠르고 트레이스 수가 보다 적으면 보다 얇은 선을 형성한다.
DPN을 또한 "잉크"의 확산성을 증명하기 위해 분자 점 지형(feature)을 제조하기 위해 사용하였다 (도 3a 및 도 3b). ODT 코팅된 팁을 Au 기판과 설정된 시간 동안 접촉시켰다 (설정점 = 1nN). 예를 들면, 팁을 표면과 각각 2, 4 및 16분간 접촉 유지시켜 0.66 ㎛, 0.88 ㎛ 및 1.6 ㎛ 직경의 ODT 점을 형성시켰다 (좌측에서 우측으로, 도 3a). 균일한 외형의 점은 팁으로부터 표면으로 모든 방향으로 ODT의균일한 유동을 반영하는 것 같다. 유사한 방식으로 알칸티올 유도체, 16-머캅토헥사데칸산의 점을 침적시킴으로써 반대 콘트라스트의 영상을 얻었다 (도 3b). 이는 분자가 팁으로부터 표면으로 수송되는 추가의 증거를 제공할 뿐만 아니라 DPN의 분자 보편성을 증명한다.
개별적인 선과 점 이외에 배열 및 그리드를 형성시킬 수도 있다. 0.54 ㎛로 이격된 25개의 0.46 ㎛ 직경 ODT 점의 배열 (도 3c)는 ODT 코팅된 팁을 측면 이동없이 45% 상대 습도에서 20초 동안 표면(1 nM)과 접촉 유지시켜 점을 형성함으로써 생성하였다. 길이 2 ㎛ 및 폭 100 ㎚의 8개의 교차하는 선으로 이루어진 그리드 (도 3d)는 ODT 코팅된 팁을 Au 표면에 1 nN의 힘으로 4 ㎛/초의 스캔 속도로 1.5분 동안 스위핑하여 각 선을 형성함으로써 생성하였다.
실시예 2:"딥 펜" 나노리소그래피
매우 많은 화합물과 기판이 DPN에서 성공적으로 이용되었다. 이를 하기 표 1에 화합물과 기판의 조합에 대해 가능한 용도와 함께 나열하였다.
AFM 팁 (파크 사이언티픽)을 사용하였다. 팁은 규소 팁, 질화규소 팁, 및 패터닝 화합물의 물리적 흡착을 증강시키기 위해 티탄 10 ㎚층으로 코팅된 질화규소 팁이었다. 질화규소 팁은 문헌[Holland,Vacuum Doposition Of Thin Films(Wiley, New York, NY, 1956]에 기재된 바와 같이 진공 증착에 의해 티탄으로 코팅하였다. 질화규소 팁을 티탄으로 코팅하면 팁을 무디게 하고 DPN의 해상도를 감소시킨다. 그러나, 티탄으로 코팅된 팁은 패터닝 화합물에 대한 용매로서 물을 사용하는 경우 유용하다. 코팅되지 않은 질화규소 팁을 사용하여 수행된 DPN에서 가장우수한 해상도를 보였다 (약 10 ㎚로 낮음).
표 1에 나열한 금속 필름 기판은 문헌[Holland,Vacuum Doposition Of Thin Films(Wiley, New York, NY, 1956]에 기재된 바와 같이 진공 증착에 의해 제조하였다. 반도체 기판은 일렉트로닉 머티리얼스 인크.(Electronic Materials, Inc.), 실리콘 퀘스트 인크.(Silicon Quest, Inc.), MEMS 테크놀로지 어플리케이션 센터 인크.(MEMS Technology Applications Center, Inc.) 또는 크리스탈 스페셜티즈 인크.(Crystal Specialities, Inc.)에서 입수하였다.
표 1에 나열한 패터닝 화합물은 알드리치 케미칼 캄파니(Aldrich Chemical Co.)에서 입수하였다. 표 1에 나열한 용매는 피셔 사이언티픽(Fisher Scientific)에서 입수하였다.
AFM 팁을 실시예 1에 기재된 바와 같이(패터닝 화합물 용액 중에 침지시킨 후 불활성 기체로 건조시킴), 또는 증착에 의해 또는 직접 접촉 스캐닝에 의해 패터닝 화합물로 코팅하였다. 실시예 1의 방법이 최상의 결과를 나타냈다. 또한 팁을 침지시키고 건조하는 것을 수회 반복하면 또한 결과를 개선시켰다.
팁을 문헌[Sherman,Chemical Vapor Deposition For Microelectronics: Principles, Technology And Applications(Noyes, Park Ridges, NJ, 1987)]에 기재된 바와 같이 증착에 의해 코팅하였다. 간략하게, 패터닝 화합물을 순수 형태 (고체 또는 액체, 무용매)로 밀폐실 내에서 고체 기판 (예를 들면, 유리 또는 질화규소; 피셔 사이언티픽 또는 MEMS 테크놀로지 어플리케이션 센터로부터 입수함) 상에 놓았다. 공기에 의해 산화되는 화합물에 대해서는 진공실 또는 질소 충전실을이용하였다. AFM 팁을 패터닝 화합물로부터 약 1-20 ㎝에 배치하였고, 이 거리는 재료의 양과 챔버 디자인에 따른다. 이어서 화합물을 증발되는 온도로 가열하여, 팁을 화합물로 코팅시켰다. 예를 들면 1-옥타데칸티올은 60℃에서 증착시킬 수 있다. 팁을 증착에 의해 코팅하면 팁 상에 패터닝 화합물의 얇고 균일한 층이 형성되었고 DPN에 대해 매우 신뢰성있는 결과를 제공하였다.
팁을 패터닝 화합물의 포화 용액 소적을 고체 기판 (예를 들면, 유리 또는 질화규소; 피셔 사이언티픽 또는 MEMS 테크놀로지 어플리케이션 센터로부터 입수함) 상에 침적시킴으로써 직접 접촉 스캐닝에 의해 코팅하였다. 건조시키면, 패터닝 화합물은 기판 상에 미세결정상으로 형성되었다. 패터닝 화합물을 AFM 팁 상에 로딩하기 위해, 팁을 상기 미세결정상을 가로질러 반복적으로 스캐닝하였다 (~5㎐ 스캔 속도). 이 방법은 단순하지만, 기판으로부터 팁으로 전달된 패터닝 화합물의 양을 조절하기 어렵기 때문에 팁의 최상의 로딩을 발생시키지 않았다.
DPN을 파크 사이언티픽 AFM, 모델 CP, 스캐닝 속도 5-10 ㎐를 사용하여 실시예 1에 기재한 바와 같이 수행하였다. 스캐닝 시간은 10초 내지 5분이었다. 제조된 패턴은 그리드, 점, 문자 및 직사각형을 포함하였다. 그리드 선 및 문자를 형성하는 선의 폭은 15 ㎚ 내지 250 ㎚ 범위이고, 개별적인 점의 직경은 12 ㎚ 내지 5 ㎛ 범위이었다.
기판 패터닝 화합물/용매(들) 가능한 용도 비고 및 참고
Au n-옥타데칸티올/아세토니트릴, 에탄올 기초 연구 분자간힘의 연구.Langmuir10, 3315 (1994)
마이크로제조용 에칭 레지스트 에칭제: KCN/O2(pH~14).J. Vac. Sci. Technol. B, 13, 1139 (1995)
도데칸티올/아세토니트릴, 에탄올 분자 전자기학 나노미터 크기 금 클러스터 상의 얇은 절연 코팅.Superlattices and Microstructures 18, 275 (1995)
n-헥사데칸티올/아세토니트릴, 에탄올 마이크로제조용 에칭 레지스트 에칭제: KCN/O2(pH~14).Langmuir, 15, 300 (1999)
n-도코산티올/아세토니트릴, 에탄올 마이크로제조용 에칭 레지스트 에칭제: KCN/O2(pH~14)J. Vac. Sci. Technol. B, 13, 2846 (1995)
11-머캅토-1-운데칸올/아세토니트릴, 에탄올 표면 기능화 SiO2클러스터 포획.
16-머캅토-1-헥사데칸산/아세토니트릴, 에탄올 기초 연구 분자간 힘의 연구.Langmuir14, 1508 (1998)
표면 기능화 SiO2, SnO2클러스터 포획.J. Am. Chem. Soc.,114, 5221 (1992)
옥탄디티올/아세토니트릴, 에탄올 기초 연구 Study of intermolecular forces.Jpn. J. Appl. Phys.37, L299 (1998)
헥산디티올/아세토니트릴, 에탄올 표면 기능화 Capturing gold 클러스터.J. Am. Chem. Soc.,114, 5221 (1992)
프로판디티올/아세토니트릴, 에탄올 기초 연구 Study of intermolecular forces.J. Am. Chem. Soc., 114, 5221 (1992)
α,α'-p-크실릴디티올/아세토니트릴, 에탄올 표면 기능화 Capturing gold 클러스터.Science, 272, 1323 (1996)
분자 전자기학 Conducting nanometer scale junction.Science, 272, 1323 (1996)
4,4'-비페닐디티올/아세토니트릴, 에탄올 표면 기능화 Capturing gold and CdS 클러스터.Inorganica Chemica Acta242, 115 (1996)
테르페닐디티올/아세토니트릴, 에탄올 표면 기능화 Capturing gold and CdS 클러스터.Inorganica Chemica Acta242, 115 (1996)
테르페닐디이소시아나이드/아세토니트릴, 메틸렌 클로라이드 표면 기능화 Capturing gold and CdS 클러스터.Inorganica Chemica Acta242, 115 (1996)
분자 전자기학 나노미터 크기 금 클러스터 상의 전도성 코팅.Superlatices and Microstructures, 18, 275 (1995)
DNA/물:아세토니트릴 (1:3) 유전자 검출 생물학적 세포를 검출하기 위한 DNA 프로브.J. Am. Chem. Soc., 119, 8916 (1997)
기판 패터닝 화합물/용매(들) 가능한 용도 비고 및 참고
Ag n-헥사데칸티올/아세토니트릴, 에탄올 마이크로제작용 에칭 레지스트 에칭제: Fe(NO3)3(pH~6).Microelectron. Eng., 32, 255 (1996)
Al 2-머캅토아세트산/아세토니트릴, 에탄올 표면 기능화 CdS 클러스터 포획.J. Am. Chem. Soc., 114, 5221 (1992)
GaAs-100 n-옥타데칸티올/아세토니트릴, 에탄올 기초 연구 자체회합된 단층 형성`
마이크로제작용 에칭 레지스트 HCl/HNO3(pH~1)J. Vac. Sci. Technol. B, 11, 2823 (1993)
TiO2 n-옥타데칸티올/아세토니트릴, 에탄올 마이크로제작용 에칭 레지스트
SiO2 16-머캅토-1-헥사데칸산/아세토니트릴, 에탄올 표면 기능화 금 및 CdS 클러스터 포획
옥타데실트리클로로실란 (OTS, CH3(CH2)17-SiCl3) 1.2 ㎚ 두께 SAM/헥산 마이크로제작용 에칭 레지스트 에칭제: HF/NH4F (pH~2).Appl. Phys. Lett.70, 1953 (1997)
APTS, 3-(2-아미노에틸아미노)프로필트리메톡시실란/물 표면 기능화 나노미터 크기 금 클러스터 포획.Appl. Phys. Lett.70, 2759 (1997)
실시예 3:코팅된 팁을 이용한 원자력 현미경법
상기 언급한 바와 같이, AFM이 공기중에서 조작될 때, 수분은 팁과 표면 사이에서 응축되어 팁의 표면을 가로질러 스캐닝됨과 동시에 모세관 현상에 의해 수송된다. 예를 들면, 문헌[Piner 등,Langmuir13,6864-6868 (1997)]을 참조한다. 특히, 이와 같이 충전된 모세관, 및 상기 모세관과 관련된 모세관력은 AFM의 조작을 상당히 방해하며, 특히 측방력 방식으로 구동시킬 때 그러하다. 예를 들면, 문헌[Noy 등,J Am. Chem. Soc.117, 7943-7951 (1995); Wilbur 등,Langmuir11, 825-831 (1995)]을 참조한다. 공기중에서, 팁과 샘플 사이의 모세관력은 화학적 결합력보다 대략 10배 정도 크다. 따라서, 모세관력은 샘플의 구조 및 영상화 과정에 실질적으로 영향을 끼칠 수 있다. 설상가상으로, 이러한 효과의 크기는 팁과샘플의 상대적 소수성, 상대 습도 및 스캔 속도를 비롯한 다양한 변수에 따라 달라질 것이다. 이러한 이유로, 많은 연구 그룹들은 상기 효과가 더욱 균일하고 재현가능한 용액 세포에서 작업하기로 결정하였다. 예를 들면, 문헌[Frisbie 등,Science265, 2071-2074 (1994); Noy 등,Langmuir14, 1508-1511 (1998)]을 참조한다. 그러나, 이는 AFM의 사용시 거북함을 느끼게 하고, 용매가 영상화될 재료의 구조에 영향을 끼칠 수 있다. 예를 들면, 문헌[Vezenov 등,J. Am. Chem. Soc.119, 2006-2015 (1997)]을 참조한다. 따라서, 모세관 효과가 감소 또는 제거된 상태로 공기중에서 영상화할 수 있는 다른 방법이 바람직할 것이다.
본 실시예는 그러한 방법 중 하나를 기재한다. 이 방법은 1-도데실아민의 물리적 흡착층으로 질화규소 AFM 팁을 변형시키는 것과 관련되어 있다. 그러한 팁은 모세관력을 실질적으로 감소시키고 더 높은 해상도를 제공함으로써 (특히, 연질 재료에서) 공기중에서 LFM을 수행하는 능력을 향상시켜준다.
본 실시예에 기재된 모든 데이타는 AFM/LFM 헤드가 장착된 파크 사이언티픽 모델 (Park Scientific Model) CP AFM을 이용하여 수득한 것이다. 캔티레버 (모델 번호 MLCT-AUNM)는 파크 사이언티픽사에서 얻었고, 이는 금으로 코팅된 마이크로레버, 질화규소 팁, 캔티레버 A, 스프링 상수 = 0.05 N/m를 가진다. AFM을 건조 질소 퍼지 선으로 변형된 파크 진동 단리 챔버에 올려놓았다. 또한, 챔버 내부에 놓인 전자 습도계를 이용하여 습도 (12 내지 100%의 범위 ±5%)를 측정하였다. 백운모 녹색 운모는 테드 펠라사 (Ted Pella, Inc.)에서 얻었다. 소다 석회 유리 현미경 슬라이드는 피셔 (Fisher)사에서 얻었다. 직경이 0.23±0.002 ㎛인 폴리스티렌구체는 폴리사이언스 (Polysciences)사에서 구입하였고, 실리콘상의 Si3N4는 MCNC MEMS 테크놀로지 어플리케이션 센터에서 얻었다. 1-도데실아민(99+%)은 알드리치 케미칼사 (Aldrich Chemical Inc.)에서 구입하여 추가 정제없이 사용하였다. 아세토니트릴 (A.C.S. 등급)은 피셔 사이언티픽 이스트러먼츠사 (Fisher Scientific Instruments, Inc.)에서 구입하였다.
1-도데실아민으로 AFM 팁을 코팅하는 2가지 방법을 조사하였다. 첫번째 방법은 1-도데실아민으로 에탄올 또는 아세토니트릴을 포화시킨 후, 이 용액의 소적을 유리 기판상에 침적시키는 것을 포함한다. 건조시 1-도데실아민은 유리 기판상에 미세결정질 상을 형성하였다. AFM 팁상에 1-도데실아민을 로딩하기 위해, 상기 미세결정질 상을 가로질러 반복적으로 팁을 스캐닝(∼5Hz의 스캔 속도)하였다. 이 방법이 간단하기는 하지만, 기판에서 팁으로 수송되는 1-도데실아민의 양을 조절하기 어렵기 때문에 팁에 최상의 상태로 로딩되지 않았다.
더 좋은 방법은 도데실아민을 용액에서 AFM 캔티레버로 직접 수송하는 것이었다. 이 방법은 팁에 어떠한 잔류 오염물이라도 제거하기 위해 AFM 캔티레버 및 팁을 아세토니트릴 중에 몇분 동안 침지시키는 것을 포함하였다. 그 후에 팁을 약 30초 동안 ∼5 mM의 1-도데실아민/아세토니트릴 용액에 침지시켰다. 다음 단계로, 팁을 압착 프레온으로 취입 건조시켰다. 통상, 이 절차를 수회 반복하는 것은 최상의 결과를 얻게 하였다. 1-도데실아민은 질화규소 팁 상에 화학적으로 흡착되기 보다는 물리적으로 흡착된다. 더욱이, 도데실아민은 벌크 질화규소의 경우와 같이아세토니트릴로 팁을 세정함으로써 제거될 수 있다. 예를 들면, 문헌[Benoit 등 Microbeam and Nanobeam Analysis; Springer Verlag, (1996)]을 참조한다. 이러한 방법으로 팁을 변형시키면, 하기 기재되는 여러 가지 실험에 의해 증명되는 바와 같이 대기의 수분 응축으로 인하여 모세관 효과가 상당히 감소한다.
우선, AFM의 측방력 검출기와 직접 연결된 디지털 오실로스코프를 이용하여 시간에 대한 함수로서 측방력 출력신호를 기록하였다. 이 실험에서, 팁이 오른쪽 에서 왼쪽으로 스캐닝되는 경우에 비해 왼쪽에서 오른쪽으로 스캐닝될 때 마찰력의 방향이 바뀌었다. 따라서, LFM 검출기의 출력신호는 팁의 스캔 방향이 바뀔 때마다 극성이 바뀌었다. 하나 이상의 AFM 래스터 스캔을 기록하면, 검출기의 출력신호 형태는 도 4A-B와 같이 방형파의 형태였다. 방형파의 높이는 샘플에 대한 팁의 미끄럼 마찰 (sliding friction)에 정비례하기 때문에, 거의 동일한 스캐닝 조건 및 환경 조건하에서 단순히 방형파의 높이를 비교함으로써, 변형되지 않은 팁과 유리 기판 사이의 마찰력을 변형된 팁과 유리 기판 사이의 마찰력과 비교할 수 있다.
팁/샘플의 마찰력은 변형되지 않은 팁보다 변형된 팁에서 계수 3 이상만큼 더 적었다. 이 실험을 운모 기판에서 반복하였으며, 이 때 유사한 마찰 감소가 관찰되었다. 통상, 이러한 방법으로 상기 조건에서 측정된 마찰의 감소는, 기판 및 상대 습도와 같은 환경 조건에 따라 변형된 팁에서 계수 3 내지 계수 10 초과의 범위만큼 더 적었다.
이 실험으로 AFM 팁을 1-도데실아민으로 처리하면 마찰이 저하됨을 알 수 있기는 하지만, 물 및 모세관력이 중요한 인자라는 것을 증명하지는 못했다. 또다른실험에서, 수분의 모세관 수송에 대한 1-도데실아민의 효과는 조사되지 않았다. 변형되지 않은 팁을 포함하는 수분 수송에 대한 상세한 내용은 다른 문헌에서도 논의되었다. 예를 들면, 문헌[Piner 등,Langmuir13, 6864-6868 (1997)]을 참조한다. 샘플을 가로질러 AFM 팁을 스캐닝했을 때, 도 5A에 나타난 바와 같이 모세관 작용에 의해 수분이 샘플로 수송되었다. 소다 유리 기판의 4 ㎛×5 ㎛ 영역을 몇분 동안 스캐닝한 후, 인접하는 수분 부가층 (adlayer)을 기판상에 침적시키고, 스캔 크기를 증가시켜 LFM에 의해 영상화하였다. 도 5A에 나타난 바와 같이, 수분이 침적된 저마찰 영역은 패인팅되지 않은 영역에 비해 어둡게 나타났다. 1-도데실아민으로 코팅된 팁을 이용하여 수행된 동일한 실험에서는, 도 5B에 나타난 바와 같이 실질적인 수분 수송의 증거가 없었다. 더욱이, 마찰에서의 무작위적 변수만이 관찰되었다.
이들 실험은 마찰이 감소하고 모세관 작용에 의한 팁에서 기판으로의 수분 수송이 1-도데실아민으로 팁을 코팅함으로써 억제될 수 있음을 보여주기는 하지만, 변형된 팁의 해상도에 대한 정보를 제공하지는 못했다. 운모는 이러한 항목을 평가하기 위한 훌륭한 기판이고, 더욱이 변형된 팁을 이용하여 격자 해상된 영상을 쉽게 수득할 수 있었으며, 이는 도 6A에 나타난 바와 같이 상기와 같은 변형 과정이 팁을 무디게 하지 않으면서 마찰력을 감소시킨다는 것을 증명한다. 영상화에 관여하는 팁의 일부가 그 자체의 팁인지 그 위에 1-도데실아민의 층을 갖는 팁인지 결정하는 것은 불가능했다. 사실상, 1-도데실아민의 층은 Si3N4자체를 노광시키는팁의 일부로부터 기계적으로 제거되는 것 같다. 어쨌든, 수분이 접촉점을 둘러싸는 모세관의 충전을 억제하여 모세관 효과가 감소되었기 때문에 (상기 참조), 팁의 나머지 부분은 그 위에 도데실아민의 소수성 층을 가져야 했다.
AFM의 원자 규모의 영상화 능력은 팁의 1-도데실아민 코팅에 의해 부작용이 생기지는 않지만, 상기 실험이 더 큰 규모의 형태학적 데이타를 얻는데 상기 팁의 적합성에 대한 유용한 정보를 제공하지 못했다. 그러한 정보를 얻기 위해, 단순분산된 0.23 ㎛ 직경의 라텍스 구체의 샘플을 변형된 팁과 변형되지 않은 팁으로 영상화하였다. AFM에 의해 기록된 토포그래피 (topography)는 팁의 형상과 샘플 형상의 컨볼루션 (convolution)이기 때문에, 팁 형상의 어떠한 변화도 라텍스 구체의 영상화된 토포그래피에 변화를 반영할 것이다. 도 7A-B에 나타난 바와 같이, 변형되지 않은 팁과 변형된 팁을 이용하여 각각 수득한 영상에서는 어떠한 검출가능한 차이도 발견되지 않았다. 이는 금속성 코팅이 팁으로 증발된 경우에 나타나는 것에 비해 팁의 형상이 현저하게 변하지 않았음을 의미한다. 게다가, 이는 1-도데실아민 코팅이 팁의 표면상에서 매우 균일했으며, 원자 규모의 영상화에 부작용이 생기지 않을만큼 만족할만한 형상이었음을 시사한다.
중요한 논의점은 연질 재료의 영상화에 있어서 변형된 팁의 성능에 관한 것이다. 통상, 화학적으로 변형된 팁이 그 자체의 팁에 비해 성능이 개선된 것인지 아닌지 결정하는 것은 어렵다. 이는 화학적 변형이 종종 중간층의 침적을 필요로하는 비가역적 과정이기 때문이다. 그러나, 본원에 기록된 변형 과정은 1-도데실아민의 물리적 흡착층을 기재로 하기 때문에, 변형 전, 변형 후, 및 세정하여 1-도데실아민이 제거된 팁의 성능을 비교하는 것이 가능했다. 정량적으로, 1-도데실아민으로 변형된 팁은 알칸티올 기재의 단일층 및 다양한 기판상에 침적된 유기 결정의 영상화에 있어서 항상 상당한 향상을 제공하였다. 예를 들어, Au(111) 표면상에 자체회합된 소수성 11-머캅토-1-운데칸올의 격자 해상된 영상은 도 6B에 나타낸 바와 같이 변형된 팁으로 용이하게 수득되었다. 격자는 변형되지 않은 동일한 AFM 팁으로 해상될 수 없었다. 이 표면에서, 코팅된 팁은 방형파 분석 (상기 참조)시 계수 5 이상의 마찰 감소가 나타났다. OH-말단 SAM은 친수성이며, 따라서 깨끗한 팁에 대한 강한 모세관 인력을 가진다는 것을 알아야 한다. 팁의 변형에 의한 모세관력의 감소는 격자의 영상화를 가능하게 한다.
향상된 해상도에 대한 두번째 실시예는 운모상에 응축된 수분과 같이 자유롭게 정지된 액체 표면의 영상화에 관한 것이다. 30 내지 40%의 습도에서, 운모상의 수분이 2가지 상을 갖는다는 것은 잘 공지되어 있다. 예를 들면, 문헌[Hu 등,Science268, 267-269 (1995)]을 참조한다. 상기 연구 그룹에 의한 선행 작업에서, 비접촉 방식의 스캐닝 극성화력 현미경(SPFM; scanning polarization force microscope)을 이용하여 이들 상을 영상화하였다. 프로브 팁이 운모에 접촉할 때, 강한 모세관력에 의해 수분이 팁을 적시게 되어 운모상의 수분 응축이 강력히 방해된다는 것을 발견하였다. 수분의 2가지 상을 영상화할 수 있도록 모세관 효과를 감소시키기 위해, 팁을 표면으로부터 ∼20 nm 떨어지게 하였다. 이러한 압박으로 인해, 접촉 방식의 스캐닝 프로브 기술로는 상기와 같은 상을 영상화할 수 없다. 도 6C-D는 30% 습도에서 1-도데실아민으로 변형된 팁을 이용하여 접촉 방식에서 기록된 운모상의 수분의 2가지 상에 대한 영상을 보여준다. 형상의 높이 (도 6C)는 마찰 지도 (도 6D)에 상응했으며, 형상의 높이가 높을수록 마찰이 적었다. 팁상의 1-도데실아민의 균일함과 상관관계가 있는 것으로 생각되는 변형된 팁의 질은 중요했다. 단지 잘 변형된 팁만이 수분의 2가지 상에 대한 영상화를 가능하게 한 반면, 변형 정도가 낮은 팁을 이용하면 질이 낮은 영상이 나타났다. 사실상, 이는 다른 샘플에 대해 과정을 수행하기 이전에 1-도데실아민으로 변형된 팁의 질을 측정하기 위해 사용되는 진단적 지시제로서 이용될 수 있을 정도로 민감한 시험이었다.
결론적으로, 본 실시예는 매우 간단하지만 매우 유용한, Si3N4AFM 팁을 소수성으로 만드는 방법을 기재하고 있다. 이 변형 절차는 모세관력을 감소시키고, 공기중에서 AFM의 성능을 향상시킨다. 중요하게도, 이 방법은 AFM 팁의 형상에 부작용이 없으며, SAM 및 심지어 고형 지지체상에 자유롭게 정지된 수분과 같은 연질 재료를 비롯한 친수성 기판의 격자 해상된 영상을 얻을 수 있게 해준다. 용액 세포가 모세관력의 영향을 감소시킬 수 있음에도 불구하고 연질 재료의 구조는 용매에 의해 상당히 영향을 받기 때문에, 공기중에서 그러한 정보를 얻게 해주는 방법론상의 개발은 매우 중요하다. 예를 들면, 문헌[Vezenov 등,J. Am. Soc.119, 2006-2015 (1997)을 참조한다. 결국, 우선 AFM 팁을 금속층으로 코팅한 후 화학흡착된 소수성 유기층으로 금속층을 유도체화하여 상기 팁을 더욱 소수성으로 만드는 것이 가능함에도 불구하고, AFM 팁을 무디게 하지 않으면서 그렇게 하는 것은 어렵다.
실시예 4:다중 성분 "딥 펜" 나노리소그래피
화학적으로 별개의 재료로 구성된, 나노 규모의 리소그래피에 의해 생성된 패턴을 배열할 수 없다는 것은 고체 상태 및 분자 기재의 나노 전자공학의 개발을 제한하는 문제점이다. 예를 들면, 문헌[Reed 등,Science278, 252 (1997); Feldheim 등,Chem. Soc. Rev.27, 1 (1998)]을 참조한다. 이러한 문제점의 1차적인 이유는 많은 리소그래피의 과정이 1) 마스킹 또는 스탬핑 절차에 의존적이고, 2) 레지스트 층을 사용하며, 3) 심각한 써말 드리프트 (thermal drift) 문제에 직면해 있고, 4) 광학에 기초한 패턴 배열에 의존적이기 때문이다. 예를 들면, 문헌[Campbell, The Science and Engineering of Microelectronic Fabrication (Oxford Press); Chou 등,Appl. Phys. Lett.67, 3114 (1995); Wang 등,Appl. Phys. Lett.70, 1593 (1997); Jackman 등,Science269, 664 (1995); Kim 등,Nature376, 581 (1995); Schoer 등,Langmuir13, 2323 (1997); Whelan 등,Appl. Phys. Lett.69, 4245 (1996); Younkin 등,Appl. Phys. Lett.71, 1261 (1997); Bottomley,Anal. Chem.70, 425R. (1998); Nyffenegger and Penner,Chem. Rev.97, 1195 (1997); Berggren, 등,Science269, 1255 (1995); Sondag-Huethorst 등,Appl. Phys. Lett.64, 285 (1994); Schoer and Crooks,Langmuir13, 2323 (1997); Xu and Liu,Langmuir13, 127 (1997); Perkins, 등,Appl. Phys. Lett.68, 550 (1996); Carr, 등,J. Vac. Sci. Technol. A15, 1446 (1997); Sugimura 등,J. Vac. Sci. Technol. A14, 1223 (1996); Komeda 등,J. Vac. Sci. Technol.A16, 1680 (1998); Muller 등,J. Vac. Sci. Technol. B13, 2846 (1995); 및 Kim and M. Lieber,Science257, 375 (1992)]을 참조한다.
형상의 크기에 있어서, 레지스트 기재의 광학적 방법이 >100 nm 폭의 선 및 공간적 해상도 체계에서 연질 또는 고체 상태의 많은 재료를 재현가능하게 패터닝하는 반면, 전자빔 리소그래피 방법은 10 내지 200 nm 규모의 패터닝을 가능하게 한다. 연질 리소그래피의 경우, 전자빔 리소그래피 및 광학적 방법은 레지스트 층, 및 성분 분자로 에칭된 영역의 재충전에 의존적이다. 이러한 간접적인 패터닝 방법은 생성된 구조의 화학적 순도를 손상시키며, 패터닝될 수 있는 재료의 유형을 제한한다. 게다가, 하나 이상의 물질이 리소그래피에 의해 패터닝될 때, 이 기술에 이용된 광학 기초의 패턴 배열 방법은 공간적 해상도를 약 100 nm로 제한한다.
본 실시예는 DPN에 의한 다중 성분 나노구조의 생성에 대해 기재하며, 2가지 상이한 연질 재료의 패턴이 이 기술에 의해 독단적인 방식으로 거의 완벽한 배열 및 10 nm의 공간 해상도로 생성될 수 있음을 보여준다. 이러한 결과는 연질 구조를 생성, 배열 및 서로 조절하는 분자 기재의 전자공학 및 종래의 거시적으로 접근가능한 미세 전자공학적 회로에 관심있는 사람들에게 많은 방법을 공개해야 한다.
달리 한정되지 않는 한, DPN은 종래의 기계(Park Scientific CP AFM) 및 캔티레버(Park Scientific Microlever A)를 이용하여 원자적으로 평평한 Au(111) 기판상에서 수행하였다. 원자적으로 평평한 Au(111) 기판은, 우선 운모 조각을 120℃의 진공에서 12시간 동안 가열하여 가능한 수분을 제거한 후, 30 nm의 금을 220℃의 진공에서 운모 표면에 열증발시켜 제조하였다. 원자적으로 평평한 Au(111)기판을 이용하면, 15 nm 폭의 선이 침적될 수 있다. 피에조 튜브 드리프트 (piezo tube drift) 문제를 예방하기 위해, 폐쇄 루프 스캔 조절기가 장착된 100 ㎛ 스캐너 (Park Scientific)를 모든 실험에 이용하였다. 패터닝 화합물을 실시예 1에 기재된 바와 같이 팁상에 코팅시키거나 (용액중의 침지) 기상 증착 (액체 및 저융점 고체의 경우)에 의해 코팅하였다. 기상 증착은 질화규소 캔티레버를 100 mL의 반응 용기에서 패터닝 화합물 (ODT) 위 1 cm에 현탁시켜 수행하였다. 이 시스템을 폐쇄하고, 60℃에서 20분 동안 가열한 후, 코팅된 팁을 사용하기 전에 상온으로 냉각시켰다. 용액중의 침지 또는 기상 증착에 의한 코팅 전 및 후의 팁에 대한 SEM 분석은 패터닝 화합물이 팁에 균일하게 코팅되었음을 보여주었다. 팁상의 균일한 코팅은 제어된 방식으로 패터닝 화합물을 기판에 침적시켜 주는 것 뿐만 아니라 질이 높은 영상을 수득하게 해준다.
DPN은 나노구조를 형성하기 위해 사용한 것과 동일한 수단으로 상기 나노구조의 영상화를 가능하게 하기 때문에, 상이한 연질 재료로 만들어진 훌륭한 레지스트리의 나노구조를 생성하는 것이 가능하리라는 전망이 있었다. DPN에 의해 레지스트리에서 다중 패턴을 생성하는 것에 대한 기본적인 아이디어는 배열 마스크에 의존하는 전자빔 리소그래피에 의해 다중 성분 구조를 생성하는 전략과 유사하다. 그러나, DPN 방법은 배열 마스크를 편재하는데 레지스트나 광학적 방법을 이용하지 않는다는 점에서 2가지 독특한 잇점을 갖는다. 예를 들어, DPN을 이용하면, MHA-코팅된 팁을 10초 동안 Au(111) 표면과 접촉 (0.1 nN)된 상태로 유지함으로써 Au(111) 면이 있는 기판 (원자적으로 평평한 Au(111) 기판에 대해 상기 기재된 바와 동일하게 제조됨)상에 1,16-머캅토헥사데카노산 (MHA)의 15 nm 직경의 자체회합된 단일층(SAM; self-assembled monolayer) 점(dot)를 생성할 수 있다 (도 9A 참조). 스캔 크기를 증가시킴으로써, 패터닝된 점은 측방력 현미경법 (LFM)에 의해 동일한 팁으로 영상화된다. SAM 및 그 자체의 금은 습윤성이 매우 다르기 때문에, LFM은 훌륭한 콘트라스트를 제공한다. 예를 들면, 문헌[Wilbur 등,Langmuir11, 825 (1995)]을 참조한다. 제1 패턴의 위치에 기초하여, 부가 패턴의 좌표가 결정 (도 9B 참조)될 수 있으며, 이는 MHA 점의 제2 패턴의 정확한 배치를 가능하게 한다. 점의 균일성을 유념해야 하며 (도 9A), 제2 패턴에 대한 제1 패턴의 최대 배열 오차는 10 nm 미만이어야 함을 주의해야 한다 (도 9C의 오른쪽 윗부분 가장자리 참조). 도 9A 및 9C 사이에서 데이타를 생성하는데 경과된 시간은 10분이었으며, 이는 DPN이 환경의 적당한 조절하에 주변 조건에서 공간 및 패턴 배열 해상도가 10 nm보다 더 양호한 상태로 유기 단일층의 패터닝에 사용될 수 있음을 의미한다.
다중 패터닝 화합물로 패터닝하기 위한 방법은 상기 기재된 실험에 대한 부가적인 변형을 필요로 했다. MHA SAM 점 패턴이 패터닝 화합물로 코팅된 팁을 이용하여 영상화되었기 때문에, 영상화하는 동안 소량의 검출 불가능한 패터닝 화합물이 침적된 것 같다. 이는 DPN의 일부 적용분야, 특히 전자적 측정을 다루는 분야에서 분자 기재의 구조에 상당한 영향을 끼칠 수 있다. 이 문제점을 극복하기 위해, MHA로 코팅된 팁을 이용하여 만들어진 마이크론 규모의 배열 마스크 (도 10A의 십자선)를 사용하여 Au 기판상의 본래의 영역에 나노구조를 정확하게 위치하게 하였다. 통상적인 실험에서, MHA로 구성되고 190 nm 분리된 50 nm의 평행한 선의최초 패턴을 제조하였다 (도 10A 참조). 이 패턴은 외부 배열 마스크로부터 2 ㎛ 떨어져 있었다. 이들 선의 영상은 패터닝된 영역의 오염을 피하기 위해 영상화하지 않았다는 것을 알아야 한다. 이후에, MHA로 코팅된 팁을 ODT로 코팅된 팁으로 대체하였다. 이 팁은 배열 마스크를 편재하기 위해 사용되었으며, 배열 마스크의 위치에 기초하여 미리 계산된 좌표 (도 10B)를 이용하여 평행한 ODT SAM 선의 제2 세트로 기판을 패터닝하였다 (도 10C 참조). 이들 선이 서로 맞물리는 방식으로, MHA SAM 선의 제1 세트에 대해 거의 완벽한 레지스트리로 위치했다는 것을 알아야 한다 (도 10C 참조).
DPN의 독특한 능력중 하나를 "덮어쓰기 (overwriting)"라 부른다. 덮어쓰기는 어떤 패터닝 화합물로 연질 구조를 생성한 후, 본래의 나노구조를 가로질러 제2 유형의 패터닝 화합물로 이를 충전하는 것과 관련되어 있다. 다중 패터닝 화합물, 높은 레지스트리, 및 적당히 넓은 영역에 걸친 DPN의 덮어쓰기 능력을 입증하기 위한 구상 실험의 추가 시험으로서, MHA로 코팅된 팁을 이용하여 100 nm 폭의 선으로 기하구조 3개 (삼각형, 사각형 및 오각형)를 생성하였다. 이후에, 팁을 ODT로 코팅된 팁으로 교체하고, 본래의 나노구조를 포함하는 10 ㎛×8.5 ㎛의 영역을 기판을 가로지른 20회의 래스터 스캐닝 (접촉력 ∼0.1nN)에 의해 ODT로 코팅된 팁으로 덮어썼다 (도 11의 어두운 부분). 이 실험에서 수송 매질로서 수분을 사용했고, 이 실험에 사용된 패터닝 화합물의 수용성은 매우 낮기 때문에, 나노구조를 생성하기 위해 사용된 분자와 노광된 금에 덮어쓰는데 사용된 분자 사이에 본질적으로는 검출가능한 변화가 없었다 (도 11).
요컨데, DPN의 고해상도 다중 패터닝 화합물 레지스트레이션 능력이 입증되었다. 원자적으로 평평한 Au(111) 표면에서, 공간 해상도가 10 nm보다 더 양호한 15 nm의 패턴이 생성되었다. 무정형 금과 같은 거친 표면에서도, 공간 해상도는 연질 재료의 패터닝을 위한 종래의 광-리소그래피 방법 및 전자빔 리소그래피 방법보다 더욱 우수했다.

Claims (45)

  1. 기판을 제공하고;
    스캐닝 프로브 현미경 팁을 제공하고;
    상기 팁을 패터닝 화합물로 코팅하고;
    상기 화합물이 기판에 도포되어 원하는 패턴을 형성하도록 상기 코팅된 팁을 기판에 접촉시키는
    것을 포함하는 나노리소그래피 방법.
  2. 제1항에 있어서, 상기 기판이 금이고, 상기 패터닝 화합물이 단백질 또는 펩티드이거나 화학식 R1SH, R1SSR2, R1SR2, R1SO2H, (R1)3P, R1NC, R1CN, (R1)3N, R1COOH 또는 ArSH {여기서,
    R1및 R2는 각각 화학식 X(CH2)n을 갖고, 화합물이 R1및 R2모두로 치환되면, R1및 R2는 동일하거나 상이할 수 있으며;
    n은 0 내지 30이며;
    Ar은 아릴이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌(fullerene) C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}를 갖는 것인 방법.
  3. 제2항에 있어서, 상기 패터닝 화합물이 화학식 R1SH 또는 ArSH를 갖는 것인 방법.
  4. 제3항에 있어서, 상기 패터닝 화합물이 프로판디티올, 헥산디티올, 옥탄디티올, n-헥사데칸티올, n-옥타데칸티올, n-도코산티올, 11-머캅토-1-운데칸올, 16-머캅토-1-헥사데칸산, α,α'-p-크실릴디티올, 4,4'-비페닐디티올, 테르페닐디티올 또는 DNA-알칸티올인 방법.
  5. 제1항에 있어서, 상기 기판이 알루미늄, 비소화갈륨 또는 이산화티탄이고, 상기 패터닝 화합물이 화학식 R1SH {여기서,
    R1은 화학식 X(CH2)n을 갖고,
    n은 0 내지 30이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌 C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}을 갖는 것인 방법.
  6. 제5항에 있어서, 상기 패터닝 화합물이 2-머캅토아세트산 또는 n-옥타데칸티올인 방법.
  7. 제1항에 있어서, 상기 기판이 이산화규소이고, 상기 패터닝 화합물이 단백질 또는 펩티드이거나 화학식 R1SH 또는 R1SiCl3{여기서,
    R1은 화학식 X(CH2)n을 갖고,
    n은 0 내지 30이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌 C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}을 갖는 것인 방법.
  8. 제7항에 있어서, 상기 패터닝 화합물이 16-머캅토-1-헥사데칸산, 옥타데실트리클로로실란 또는 3-(2-아미노에틸아미노)프로필트리메톡시실란인 방법.
  9. 제1항에 있어서, 팁을 패터닝 화합물 용액과 1회 이상 접촉시킴으로써 상기 팁을 패터닝 화합물로 코팅하는 방법.
  10. 제9항에 있어서, 상기 팁을 패터닝 화합물 용액으로부터 제거할 때마다 건조시키는 것을 추가로 포함하며, 상기 건조된 팁을 기판과 접촉시켜 원하는 패턴을 형성하는 방법.
  11. 제9항에 있어서, 상기 팁을 마지막회를 제외하고는 패터닝 화합물 용액으로부터 제거할 때마다 건조시켜, 원하는 패턴을 형성하기 위해 기판과 접촉시킬 때 여전히 젖은 상태로 있도록 하는 것을 추가로 포함하는 방법.
  12. 제9항에 있어서,
    팁을 기판에 패턴을 도포하기 위해 사용한 후 세정하고;
    상기 팁을 상이한 패터닝 화합물로 코팅하며;
    상기 코팅된 팁을, 패터닝 화합물이 기판에 도포되어 원하는 패턴을 형성하도록 기판과 접촉시키는 것을 추가로 포함하는 방법.
  13. 제12항에 있어서, 상기 세정, 코팅 및 접촉 단계를 원하는 패턴(들)을 제조하기 위해 필요한 만큼 많은 상이한 패터닝 화합물을 사용하여 반복하는 방법.
  14. 제13항에 있어서, 하나의 패턴을 다른 패턴(들)에 대해 배열시키기 위한 배치 시스템을 제공하는 것을 추가로 포함하는 방법.
  15. 제1항에 있어서, 다수의 팁을 제공하는 방법.
  16. 제15항에 있어서, 상기 다수의 팁을 각각 동일한 패터닝 화합물과 접촉시키는 방법.
  17. 제15항에 있어서, 상기 다수의 팁을 다수의 패터닝 화합물과 접촉시키는 방법.
  18. 제15항에 있어서, 상기 각 팁이 다른 팁(들)과 동일한 패턴을 만드는 방법.
  19. 제18항에 있어서, 하나의 패턴을 다른 패턴(들)에 대해 배열시키기 위한 배치 시스템을 제공하는 것을 추가로 포함하는 방법.
  20. 제15항에 있어서, 적어도 하나의 팁이 다른 팁(들)에 의해 만들어진 것과는다른 패턴을 만드는 방법.
  21. 제20항에 있어서, 하나의 패턴을 다른 패턴(들)에 대해 배열시키기 위한 배치 시스템을 제공하는 것을 추가로 포함하는 방법.
  22. 제1항에 있어서, 상기 팁을 제1 패터닝 화합물로 코팅하여, 기판 상에 이미 도포되어 있는, 상기 제1 패터닝 화합물과 반응하거나 안정하게 결합할 수 있는 제2 패터닝 화합물의 일부 또는 전부에 상기 제1 패터닝 화합물을 도포하기 위해 사용하는 방법.
  23. 제1항에 있어서, 패터닝 화합물의 물리적 흡착을 증강시키기 위해 패터닝 화합물로 코팅하기 전에 팁을 처리하는 것을 추가로 포함하는 방법.
  24. 제23항에 있어서, 상기 팁을 패터닝 화합물의 물리적 흡착을 증강시키기 위해 얇은 고체 부착층으로 코팅하는 방법.
  25. 제24항에 있어서, 상기 팁을 티탄 또는 크롬으로 코팅하여 얇은 고체 부착층을 형성하는 방법.
  26. 제23항에 있어서, 상기 패터닝 화합물이 수용액 중에 존재하고, 패터닝 화합물의 물리적 흡착을 증강시키기 위해 상기 팁을 친수성이 되도록 처리하는 방법.
  27. 제1항 내지 제26항 중 어느 한 항에 있어서, 상기 팁이 원자력 현미경 팁인 방법.
  28. 제1항 내지 제26항 중 어느 한 항의 방법에 의해 패턴이 형성된 기판.
  29. 기판; 및
    스캐닝 프로브 현미경 팁을 포함하는 나노리소그래피용 키트.
  30. 제29항에 있어서, 상기 팁이 원자력 현미경 팁인 키트.
  31. 제29항 또는 제30항에 있어서, 다수의 팁을 포함하는 키트.
  32. 제29항에 있어서, 각각 패터닝 화합물을 보유하는 하나 이상의 용기를 추가로 포함하는 키트.
  33. 제32항에 있어서, 상기 기판이 금이고, 상기 패터닝 화합물이 단백질 또는 펩티드이거나 화학식 R1SH, R1SSR2, R1SR2, R1SO2H, (R1)3P, R1NC, R1CN, (R1)3N, R1COOH또는 ArSH {여기서,
    R1및 R2는 각각 화학식 X(CH2)n을 갖고, 화합물이 R1및 R2모두로 치환되면, R1및 R2는 동일하거나 상이할 수 있으며;
    n은 0 내지 30이며;
    Ar은 아릴이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌 C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}를 갖는 것인 키트.
  34. 제33항에 있어서, 상기 패터닝 화합물이 화학식 R1SH 또는 ArSH를 갖는 것인 키트.
  35. 제34항에 있어서, 상기 패터닝 화합물이 프로판디티올, 헥산디티올, 옥탄디티올, n-헥사데칸티올, n-옥타데칸티올, n-도코산티올, 11-머캅토-1-운데칸올, 16-머캅토-1-헥사데칸산, α,α'-p-크실릴디티올, 4,4'-비페닐디티올, 테르페닐디티올 또는 DNA-알칸티올인 키트.
  36. 제32항에 있어서, 상기 기판이 알루미늄, 비소화갈륨 또는 이산화티탄이고, 상기 패터닝 화합물이 화학식 R1SH {여기서,
    R1은 화학식 X(CH2)n을 갖고,
    n은 0 내지 30이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌 C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}을 갖는 키트.
  37. 제36항에 있어서, 상기 패터닝 화합물이 2-머캅토아세트산 또는 n-옥타데칸티올인 키트.
  38. 제32항에 있어서, 상기 기판이 이산화규소이고, 상기 패터닝 화합물이 단백질 또는 펩티드이거나 화학식 R1SH 또는 R1SiCl3{여기서,
    R1은 화학식 X(CH2)n을 갖고,
    n은 0 내지 30이며;
    X는 -CH3, -CHCH3, -COOH, -CO2(CH2)mCH3, -OH, -CH2OH, 에틸렌 글리콜, 헥사(에틸렌 글리콜), -O(CH2)mCH3, -NH2, -NH(CH2)mNH2, 할로겐, 글루코즈, 말토즈, 풀러렌 C60, 핵산, 단백질 또는 리간드이며;
    m은 0 내지 30임}을 갖는 것인 키트.
  39. 제38항에 있어서, 상기 패터닝 화합물이 16-머캅토-1-헥사데칸산, 옥타데실트리클로로실란 또는 3-(2-아미노에틸아미노)프로필트리메톡시실란인 키트.
  40. 원자력 현미경 (AFM) 팁을 제공하고;
    상기 AFM 팁을 코팅된 AFM 팁을 사용하는 AFM 영상화가 코팅되지 않은 동일한 팁을 사용하는 AFM 영상화에 비해 개선되도록 선택된 소수성 화합물과 접촉시켜 AMF 팁을 상기 소수성 화합물로 코팅시키며;
    상기 코팅된 팁을 사용하여 공기 중에서 AFM 영상화를 수행하는 것을 포함하는, 공기 중에서 원자력 현미경 (AFM) 영상화를 수행하는 방법.
  41. 제40항에 있어서, 상기 소수성 화합물이 화학식 R4NH2{여기서, R4는 화학식 CH3(CH2)n의 알킬 또는 아릴이고; n은 0 내지 30임}을 갖는 것인 방법.
  42. 제41항에 있어서, 상기 소수성 화합물이 1-도데실아민인 방법.
  43. 코팅된 원자력 현미경 (AFM) 팁을 사용하여 공기 중에서 수행한 AFM 영상화가 코팅되지 않은 동일한 팁을 사용하여 수행한 AFM 영상화에 비해 개선되도록 선택된 소수성 화합물로 코팅된 원자력 현미경 (AFM) 팁.
  44. 제43항에 있어서, 화학식 R4NH2{여기서, R4는 화학식 CH3(CH2)n의 알킬 또는 아릴이고; n은 0 내지 30임}을 갖는 소수성 화합물로 코팅된 팁.
  45. 제44항에 있어서, 1-도데실아민으로 코팅된 팁.
KR1020017008631A 1999-01-07 2000-01-07 스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을위한 제품 또는 이 방법에 의해 생산된 제품 KR100668591B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11513399P 1999-01-07 1999-01-07
US60/115,133 1999-01-07
US15763399P 1999-10-04 1999-10-04
US60/157,633 1999-10-04

Publications (2)

Publication Number Publication Date
KR20010110413A true KR20010110413A (ko) 2001-12-13
KR100668591B1 KR100668591B1 (ko) 2007-01-17

Family

ID=26812875

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017008631A KR100668591B1 (ko) 1999-01-07 2000-01-07 스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을위한 제품 또는 이 방법에 의해 생산된 제품

Country Status (12)

Country Link
US (5) US6635311B1 (ko)
EP (1) EP1157407B1 (ko)
JP (2) JP3963650B2 (ko)
KR (1) KR100668591B1 (ko)
CN (2) CN101003355B (ko)
AT (1) ATE488858T1 (ko)
AU (1) AU778568B2 (ko)
CA (1) CA2358215C (ko)
DE (1) DE60045239D1 (ko)
HK (2) HK1041744B (ko)
TW (1) TW473767B (ko)
WO (1) WO2000041213A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100656998B1 (ko) * 2005-02-01 2006-12-13 엘지전자 주식회사 딥펜을 이용한 유기 박막 트랜지스터 소자 제작방법

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002502977A (ja) 1998-02-04 2002-01-29 インビトロジェン コーポレイション マイクロアレイとその使用
US6576478B1 (en) * 1998-07-14 2003-06-10 Zyomyx, Inc. Microdevices for high-throughput screening of biomolecules
US6897073B2 (en) * 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US20020122873A1 (en) 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6635311B1 (en) 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US20030073250A1 (en) * 1999-05-21 2003-04-17 Eric Henderson Method and apparatus for solid state molecular analysis
AU5951201A (en) 2000-05-04 2001-11-12 Univ Yale High density protein arrays for screening of protein activity
WO2002057200A2 (en) * 2000-08-15 2002-07-25 Bioforce Nanosciences, Inc. Nanoscale molecular arrayer
US7887885B2 (en) 2000-10-20 2011-02-15 Northwestern University Nanolithography methods and products therefor and produced thereby
JP3544353B2 (ja) * 2000-11-27 2004-07-21 独立行政法人 科学技術振興機構 金属カルコゲナイド超微粒子の作製方法
WO2003001633A2 (en) * 2001-01-26 2003-01-03 Northwestern University Method and device utilizing driving force to deliver deposition compound
US6817293B2 (en) * 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
US7053173B2 (en) * 2001-04-17 2006-05-30 Japan Science And Technology Agency Polymercaptopolyphenyl and process for preparation thereof
US6642129B2 (en) * 2001-07-26 2003-11-04 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
CA2462833C (en) * 2001-10-02 2012-07-03 Northwestern University Protein and peptide nanoarrays
JP4598395B2 (ja) * 2001-11-30 2010-12-15 ノースウエスタン ユニバーシティ 直接書き込み式ナノリソグラフィーによるナノスケールチップからの核酸の沈着方法
US7361310B1 (en) * 2001-11-30 2008-04-22 Northwestern University Direct write nanolithographic deposition of nucleic acids from nanoscopic tips
ATE423336T1 (de) * 2001-12-17 2009-03-15 Univ Northwestern Strukturierung von solid-state-merkmalen durch nanolithographisches direktschreibedrucken
US6972155B2 (en) * 2002-01-18 2005-12-06 North Carolina State University Gradient fabrication to direct transport on a surface
US7998528B2 (en) * 2002-02-14 2011-08-16 Massachusetts Institute Of Technology Method for direct fabrication of nanostructures
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
EP1509816B1 (en) 2002-05-21 2012-12-26 Northwestern University Electrostatically driven lithography
AU2003300257A1 (en) * 2002-05-21 2004-05-04 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
US20050239193A1 (en) * 2002-05-30 2005-10-27 Bioforce Nanosciences, Inc. Device and method of use for detection and characterization of microorganisms and microparticles
WO2004015772A1 (en) * 2002-08-08 2004-02-19 Nanoink, Inc. Protosubstrates
US7098056B2 (en) * 2002-08-09 2006-08-29 Nanoink, Inc. Apparatus, materials, and methods for fabrication and catalysis
US7005378B2 (en) * 2002-08-26 2006-02-28 Nanoink, Inc. Processes for fabricating conductive patterns using nanolithography as a patterning tool
US8071168B2 (en) * 2002-08-26 2011-12-06 Nanoink, Inc. Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
AU2003267244A1 (en) * 2002-09-17 2004-04-08 Northwestern University Patterning magnetic nanostructures
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate
US7691541B2 (en) * 2002-10-21 2010-04-06 Nanoink, Inc. Methods for additive repair of phase shift masks by selectively depositing nanometer-scale engineered structures on defective phase shifters
US7034854B2 (en) * 2002-11-12 2006-04-25 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
US7182996B2 (en) 2002-11-22 2007-02-27 Florida State University Research Foundation, Inc. Deposting nanowires on a substrate
WO2004060044A2 (en) * 2003-01-02 2004-07-22 Bioforce Nanosciences, Inc. Method and apparatus for molecular analysis in small sample volumes
US7321012B2 (en) 2003-02-28 2008-01-22 The University Of Connecticut Method of crosslinking intrinsically conductive polymers or intrinsically conductive polymer precursors and the articles obtained therefrom
US7217396B2 (en) * 2003-05-05 2007-05-15 The Board Of Trustees Of The University Of Illinois Microfabricated micro fluid channels
US20040228962A1 (en) * 2003-05-16 2004-11-18 Chang Liu Scanning probe microscopy probe and method for scanning probe contact printing
WO2005048283A2 (en) 2003-07-18 2005-05-26 Northwestern University Surface and site-specific polymerization by direct-write lithography
US7547648B2 (en) * 2003-08-20 2009-06-16 Qucor Pty Ltd Fabricating nanoscale and atomic scale devices
WO2005042646A2 (en) * 2003-10-30 2005-05-12 Applied Medical Resources Corporation Surface treatments and modifications using nanostructure materials
JP4295712B2 (ja) 2003-11-14 2009-07-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置製造方法
US20050112505A1 (en) * 2003-11-25 2005-05-26 Huang Wen C. Field-assisted micro- and nano-fabrication method
CN1654230B (zh) * 2004-02-10 2010-05-12 中国科学院上海应用物理研究所 以动态组合模式“蘸笔”纳米刻蚀技术制造纳米图形的方法
US20080138927A1 (en) * 2004-03-11 2008-06-12 The University Of Vermont And State Agricultural College Systems and Methods for Fabricating Crystalline Thin Structures Using Meniscal Growth Techniques
US20050221081A1 (en) * 2004-03-23 2005-10-06 Liu Gang-Yu Stabilization of self-assembled monolayers
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
WO2005115630A2 (en) * 2004-04-30 2005-12-08 Bioforce Nanosciences, Inc. Method and apparatus for depositing material onto a surface
JP4444734B2 (ja) * 2004-06-07 2010-03-31 キヤノン株式会社 微細パターン形成装置
US20050282308A1 (en) * 2004-06-22 2005-12-22 Albrecht Uhlig Organic electroluminescent display device and method of producing the same
US7253409B2 (en) * 2004-07-20 2007-08-07 The Board Of Trustees Of The Leland Stanford Junior University Electrochemical nano-patterning using ionic conductors
US20060242740A1 (en) * 2004-08-11 2006-10-26 California Institute Of Technology Method and device for surfactant activated Dip-Pen Nanolithography
US7541062B2 (en) 2004-08-18 2009-06-02 The United States Of America As Represented By The Secretary Of The Navy Thermal control of deposition in dip pen nanolithography
ATE485536T1 (de) * 2004-08-18 2010-11-15 Us Gov Sec Navy Wärmesteuerung der ablagerung in dpn (dip-pen- nanolithography)
US8261662B1 (en) 2004-11-08 2012-09-11 Nanolnk, Inc. Active pen nanolithography
EP1812714B1 (de) * 2004-11-19 2008-03-26 ebm-papst St. Georgen GmbH & Co. KG Anordnung mit einem luefter und einer pumpe
US20100294147A1 (en) * 2004-12-20 2010-11-25 Nanoink, Inc. Apparatus and methods for preparing identification features including pharmaceutical applications
US20100297027A1 (en) * 2004-12-20 2010-11-25 Nanolnk, Inc. Overt authentication features for compositions and objects and methods of fabrication and verification thereof
US7323699B2 (en) 2005-02-02 2008-01-29 Rave, Llc Apparatus and method for modifying an object
US20060222869A1 (en) * 2005-04-04 2006-10-05 Yuguang Cai Electropen lithography
AU2005330718B2 (en) * 2005-04-12 2011-05-12 Massachusetts Institute Of Technology Nanocontact printing
US8057857B2 (en) * 2005-07-06 2011-11-15 Northwestern University Phase separation in patterned structures
EP1940734A2 (en) 2005-08-10 2008-07-09 Northwestern University Composite particles
EP1755137A1 (en) 2005-08-18 2007-02-21 University of Teheran A method of forming a carbon nanotube emitter, carbon nanotube emitter with applications in nano-printing and use thereof
ES2362797T3 (es) * 2005-08-31 2011-07-13 Northwestern University Nanoarreglos de partículas biológicas, métodos para la fabricación de los mismos.
US20100294927A1 (en) * 2005-09-12 2010-11-25 Nanolnk, Inc. High throughput inspecting
US7586583B2 (en) 2005-09-15 2009-09-08 Franklin Mark Schellenberg Nanolithography system
US7281419B2 (en) * 2005-09-21 2007-10-16 The Board Of Trustees Of The University Of Illinois Multifunctional probe array system
US7473912B2 (en) * 2005-11-09 2009-01-06 Yang Xiao Charles Method and apparatus for patterning micro and nano structures using a mask-less process
US7511510B2 (en) * 2005-11-30 2009-03-31 International Business Machines Corporation Nanoscale fault isolation and measurement system
US8192794B2 (en) * 2006-04-19 2012-06-05 Northwestern University Massively parallel lithography with two-dimensional pen arrays
WO2007126689A1 (en) 2006-04-19 2007-11-08 Northwestern University Article for parallel lithography with two-dimensional pen arrays
TW200815278A (en) 2006-06-28 2008-04-01 Univ Northwestern DPN generated hole nanoarrays
DE102006033332A1 (de) 2006-07-19 2008-01-31 Forschungszentrum Karlsruhe Gmbh Verfahren zum Aufbringen von Membranlipiden auf ein Substrat
US8256017B2 (en) * 2006-08-31 2012-08-28 Nanoink, Inc. Using optical deflection of cantilevers for alignment
CN101003357B (zh) * 2007-01-12 2011-01-19 哈尔滨工业大学 基于原子力显微镜恒力模式的纳米微小结构加工方法
US7680553B2 (en) 2007-03-08 2010-03-16 Smp Logic Systems Llc Methods of interfacing nanomaterials for the monitoring and execution of pharmaceutical manufacturing processes
WO2008112713A1 (en) * 2007-03-13 2008-09-18 Nanoink, Inc. Nanolithography with use of viewports
WO2008118399A1 (en) 2007-03-26 2008-10-02 University Of Pittsburgh - Of The Commonwealth System Of Higher Education Ultrahigh density patterning of conducting media
US20080242559A1 (en) * 2007-03-28 2008-10-02 Northwestern University Protein and peptide arrays
US20090023607A1 (en) * 2007-05-09 2009-01-22 Nanolnk, Inc. Compact nanofabrication apparatus
KR20100040293A (ko) * 2007-06-20 2010-04-19 노쓰웨스턴유니버시티 지질을 포함하는 조성물을 사용한 패턴닝
US20090004231A1 (en) 2007-06-30 2009-01-01 Popp Shane M Pharmaceutical dosage forms fabricated with nanomaterials for quality monitoring
JP2010536033A (ja) * 2007-08-08 2010-11-25 ノースウエスタン ユニバーシティ カンチレバーアレイのための、独立してアドレス可能な自己修正インク付け方法
WO2009052120A1 (en) * 2007-10-15 2009-04-23 Nanoink, Inc. Lithography of nanoparticle based inks
US7829735B2 (en) * 2007-10-26 2010-11-09 Northwestern University Universal phosphoramidite for preparation of modified biomolecules and surfaces
US20100297228A1 (en) * 2007-10-29 2010-11-25 Nanolnk, Inc. Universal coating for imprinting identification features
EP2227718A2 (en) * 2007-11-26 2010-09-15 Nanoink, Inc. Cantilever with pivoting actuation
JP2011513945A (ja) * 2008-02-05 2011-04-28 ナノインク インコーポレーティッド アレイおよびカンチレバーアレイのレベリング方法
US8068328B2 (en) * 2008-03-12 2011-11-29 Intel Corporation Nanolithographic method of manufacturing an embedded passive device for a microelectronic application, and microelectronic device containing same
US9372397B2 (en) * 2008-04-25 2016-06-21 Northwestern University Polymer pen lithography
WO2009140439A1 (en) * 2008-05-13 2009-11-19 Nanoink, Inc. Nanomanufacturing devices and methods
US8632964B2 (en) * 2008-05-30 2014-01-21 University Of Strathclyde Detection system
GB0812789D0 (en) * 2008-07-12 2008-08-20 Univ Liverpool Materials and methods for cell growth
US7917966B2 (en) * 2008-08-21 2011-03-29 Snu R&Db Foundation Aligned nanostructures on a tip
US8070929B2 (en) * 2008-08-21 2011-12-06 Snu R&Db Foundation Catalyst particles on a tip
US20100143666A1 (en) * 2008-11-20 2010-06-10 Northwestern University Redox activated patterning
SG172852A1 (en) * 2009-01-26 2011-08-29 Nanoink Inc Large area, homogeneous array fabrication including homogeneous substrates
AU2010206592A1 (en) * 2009-01-26 2011-07-28 Nanoink, Inc. Large area, homogeneous array fabrication including controlled tip loading vapor deposition
US8214916B2 (en) * 2009-01-26 2012-07-03 Nanoink, Inc. Large area, homogeneous array fabrication including leveling with use of bright spots
AU2010206595A1 (en) * 2009-01-26 2011-07-28 Nanoink, Inc. Large area, homogeneous array fabrication including substrate temperature control
AU2010236563A1 (en) * 2009-04-14 2011-09-22 Nanoink, Inc. Conducting lines, nanoparticles, inks, and patterning
EP2422197A4 (en) * 2009-04-24 2014-05-07 Univ Northwestern MULTIPEX BIOMOLECULAR ARRAYS MANUFACTURED BY POLYMERSTICK LITHOGRAPHY
DE102009019717A1 (de) 2009-05-05 2010-11-11 Karlsruher Institut für Technologie Verfahren und Verwendung eines optischen Gitters zum Nachweis des Vorhandenseins von Molekülen
KR20120104966A (ko) 2009-06-30 2012-09-24 나노잉크, 인크. 개선된 포토마스크 복구방법
CA2768140A1 (en) * 2009-07-14 2011-01-20 Nanoink, Inc. Methods for forming hydrogels on surfaces and articles formed thereby
EP2454635A2 (en) * 2009-07-17 2012-05-23 Nanoink, Inc. Leveling devices and methods
WO2011014845A1 (en) 2009-07-31 2011-02-03 Nanoink, Inc. Screening system to identify patterns on substrate surfaces for inducing stem cell differentiation and producing homogenous population of a desired cell type
WO2011068960A2 (en) * 2009-12-02 2011-06-09 Northwestern University Block copolymer-assisted nanolithography
US8745761B2 (en) * 2009-12-07 2014-06-03 Northwestern University Force feedback leveling of tip arrays for nanolithography
WO2011071753A2 (en) 2009-12-07 2011-06-16 Northwestern University Generation of combinatorial patterns by deliberate tilting of a polymer-pen array
SG184264A1 (en) 2010-04-14 2012-11-29 Nanoink Inc Improved cantilevers for deposition
KR20130066611A (ko) 2010-04-20 2013-06-20 나노잉크, 인크. 다중화된 딥 펜 어레이를 이용한 바이오센서 기능화
CA2794903A1 (en) 2010-04-27 2011-11-10 Nanoink, Inc. Ball-spacer method for planar object leveling
WO2012026927A1 (en) 2010-08-24 2012-03-01 Nanoink, Inc. Leveling devices and methods
DE202010013706U1 (de) 2010-09-28 2011-03-24 NANOINK, INC., Skokie Einrichtung zur Nivellierung
US20120088694A1 (en) 2010-10-07 2012-04-12 Nanoink, Inc. Cell assay methods and articles
WO2012061308A1 (en) 2010-11-01 2012-05-10 Nanoink, Inc. High-throughput assay methods and articles
US20120108461A1 (en) 2010-11-01 2012-05-03 Nanolnk, Inc. High-throughput slide processing apparatus
US20120295030A1 (en) 2011-05-17 2012-11-22 Nanoink, Inc. High density, hard tip arrays
WO2012166794A1 (en) 2011-05-31 2012-12-06 Nanoink, Inc. Patterning and cellular co-culture
KR101345337B1 (ko) * 2011-06-13 2013-12-30 한국생명공학연구원 원자간력 현미경(afm)을 이용한 딥-펜 나노리소그래피에서의 단일 또는 다중팁을 이용한 나노포지셔닝 기판 제조장치 및 제조방법
WO2013044124A1 (en) 2011-09-23 2013-03-28 Nanoink, Inc. Accurate quantitiation of biomarkers in samples
WO2013059670A2 (en) 2011-10-21 2013-04-25 Nanoink, Inc. Octahedral and pyramid-on-post tips for microscopy and lithography
WO2013067395A2 (en) 2011-11-04 2013-05-10 Nanoink, Inc. Method and apparatus for improving ink deposition
US8740209B2 (en) * 2012-02-22 2014-06-03 Expresslo Llc Method and apparatus for ex-situ lift-out specimen preparation
CN102698679B (zh) * 2012-06-26 2014-04-16 南京航空航天大学 纳米物质操控方法
CN102880010A (zh) * 2012-09-05 2013-01-16 中国科学院光电技术研究所 基于金属—介质—探针结构的表面等离子体超衍射光刻方法
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
WO2014140046A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Mechanically produced alignment fiducial method and device
US20150151424A1 (en) 2013-10-29 2015-06-04 Black & Decker Inc. Power tool with ergonomic handgrip
US9622483B2 (en) 2014-02-19 2017-04-18 Corning Incorporated Antimicrobial glass compositions, glasses and polymeric articles incorporating the same
KR101597025B1 (ko) * 2014-04-23 2016-03-07 한양대학교 산학협력단 3차원 미세구조를 가지는 생체유기물 구조체의 제조방법 및 생체유기물 구조체, 이를 응용한 센서와 액츄에이터 시스템
CN104495744A (zh) * 2014-12-16 2015-04-08 中国科学院上海应用物理研究所 一种直接在疏水基底上实施蘸笔纳米刻蚀技术的方法
US11309174B2 (en) * 2016-11-18 2022-04-19 Shimadzu Corporation Ionization method, ionization device, imaging spectrometry method, and imaging spectrometer
US11162192B2 (en) 2017-12-01 2021-11-02 Arizona Board Of Regents On Behalf Of Arizona State University Materials and methods relating to single molecule arrays
CN108535516A (zh) * 2018-02-05 2018-09-14 多氟多(焦作)新能源科技有限公司 一种利用原子力显微镜测量极片表面sei膜厚度的方法
RU182469U1 (ru) * 2018-02-12 2018-08-20 Федеральное государственное бюджетное образовательное учреждение высшего образования "Рязанский государственный радиотехнический университет" Сканирующий зонд атомно-силового микроскопа с разделяемым телеуправляемым нанокомпозитным излучающим элементом на основе квантовых точек и магнитных наночастиц структуры ядро-оболочка
RU182711U1 (ru) * 2018-02-26 2018-08-29 Акционерное общество "ЛОМО" Оптическая система оптико-электронного координатора
ES2684851B2 (es) * 2018-07-27 2019-06-19 Univ Madrid Politecnica Metodo para obtener puntas sensoras de microscopia de fuerza atomica funcionalizadas mediante silanizacion por vapor activado, y las puntas obtenidas por dicho metodo
CN109765407B (zh) * 2019-01-10 2020-03-17 西安交通大学 一种基于一维纳米材料的大长径比探针制备方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4479831A (en) 1980-09-15 1984-10-30 Burroughs Corporation Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
JPH0216404A (ja) 1988-03-25 1990-01-19 Canon Inc 被覆プローブ電極
US5221415A (en) 1989-01-17 1993-06-22 Board Of Trustees Of The Leland Stanford Junior University Method of forming microfabricated cantilever stylus with integrated pyramidal tip
US5015323A (en) * 1989-10-10 1991-05-14 The United States Of America As Represented By The Secretary Of Commerce Multi-tipped field-emission tool for nanostructure fabrication
US5126574A (en) * 1989-10-10 1992-06-30 The United States Of America As Represented By The Secretary Of Commerce Microtip-controlled nanostructure fabrication and multi-tipped field-emission tool for parallel-process nanostructure fabrication
US5747334A (en) 1990-02-15 1998-05-05 The University Of North Carolina At Chapel Hill Random peptide library
US5043578A (en) 1990-04-05 1991-08-27 International Business Machines Corporation Writing atomic scale features with fine tip as source of deposited atoms
JPH05196458A (ja) 1991-01-04 1993-08-06 Univ Leland Stanford Jr 原子力顕微鏡用ピエゾ抵抗性片持ばり構造体
JP2992355B2 (ja) * 1991-01-11 1999-12-20 株式会社日立製作所 表面原子加工方法及び装置、並びに表面原子記録・検出方法
EP0522168A1 (en) 1991-01-11 1993-01-13 Hitachi, Ltd. Surface atom machining method and apparatus
JPH04355914A (ja) 1991-02-06 1992-12-09 Olympus Optical Co Ltd リソグラフィー装置
DE69212062T2 (de) 1991-04-30 1996-11-28 Matsushita Electric Ind Co Ltd Raster-Abtastmikroskop, molekulares Verarbeitungsverfahren unter Verwendung des Mikroskops und Verfahren zum Wahrnehmen der DNA-Basen-Anordnung
US5138174A (en) 1991-07-16 1992-08-11 E. I. Du Pont De Nemours And Company Nanometer-scale structures and lithography
US5155361A (en) 1991-07-26 1992-10-13 The Arizona Board Of Regents, A Body Corporate Acting For And On Behalf Of Arizona State University Potentiostatic preparation of molecular adsorbates for scanning probe microscopy
JPH0534144A (ja) 1991-07-30 1993-02-09 Toshiba Corp 原子間力顕微鏡
US5254854A (en) 1991-11-04 1993-10-19 At&T Bell Laboratories Scanning microscope comprising force-sensing means and position-sensitive photodetector
GB9213423D0 (en) 1992-06-24 1992-08-05 Hitachi Europ Ltd Nanofabricated structures
US5252835A (en) 1992-07-17 1993-10-12 President And Trustees Of Harvard College Machining oxide thin-films with an atomic force microscope: pattern and object formation on the nanometer scale
US5372930A (en) 1992-09-16 1994-12-13 The United States Of America As Represented By The Secretary Of The Navy Sensor for ultra-low concentration molecular recognition
US5472881A (en) 1992-11-12 1995-12-05 University Of Utah Research Foundation Thiol labeling of DNA for attachment to gold surfaces
US5345985A (en) * 1993-02-16 1994-09-13 Murphy Jeffrey W Tree stump removal method and apparatus
JP3224625B2 (ja) * 1993-03-05 2001-11-05 日本電子株式会社 試料表面加工用チップ、その作製方法及び試料表面加工装置
US5354985A (en) 1993-06-03 1994-10-11 Stanford University Near field scanning optical and force microscope including cantilever and optical waveguide
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5666190A (en) 1994-04-12 1997-09-09 The Board Of Trustees Of The Leland Stanford, Jr. University Method of performing lithography using cantilever array
US5742377A (en) 1994-04-12 1998-04-21 The Board Of Trustees Of The Leland Stanford, Jr. University Cantilever for scanning probe microscope including piezoelectric element and method of using the same
US5618760A (en) 1994-04-12 1997-04-08 The Board Of Trustees Of The Leland Stanford, Jr. University Method of etching a pattern on a substrate using a scanning probe microscope
US5517280A (en) 1994-04-12 1996-05-14 The Board Of Trustees Of The Leland Stanford, Jr. University Photolithography system
JP2763745B2 (ja) * 1994-07-06 1998-06-11 科学技術振興事業団 探針被覆原子移動方法
US6353219B1 (en) 1994-07-28 2002-03-05 Victor B. Kley Object inspection and/or modification system and method
US5985356A (en) 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US5712171A (en) 1995-01-20 1998-01-27 Arqule, Inc. Method of generating a plurality of chemical compounds in a spatially arranged array
DE19504855A1 (de) 1995-02-15 1996-08-22 Basf Ag Verfahren zur chemisch differenzierenden Abbildung mittels Rasterkraftmikroskopie
EP0833941B1 (en) 1995-04-07 2008-10-22 Cytogen Corporation Polypeptides having a functional domain of interest and methods of identifying and using same
US5630932A (en) 1995-09-06 1997-05-20 Molecular Imaging Corporation Tip etching system and method for etching platinum-containing wire
US5874668A (en) 1995-10-24 1999-02-23 Arch Development Corporation Atomic force microscope for biological specimens
JP3574521B2 (ja) * 1995-11-02 2004-10-06 株式会社リコー 光情報記録媒体再生装置及び再生方法
CN1046174C (zh) * 1996-08-16 1999-11-03 复旦大学 纳米宽度有机导线的制备方法
KR100228398B1 (ko) 1996-12-18 1999-11-01 정선종 레이저 어블레이션을 이용한 미세 건식식각장치
US5922214A (en) 1997-01-17 1999-07-13 Wayne State University Nanometer scale fabrication method to produce thin film nanostructures
AU1925699A (en) 1997-12-18 1999-07-05 Sepracor, Inc. Methods for the simultaneous identification of novel biological targets and leadstructures for drug development
US6406921B1 (en) 1998-07-14 2002-06-18 Zyomyx, Incorporated Protein arrays for high-throughput screening
US6576478B1 (en) 1998-07-14 2003-06-10 Zyomyx, Inc. Microdevices for high-throughput screening of biomolecules
JP2002536295A (ja) 1998-12-14 2002-10-29 パラチン テクノロジーズ, インク. 金属ペプチド組合せライブラリ及びその利用法
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US6635311B1 (en) * 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
WO2000046406A2 (en) 1999-02-05 2000-08-10 Alphagene, Inc. Arrays for investigating protein protein interactions
US6181097B1 (en) 1999-02-11 2001-01-30 Institute Of Materials Research And Engineering High precision three-dimensional alignment system for lithography, fabrication and inspection
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
US20010044106A1 (en) 1999-05-21 2001-11-22 Eric Henderson Method and apparatus for solid state molecular analysis
US6573369B2 (en) 1999-05-21 2003-06-03 Bioforce Nanosciences, Inc. Method and apparatus for solid state molecular analysis
US6262426B1 (en) 1999-10-27 2001-07-17 S&F Technological Development And Solutions Partners Technique and process for the imaging and formation of various devices and surfaces
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
WO2002057200A2 (en) 2000-08-15 2002-07-25 Bioforce Nanosciences, Inc. Nanoscale molecular arrayer
US7887885B2 (en) * 2000-10-20 2011-02-15 Northwestern University Nanolithography methods and products therefor and produced thereby
US7361310B1 (en) * 2001-11-30 2008-04-22 Northwestern University Direct write nanolithographic deposition of nucleic acids from nanoscopic tips
AU2003300257A1 (en) * 2002-05-21 2004-05-04 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
WO2005048283A2 (en) * 2003-07-18 2005-05-26 Northwestern University Surface and site-specific polymerization by direct-write lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100656998B1 (ko) * 2005-02-01 2006-12-13 엘지전자 주식회사 딥펜을 이용한 유기 박막 트랜지스터 소자 제작방법

Also Published As

Publication number Publication date
WO2000041213A9 (en) 2001-10-04
DE60045239D1 (de) 2010-12-30
US8247032B2 (en) 2012-08-21
JP3963650B2 (ja) 2007-08-22
AU3344000A (en) 2000-07-24
US7569252B2 (en) 2009-08-04
ATE488858T1 (de) 2010-12-15
US20100098857A1 (en) 2010-04-22
CA2358215C (en) 2012-07-31
CN1284719C (zh) 2006-11-15
EP1157407A1 (en) 2001-11-28
TW473767B (en) 2002-01-21
CN1341274A (zh) 2002-03-20
EP1157407A4 (en) 2003-01-29
US20040028814A1 (en) 2004-02-12
HK1041744A1 (en) 2002-07-19
CA2358215A1 (en) 2000-07-13
JP2007276109A (ja) 2007-10-25
CN101003355B (zh) 2010-09-01
US20120295029A1 (en) 2012-11-22
AU778568B2 (en) 2004-12-09
WO2000041213A1 (en) 2000-07-13
KR100668591B1 (ko) 2007-01-17
HK1041744B (zh) 2011-07-08
JP2002539955A (ja) 2002-11-26
EP1157407B1 (en) 2010-11-17
HK1110298A1 (en) 2008-07-11
US6635311B1 (en) 2003-10-21
US8163345B2 (en) 2012-04-24
US20100040847A1 (en) 2010-02-18
CN101003355A (zh) 2007-07-25

Similar Documents

Publication Publication Date Title
KR100668591B1 (ko) 스캐닝 프로브 현미경 팁을 이용하는 방법 및 이 방법을위한 제품 또는 이 방법에 의해 생산된 제품
US7446324B2 (en) Methods utilizing scanning probe microscope tips and products thereof or produced thereby
AU2001265003A1 (en) Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US7887885B2 (en) Nanolithography methods and products therefor and produced thereby
US20030157254A1 (en) Methods utilizing scanning probe microscope tips and products therefor or produced thereby
Piner et al. CA Mirkin
TW563168B (en) Methods utilizing scanning probe microscope tips and products therefor or produced thereby
ES2356600T3 (es) Métodos que utilizan puntas de microscopio como sondas de barrido.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121226

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131226

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150121

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151224

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180103

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee