KR20120104966A - 개선된 포토마스크 복구방법 - Google Patents

개선된 포토마스크 복구방법 Download PDF

Info

Publication number
KR20120104966A
KR20120104966A KR1020127001510A KR20127001510A KR20120104966A KR 20120104966 A KR20120104966 A KR 20120104966A KR 1020127001510 A KR1020127001510 A KR 1020127001510A KR 20127001510 A KR20127001510 A KR 20127001510A KR 20120104966 A KR20120104966 A KR 20120104966A
Authority
KR
South Korea
Prior art keywords
ink
sol
gel composition
molybdenum
tip
Prior art date
Application number
KR1020127001510A
Other languages
English (en)
Inventor
나빌 암로
레이먼드 사네드린
산디프 디사왈
조세프 에스. 프라갈라
Original Assignee
나노잉크, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 나노잉크, 인크. filed Critical 나노잉크, 인크.
Publication of KR20120104966A publication Critical patent/KR20120104966A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/06Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1254Sol or sol-gel processing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Thermal Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Inks, Pencil-Leads, Or Crayons (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 첨단형 팁 및 캔틸레버에 의한 직접 기입 리소그래피 인쇄를 통한 저온 또는 광학적 경화를 사용하는 첨단 포토마스크의 부가적 복구에 관한 것이다. 잉크로부터 형성되는 재료의 광학적 특성은 조정될 수 있다 (예컨대 n 및 k 값). 실세스퀴옥산 잉크를 포함한 졸 겔 잉크는 MoSi 조성물을 형성하는 데에 사용될 수 있다. 복구된 포토마스크는 정상적인 포토마스크 세척 조건하에서의 세척에 대하여 내성이다. AFM 기기를 사용하여 부가적 복구를 수행함으로써, 높은 해상도 및 기입성을 제공할 수 있다.

Description

개선된 포토마스크 복구방법{ADVANCED PHOTOMASK REPAIR}
포토마스크는 반도체 업계에서 예를 들면 집적 회로를 제조하는 데에 널리 사용된다. 마스크는 통상적으로 고가이며 복잡하고, 매년 더 작은 최소 배선폭(feature size)으로 점점 정교해지고 있다. 따라서, 마스크에 결함이 있는 경우, 단순히 그것을 폐기하기 보다는 마스크를 복구해야 할 경제적인 필요성이 존재한다. 따라서, 더 우수한 포토마스크의 복구 방법을 찾아야 한다는 시중의 요구가 존재한다. 특히, 마스크에 재료가 첨가되는 부가적(additive) 복구가 중요하다. 제거적(subtractive) 복구에서는, 마스크로부터 재료가 제거된다. 부가적 복구에서의 중요 문제는 투명도 및 굴절률을 포함한 올바른 광학적 특성들을 제공하도록 잉크 제제를 조정하는 것이다. 또한, 잉크가 경화를 필요로 하는 경우에는, 포토마스크 복구와 부합하는 적합한 경화 조건을 필요로 한다. 이러한 문제들은 복잡한 오목부 및 돌출부와 함께 고해상도의 구조를 가지는 것들을 포함한 개선된 차세대 포토마스크를 취급할 때에 특히 중요해진다.
레이저-유도 또는 전자-빔-유도 침착 또는 에칭 및 집속 이온 빔 (FIB)을 포함한 많은 현행 마스크 복구 기술들은 첨단 포토마스크를 복구하는 데에 필요한 해상도 및 재료 유연성을 결여하고 있으며, 마스크를 복구하는 동안 그것을 손상시킬 수 있다. 첨단 포토마스크의 기판 및 감쇠형 변위 마스크(attenuated phase-shift mask)의 감쇠 층 (소위 'MoSi' 층, 통상적으로 MoxSiyOzNt 등급의 필름)에서의 석영 피트(pit) 및 기타 공극(void)들을 부가적으로 복구하는 경우에는, 제어된 광학적 특성들 및 나노규모 기입성으로 투명하거나 반투명한 재료를 침착할 수 있는 기술의 결여로 인하여, 상기 문제가 특히 심각해질 수 있다. 변위 마스크를 복구하기 위한 시도로써 거기에 불투명 탄소 패치가 침착 (예컨대 FIB에 의해)되기는 하지만, 노광시 생성되는 삼차원 이미지(aerial image)에 대한 제어력은 최소한이다.
그 전체가 본원에 참조로 게재되는 U.S. 특허 공개 제2004/0175631호 (나노잉크(NanoInk) 사)는 직접-기입 나노리소그래피 및 나노규모 팁(tip)의 사용을 포함하는 부가적 포토마스크 복구 방법에 대해 기술하고 있다. 포토마스크 복구에 대해서는, 스탬프 팁(stamp tip) 복구 방법을 포함하여 그 전체가 본원에 참조로 게재되는 장(Zhang) 등의 U.S. 특허 공개 제2005/0255237호 (나노잉크 사)에도 간단하게 언급되어 있다. 또한, 그 전체가 본원에 참조로 게재되는 미르킨(Mirkin) 등의 U.S. 특허 공개 제2003/0162004호 (노스웨스턴 유니버시티(Northwestern University))는 졸-겔 잉크 및 직접 기입 나노리소그래피의 사용에 대해 기술하고 있다. 그러나, 포토마스크 복구에 대해서는 기술하고 있지 않다. 작업 실시예를 포함하여, 잉크의 열 경화에 대하여 기술하고 있는데, 거기에서 열 경화는 400 ℃에서 실행된다. 또한, 졸-겔 제제에는 중합체가 사용된다.
모두 그 전체가 본원에 참조로 게재되며 박막 및 복구 재료와 관련된 추가적인 참고문헌에는 하기가 포함된다: ["Ultraviolet laser-induced formation of thin silicon dioxide film from the precursor beta-chloroethyl silsesquioxane" J. Sharma, et al., J. Mater . Res. 14(3), 990, 1999]; ["High Density Silicon Dioxide Coatings by UV and Thermal Processing" B. Arkles, et al. Silicones in Coatings III meeting proceedings, Barcelona (Spain), 28-30 March 2000] (겔레스트(Gelest), Inc. 사로부터 입수가능); ["Characterization of optically active and photocurable ORMOSIL thin films deposited using the Aerosol process" M. Trejo-Valdez, P. et al. J. Mater. Sci 39, 2801-2810, 2004]; ["Photo-induced growth of dielectrics with excimer lamps", I. W. Boyd, et al., Solid - State Electronics 45, 1413-1431, 2001]; ["Patterning of hybrid titania film using polypolymerization", H. Segawa, et al., Thin Solid Films 466, 48-53, 2004]; ["Sol-gel fabrication of high-quality photomask substrates", R. Ganguli, et al. Microlith . Microfab . Microsyst. 2(3), 2003]; ["Photosensitive gel films prepared by the chemical modification and their application to surface-relief gratings", N. Tohge, et al., Thin Solid Films 351, 85-90, 1999]; ["Structural and electrical characteristics of zirconium oxide layers derived from photo-assisted sol-gel processing", J.J. Wu, et al., Appl Phys . A 74, 143-146, 2002]; ["Composite thin films of (ZrO2)x-(Al2O3)1-x for high transmittance attenuated phase shifting mask in ArF optical lithography", F.-D. Lai J. Vac . Sci. Technol . B 22(3), 1174, 2004]; 및 ["Low temperature elimination of organic components from mesostructured organic-inorganic composite films using vacuum ultraviolet light", A. Hozumi, et al., Chem . Mater . 12, 3842-3847, 2000].
개요
첨단 포토마스크 복구 및 광학적 조정이 중요한 기타 적용분야를 위하여, 광학적으로 조정가능한 잉크 및 그의 사용 방법은 물론, 소자 및 경화된 재료가 제공된다. 특히 일 실시양태에서 본 발명에 의해 제공되는 것은 하기를 포함하는 포토마스크의 복구 방법이다: 팁 단부 상에 배치된 잉크를 포함하며, 여기서 상기 잉크는 약 100 ℃ 내지 약 350 ℃ 온도에서의 경화용으로 제제화되는, 나노규모 팁을 제공하는 것; 복구될 필요가 있는 영역을 포함하는 포토마스크를 제공하는 것; 상기 팁을 복구될 필요가 있는 포토마스크의 영역과 접촉시키고, 거기에서 잉크를 팁으로부터 상기 영역으로 전달하는 것; (i) 약 100 ℃ 내지 약 350 ℃의 온도에서 잉크를 가열하는 것, 및/또는 (ii) 상기 잉크를 전자기 방사선에 노광시키는 것에 의해 경화된 잉크를 형성하는 것.
일 실시양태는 또한 이산화규소 전구체 화합물; 및 극성 양성자성 용매 및 몰리브데넘 화합물을 포함하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성되는 몰리브데넘 전구체 조성물을 혼합함으로써 형성되는 졸-겔 조성물을 제공한다:.
일 실시양태에서, 상기 잉크는 운반체 용매, 이산화규소 전구체, 및 몰리브데넘 전구체를 혼합함으로써 형성되는 졸-겔 조성물일 수 있다. 상기 이산화규소 전구체는 실세스퀴옥산, 예컨대 폴리(2-클로로에틸)실세스퀴옥산, 또는 기타 실세스퀴옥산 화합물일 수 있다. 상기 몰리브데넘 전구체는 극성의 양성자성 용매, 및 몰리브데넘(V) 에톡시드, 또는 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트), 또는 MoxLy (여기서 L = 유기 분자 또는 리간드임) 중 1종 이상을 함유하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성될 수 있다. 상기 극성 양성자성 용매는 바람직하게는 70 g/mol 미만, 예컨대 60 g/mol 미만, 더욱 특히는 50 g/mol 미만인 분자량을 가질 수 있다. 예를 들면, 상기 극성 양성자성 용매는 에탄올 (46.1 g/mol)일 수 있다.
적어도 일부 실시양태에 있어서의 장점에는 예를 들면 고해상도의 복구, 우수한 공간 기입성, 추가 손상의 유도 없이 복구하는 능력, 특정 문제를 해결하도록 잉크를 광학적으로 조정하는 능력, 경화된 잉크의 기판에 대한 우수한 접착성, 낮은 오염 수준, 깊은 오목부 또는 구멍 저부에서의 복구 능력, 및 금속, 규소 및 산소로만 구성되는 (또는 본질적으로 그들로 구성되는) 그리고 경우에 따라 질소가 첨가되는 필름이 포함된다. 적어도 일부 실시양태에 있어서의 추가적인 장점에는 하기가 포함된다: 침착되는 재료의 특성에 대한 정밀한 제어력; 관련 화학물질들의 낮은 독성; 복구 공정 동안의 전체 포토마스크의 낮은 화학적 오염 위험성; 단순한 기기; 진공과 대비되는 주변 분위기에서의 장비의 가동; 고도의 정확도 및 정밀성 (예컨대 10 nm의 배치 정확도); 매우 다양한 새로운 재료들을 침착시키는 능력; 기판 염색으로 인한 전달 손실 없음; 화상화(imaging) 동안의 마스크 손상 없음 (매우 다수의 복구 주기를 가능케 함); 투명 및 불투명 결함을 동일한 도구에서 복구하는 능력; 석영, 규화 몰리브데넘, Mo/Si 다층 및 질화 탄탈룸 필름을 포함한 모든 마스크 유형 및 재료와의 상용성; 및 다중-노드 수용력(multi-node capability).
적어도 일부 실시양태에 있어서의 추가적인 특징에는 예를 들면 포토마스크의 광학적 특성에 부합하도록 경화된 잉크의 광학적 특성을 조정하는 능력이 포함된다. 광학적 특성의 조정은 예를 들면 MoSi 합금에서의 몰리브데넘 및 이산화규소의 존재를 조절하는 것에 의해 달성될 수 있다. 조정가능한 잉크의 광학적 특성에는 굴절률 (n) 및 소광 계수 (k)가 포함되나, 이에 제한되는 것은 아니다. nk 모두의 값이 입사 광의 파장에 따라 달라지기 때문에, 경화된 잉크에 있어서의 이들 값은 리소그래피 노광 또는 포토마스크 검사에 사용되는 파장 또는 그 부근에서의 포토마스크의 것을 추산해야 한다. 경화된 잉크의 전체적인 투과도, 반사율, 및 흡광도는 대략적으로 포토마스크의 그것과 부합한다. 경화된 잉크는 또한 기계적 및 화학적으로 안정하며, 바람직하게는 포토마스크에 잘 부착되고, 반복되는 세척 및 세정에 대하여 안정하다. 경화 전 잉크의 점도 역시 예컨대 결함의 유형 및 크기 중 어느 것, 또는 구체적인 포토마스크의 표면 특성에 따라 더 크거나 더 작은 점도를 제공하도록 조정될 수 있다.
도 1A는 본 발명 실시양태에 따른 포토마스크 복구 칩의 개략적 저면도이다.
도 1B는 도 1A의 포토마스크 복구 칩 경화 팁의 개략적 측면도이다.
도 2A는 교호 천공 변위 포토마스크 (AAPSM)의 3개 실험용 투명 결함 (대략 200 nm 깊이, 300 nm × 300 nm 폭)의 원자력 현미경 (AFM) 이미지이다. 중앙부 결함은 열 경화 후의 DPN® 인쇄를 사용하여 폴리(2-클로로에틸)-실세스퀴옥산 (PCESQ) 졸 겔 잉크로 충진된다. 도 2B는 도 2A의 "라인 1"에 따른 높이 프로필이다.
도 3A-3D 및 도 4는 타원편광측정법을 사용하여 측정된, 측정 굴절률 (n) 및 소광 계수 (k) 대 파장의 플롯이다. 도 3A는 화학적 증착 (CVD)에 의해 형성된 MoSi 박막에 대한 타원편광측정법 데이터를 나타낸다. 도 3B는 1:15 부피 비의 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하는 경화 잉크에 대한 타원편광측정법 데이터를 나타낸다. 도 3C는 1:4 부피 비의 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하는 경화 잉크에 대한 타원편광측정법 데이터를 나타낸다. 도 3D는 2.5:1 부피 비의 Mo(OCH2CH3)5 및 PCESQ를 함유하는 경화 잉크에 대한 타원편광측정법 데이터를 나타낸다. 도 4는 1:10 중량비의 Mo(OCH2CH3)5 및 PCESQ를 함유하는 경화 잉크에 대한 타원편광측정법 데이터를 나타낸다.
도 5는 DPN® 인쇄에 의해 침착된, 1:5 부피 비의 Mo(V) 에톡시드 및 PCESQ, 그리고 20 중량%의 데칸올을 함유하는 잉크를 경화시킴으로써 형성되는 MoSi 마이크로도트 3×3 배열의 AFM 이미지 (20 ㎛ × 20 ㎛)이다.
도 6A-6C는 x-선 광전자 분광법 (XPS)을 사용하여 측정된 측정 강도 대 결합 에너지의 플롯이다. 도 6A는 중량 기준 1:4 비의 Mo(V) 에톡시드 및 PCESQ, 그리고 20 중량%의 데칸올을 함유하는 잉크를 200 ℃에서 가열함으로써 형성된 MoSi 필름에 대한 XPS 스펙트럼을 나타낸다. 도 6B는 중량 기준 1:4 비의 Mo(V) 에톡시드 및 PCESQ, 그리고 20 중량%의 데칸올 및 6 중량%의 플루오린화 테트라부틸암모늄 촉매를 함유하는 잉크를 200 ℃에서 가열함으로써 형성된 MoSi 필름에 대한 XPS 스펙트럼을 나타낸다. 도 6C는 도 6B의 잉크를 350 ℃에서 가열함으로써 형성된 MoSi 필름으로부터의 XPS 스펙트럼을 나타낸다. M은 Mo를 나타내며; S는 SiOx를 나타낸다.
도 7A-7B는 1:4 부피 비의 Mo(V) 에톡시드 및 PCESQ, 그리고 20 중량%의 데칸올 및 6 중량%의 플루오린화 테트라부틸암모늄 촉매를 함유하는 잉크를 사용하고, DPN® 인쇄를 사용하여 제조된 규소 기판상 5×5 배열 구조의 광학 현미경 이미지이다.
도 8A-8B는 DPN® 인쇄를 사용하여 1:10 부피 비의 Mo(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하는 잉크로 충진되기 각각 전 및 후의 포토마스크 (6025 EAPSM) 구멍의 AFM 이미지이다. 각 실험용 결함은 각각 2 ㎛ 및 0.6 ㎛의 길이 및 폭을 가진다.
도 9A-9B는 DPN® 인쇄를 사용하여 1:10 중량 비의 Mo(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ, 그리고 4:1 부피 비의 디메틸포름아미드 및 폴리(에틸)글리콜을 함유하는 잉크로 구멍들 중 하나를 충진한 후의, 구멍의 각각 2-차원 및 3-차원 AFM 이미지이다. 도 9C는 도 9A의 "라인 1"에 따른 높이 프로필이다.
도 10은 하기 조건하에서의 3개 세척 라운드 전 및 후의 MoSi 마이크로도트 배열의 일련의 AFM 이미지들을 나타낸다: 피라나 (부피 기준 3:1의 H2SO4:H2O2) 중에서 65 ℃로 120초, 이어서 20 와트/cm2으로 30초 동안 초음파처리, 이어서 4 와트/cm2으로 30초 동안 초음파처리, 이어서 120초의 DI 세정, 이어서 100 ℃에서 15분 동안 가열.
도 11A-11E는 DPN® 인쇄를 사용하여 Mo(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하는 잉크 제제를 침착시키고, 엑시머 레이저 조사에 의해 광경화함으로써 형성된 MoSi 마이크로도트 배열의 AFM 이미지를 나타낸다.
도 12는 변위 마스크 잉크 제제에 대해 기술한다.
도 13은 6025 석영 마스크 상의 독자적인(free standing) PCESQ 도트 배열에 대한 데이터를 도시한다.
도 14는 6025 석영 마스크 상의 독자적인 PCESQ의 도트 직경 크기 및 광학적 이미지를 나타낸다.
도 15는 석영 기판 상 MoSi 필름의 형상학적 AFM 2D 및 3D 이미지를 도시한다.
도 16은 SiO2 기판 상의 독자적인 MoSi 도트 배열을 도시한다.
도 17은 6025 석영 마스크 상의 독자적인 PCESQ의 도트 직경 크기 및 광학적 이미지를 나타낸다.
도 18은 석영 마스크 상의 독자적인 MoSi 도트 배열을 도시한다.
도 19는 정사각형 오목부 피처에서의 다양한 침착 시간의 MoSi 잉크 침착을 도시한다.
도 20은 결함 영역에 침착되어 경화된 MoSi 샘플의 AFM 및 높이 윤곽 플롯을 나타낸다.
도 21은 SiO2 기판 상의 독자적인 MoSi 도트 배열 및 결함 영역에 침착된 MoSi를 도시한다.
도 22는 MoSi 필름의 레이저 경화에 대해 기술한다.
도 23은 레이저 경화된 MoSi 필름의 XPS 스펙트럼을 도시한다.
도 24는 경화되지 않은 MoSi 필름의 XPS 스펙트럼을 도시한다.
도 25는 엑시머 레이저에 의해 경화된 SiOx 필름에 대한 XPS 데이터를 도시한다.
도 26은 독자적인 PCESQ 도트 피처의 엑시머 레이저 경화를 도시한다.
도 27은 독자적인 PCESQ 도트 피처의 엑시머 레이저 경화를 도시한다.
도 28은 일부 실시양태에서 소정의 Mo 잉크를 침착시킴에 있어서 난관에 봉착했을 경우의 잉크 침착을 위한 금 코팅 AFM 팁을 도시한다.
도 29는 MoSi 마스크 결함 영역에서의 Mo(V) PCSEQ 잉크의 단일 및 이중 침착을 도시한다.
도 30은 6025 석영 마스크 상에서의 Mo(V) PCSEQ 잉크의 침착을 도시한다.
도 31은 MoSi 마스크 결함 영역에서의 Mo(V) PCSEQ 잉크의 침착을 도시한다.
도 32는 MoSi 마스크와 MoSi 필름 사이의 투과도 비교를 도시한다.
상세한 설명
서론/일반사항
본원에서 인용되는 소정의 참고문헌이 선행 기술인 것으로 인정하고자 하는 것은 아니다. 그 전체가 의거 참조로 게재되는 미르킨 등의 U.S. 특허 제6,635,311호 (["Methods Utilizing Scanning Probe Microscope Tips And Products Therefor Or Produced Thereby"])는 예를 들면 화합물 또는 혼합물 (예컨대 "잉크")로 코팅된 첨단형(sharp) 팁 (예컨대 "펜")이 기판과 접촉되는 직접-기입 패턴화 방법에 대해 기술하고 있다. 딥 펜 나노리소그래피(Dip Pen Nanolithography)™ 인쇄 ("DPN® 인쇄")로 상용화되어 있는 상기 방법에서는, 20 nm-이하 해상도의 10 nm 피처 얼라인먼트(10 nm feature alignment)로 임의의 패턴이 제작될 수 있으며, 비제한적으로 금속 또는 세라믹 전구체 또는 나노입자를 포함한 매우 다양한 잉크들이 사용될 수 있다. 상기 DPN® 인쇄 나노기술 플랫폼은 나노잉크, Inc. 사 (일리노이 스코키 소재)에 의해 상용화되어 있다. DPN®, 딥 펜 나노리소그래피™, 나노잉크®가 그의 상표이다.
또한, 그 전체가 의거 참조로 게재되는 크로커(Crocker) 등의 U.S. 특허 출원 제10/689,547호, 특히 그의 6 및 7부는 (i) 포토마스크 복구 적용을 위한, 사실상 광학적으로 투명한 재료의 침착, 및 (ii) 졸-겔 침착에 의한 투명 기판에서의 공극의 복구를 포함한 첨단 마스크, 예컨대 변위 마스크 및 NIL/SFIL 몰드의 복구, 및 예컨대 산화 몰리브데넘 또는 규소화물 나노입자-적재 졸-겔 재료의 침착에 의한 부분적으로 투과성인 변위 층의 복구에 대해 교시하고 있다.
본원에서 기술되는 일 실시양태는 하기를 포함하는 포토마스크의 복구 방법을 제공한다: 팁 단부 상에 배치된 잉크를 포함하며, 여기서 상기 잉크는 약 100 ℃ 내지 약 350 ℃ 온도에서의 경화용으로 제제화되는, 나노규모 팁을 제공하는 것; 복구될 필요가 있는 영역을 포함하는 포토마스크를 제공하는 것; 상기 팁을 복구될 필요가 있는 포토마스크의 영역과 접촉시키고, 거기에서 잉크를 팁으로부터 상기 영역으로 전달하는 것; 및 (i) 약 100 ℃ 내지 약 350 ℃의 온도에서 잉크를 가열하는 것, 및/또는 (ii) 상기 잉크를 전자기 방사선에 노광시키는 것에 의해 경화된 잉크를 형성하는 것.
가열 경화 실시양태
일 실시양태는 가열 경화 단계를 포함한다. 본 실시양태에서, 잉크 경화는 (i) 방사선 노광이 없는 것; 또는 (ii) 가열 노출 전, 동안 또는 후 중 어느 것에서의 보충 방사선 노광을 사용하는 것 중 어느 것으로 수행된다. 가열 단계는 전체 포토마스크 또는 국소적 복구 영역 중 어느 것에 대하여 수행될 수 있다. 가열은 포토마스크의 손상 없이 잉크의 경화를 초래하기에 충분하도록 잉크의 온도를 상승시키는 어떠한 방식으로도 제공될 수 있다. 예를 들면, 오븐 또는 휴대용 히트 건(heat gun)이 사용될 수 있거나, 또는 전자 또는 이온 충격에 의해 가열이 수행될 수 있다. 다른 가열 방법, 예컨대 포토마스크 내 또는 부근에서의 저항 가열이 용이하게 사용될 수 있다. 가열은 약 100 ℃ 내지 약 350 ℃, 예컨대 약 150 ℃ 내지 약 250 ℃, 예컨대 약 250 ℃의 온도에서 수행된다.
도 1A는 복구될 결함을 위치지정하기 위한 해독 팁 (102), 결함 영역에 잉크를 침착시키기 위한 기입 팁 (104), 및 침착된 잉크를 경화하기 위한 경화 팁 (106)을 포함하는 포토마스크 복구 칩 (100)의 예를 나타낸다. 상기 해독 팁 (102)은 과량의 잉크를 포토마스크로부터 제거하는 것을 포함한 제거적 복구에 사용될 수도 있다. 임의로, 해독 팁 (102)은 제거적 복구에 사용되지 않으며, 대신 포토마스크 복구 칩이 제거적 복구에 사용되는 제4의 팁 (도 1A에는 미도시)을 포함한다.
도 1B는 복구 칩 (100)의 경화 팁 (106)을 나타낸다. 경화 팁 (106)은 캔틸레버(cantilever) (110)를 지지하는 기판 핸들 (108)을 포함하는데, 그 단부에는 피라미드형 팁 (112)이 존재한다. 캔틸레버 (110)의 저부 표면에는 저항 히터 (114)가 배치된다. 다르게는, 저항 히터 (114)는 캔틸레버 (110)의 상부 표면, 또는 상부 및 저부 표면 모두 중 어느 것에 위치될 수 있다. 임의로, 저항 히터는 팁 (112) 또는 그 부근에만 위치될 수 있다. 일 실시양태에서, 가열 경화 단계는 복구를 필요로 하는 포토마스크 영역 상에서 경화 팁 (106)을 스캐닝하는 것을 포함한다. 저항 히터 (114)의 온도는 와이어 (116)를 통하여 히터 (114)에 제공되는 전류의 양을 변화시킴으로써, 및/또는 예컨대 히터 (114)에 사용되는 재료를 변경하는 것에 의해 히터 (114)의 비저항을 변화시킴으로써 조절될 수 있다. 경화될 잉크에 적용되는 경화 조건은 경화 팁 (106)의 스캔 속도를 변화시킴으로써, 및/또는 예컨대 피라미드형 팁 (112)의 치수를 변화시키는 것에 의해 포토마스크 결함과 히터 (114) 사이의 거리를 변화시킴으로써 조절될 수 있다.
다른 가열 메카니즘이 잉크를 경화하는 데에 사용될 수 있다. 예를 들면, 약 3 mm 미만의 거리와 같은 짧은 거리에서 열을 방사하는 핀포인트 솔더링(pinpoint soldering) 건이 결합 영역 상부 수 마이크로미터 이내와 같이 결함 영역에 매우 근접부까지 접근될 수 있다. 상기 솔더 건 팁의 직경은 약 1 mm 내지 약 50 ㎛, 예컨대 약 100 ㎛로 달라질 수 있다. 다르게는, 니크롬(Nichrome)-60 와이어 (또는 기타 와이어)와 같은 저항 가열 와이어가 세라믹 튜브와 같은 절연 튜브 주변에 감기고, 그것이 다시 오목한 반사성 금속 장치에 삽설되어 발생된 열을 와이어로부터 결함 영역으로 안내 및 반사할 수 있다. 국소화된 열 공급원은 팁이 없는 것일 수 있으며, 다양한 형태를 가질 수 있다. 예를 들면, 그 전체가 본원에 참조로 게재되는 문헌 [J. Lee & W. King, "Microcantilever hotplates: Design, fabrication, and characterization," Sensors and Actuators A, 136 (2007) 291-298]에 기술되어 있는 바와 같이, 미세캔틸레버 핫플리에트가 사용될 수 있다.
방사선 경화 실시양태
또 다른 실시양태는 방사선 경화 단계를 포함한다. 본 실시양태에서는, 예컨대 열 경화 단계 전, 동안 또는 후의 방사선 경화를 보충하기 위하여 가열이 수행될 수도 있다. 가열은 방사선 경화의 자연적인 작용에 의해 이루어질 수도 있다.
잉크는 바람직하게는 리소그래피 노광 또는 포토마스크 검사에 사용되는 파장에서 UV, UV-가시광, 또는 가시광에 노광시 경화될 수 있다. UV 광의 공급원에는 레이저, 예컨대 157 nm (F2), 193 nm (ArF) 및 248 nm (KrF) 파장에서의 엑시머 레이저, 및 UV 램프, 예컨대 수은 램프 (184.9 nm), 아연 램프 (213.9 nm), 126 nm (Ar), 146 nm (Kr), 172 nm (Xe), 193 nm (ArF), 222 nm (KrCl) 파장에서의 엑시머 램프 등이 포함되나, 이에 제한되는 것은 아니다. 예를 들면, 엑시머 레이저는 람다 피직(Lambda Physik) 사 (플로리다 Ft. 로더데일 소재) 및 GAM 레이저스 사 (플로리다 올란도 소재)에 의해 상용화되어 있으며; 엑시머 램프는 레조넌스(Resonance) 사 (캘리포니아 온타리오 소재), 라듐 람펜베르크(Radium Lampenwerk) 사 (독일 비페르푸르트 소재) 및 호야 칸데오(Hoya Candeo) 사 (일본 소재)에서 구입가능하다.
조사 조건은 잉크 및 포토마스크에 따라 달라질 수 있다. 바람직하게는, 조사 조건은 포토마스크의 손상 역치 미만이다. 예를 들어, ArF 엑시머 레이저는 약 5 mJ/cm2/펄스 내지 약 100 mJ/cm2/펄스, 예컨대 약 10 mJ/cm2/펄스 내지 약 30 mJ/cm2/펄스, 더욱 특히는 약 13 mJ/cm2/펄스 내지 약 20 mJ/cm2/펄스로 가동될 수 있다. 총 선량은 펄스 시간, 펄스 강도, 및 펄스의 수에 의해 결정될 수 있다. 펄스의 수는 1 펄스 내지 60,000 펄스 사이로 달라질 수 있다. 총 선량은 약 50 mJ/cm2 내지 약 1,000 mJ/cm2, 예컨대 약 100 mJ/cm2 내지 약 700 mJ/cm2, 더욱 특히는 약 200 mJ/cm2 내지 약 400 mJ/cm2일 수 있다.
팁/캔틸레버/기기
팁은 특별히 제한되지는 않으나, 나노규모 팁, 예를 들자면 스캐닝 탐침(scanning probe) 미세규모 팁, 또는 특히 원자력 현미경 (AFM) 팁일 수 있다. 팁은 AFM에 사용되는 것과 같이 긴 캔틸레버의 단부에 위치될 수 있다. 팁은 AFM 화상화에 통상적으로 사용되는 것에 비해 더 길어서, 비교적 큰 가로세로 비를 가질 수 있다. 팁은 Si3N4, Si, SiOx, 다이아몬드상 탄소 (CLD), 다이아몬드, 금속 및 반도체 재료로 도핑된 팁 등으로 제작될 수 있다. 팁 정렬체가 사용될 수 있다. 팁은 지지 캔틸레버와 함께, 또는 그것 없이 사용될 수 있다. 팁은 예를 들면 100 nm 이하, 또는 50 nm 이하, 또는 25 nm 이하의 팁 반경을 가질 수 있다.
그 전체가 본원에 참조로 게재되는 장(Zhang) 등의 U.S. 공개 제2005/0255237호 (나노잉크 사)에 기술되어 있는 바와 같은 폴리디메틸실록산 (PDMS)-코팅 스탬프 팁(stamp tip)과 같이, 중합체에 의해 팁이 코팅될 수 있다. 포토마스크의 화상화에 사용되는 팁은 잉크를 침착시키는 데에 사용되는 팁과 다를 수 있다. 예를 들면, 화상화는 미량 Si3N4 팁을 사용하여 수행될 수 있는 반면, 잉크 침착은 PDMS-코팅된 스탬프 팁을 사용하여 수행된다. 잉크를 침착시키는 데에 사용되는 팁은 잉크로 코팅되기 전에 세척될 수 있다. 예를 들면, 기입 팁은 RCA1 용액 (부피 기준 H2O2:NH4OH:H2O 1:1:5) 중에서 70 ℃로 10분 동안 세척될 수 있다. 제3의 팁은 도 1A-1B와 관련하여 논의된 것과 같은 저항 가열 소자를 포함할 수 있다. 제4의 팁은 제거적 복구 팁을 포함할 수 있다. 다르게는, 제4 팁은 생략되며, 화상화 팁이 제거적 복구에 사용된다.
팁은 금속과 같은 전도성 재료로 코팅될 수 있다. 예를 들면 Si3N4 AFM 팁은 먼저 3 nm의 티타늄 (Ti)으로 코팅될 수 있으며, 다음에 추가 10 nm의 금 (Au)으로 코팅될 수 있다. 금속 코팅은 더 두껍거나 더 얇을 수 있으며, Cr, W 등과 같은 다른 금속들이 사용될 수 있거나, 또는 전도성으로 도핑된 AFM 팁이 사용될 수 있다. 이러한 전도성 팁들은 예를 들면 높은 Mo 함량의 잉크를 석영 또는 MoSi 마스크에 침착시키는 경우에 정전기 구축 문제를 감소시키거나 제거하기 위하여 사용될 수 있다. 어떠한 특정 이론에도 얽매이고자 하는 것은 아니나, 전도성 팁이 하전된 마스크와 접촉되는 경우, 정전기 전하가 소산되거나 중화되는 것으로 여겨진다. 전도성 팁은 소수성 또는 친수성의 분자에 의해, 예를 들면 금-코팅된 팁의 티올 관능화를 통하여 개질될 수 있다.
팁은 잉크를 포함하는 저장용기에 침지될 수 있다. DPN® 인쇄 전에, 팁은 잉크 침착 속도가 감소되어 안정화될 때까지 그것을 희생부재형(sacrificial) 기판과 반복적으로 접촉시키는 것에 의해 쥐어짜질 수 있다. 침착 속도 및 인쇄 특성은 체류 시간, 스캔 속도, 스캔 양식 (예컨대 접촉. 비-접촉, 간헐-접촉 양식), 및 AFM 캔틸레버의 스프링 상수와 같은 파라미터들을 변화시키는 것에 의해 추가로 조절될 수 있다.
NSCRIPTOR™ 기기를 포함하여, 미세규모 및 나노규모 리소그래피를 위한 기기 및 부대용품들은 나노잉크 사 (일리노이 시카고 소재)로부터 입수될 수 있다.
포토마스크
65 nm 노드, 45 nm 노드 등과 같이 알려져 있는 노드들에 사용되는 것들을 포함한 다양한 포토마스크들이 사용될 수 있다. 마스크 결함에 대해서는 업계에 알려져 있는데, 예를 들면 부가적 복구 공정에 의해 복구될 수 있는 투명 결함, 및 제거적 복구 공정에 의해 복구될 수 있는 불투명 결함이 포함된다. 피처를 빠뜨린 것이거나 불완전한 피처인 투명 결함에는 예를 들면 핀-홀, 파손되거나 얇아진 라인, 가장자리 또는 노치(notch) 결함, 및 모서리 결함이 포함된다. 결함 영역은 미세규모 또는 나노규모일 수 있다.
대표적인 유형의 마스크에는 교호 천공 변위 포토마스크 (AAPSM) 및 삽설 감쇠 변위 포토마스크 (EAPSM)이 포함된다. 강-변위체(strong-shifter)로도 지칭되는 AAPSM은 석영 마스크의 교호하는 투명 영역에서 180°-변위 윈도우를 에칭함으로써 제작될 수 있다. 약-변위체로도 지칭되는 EAPSM은 포토마스크의 투명 개구부 부근에 규소화 몰리브데넘 (MoSi)와 같은 부분적-투과성 180°-변위 재료를 침착시킴으로써 제작될 수 있다. 변위 마스크 및 그의 형성 방법에 대해서는 그 전체가 본원에 참조로 게재되는 시요타(Shiota) 등의 U.S. 특허 제7,011,910호에 기술되어 있다.
포토마스크는 복구되기 전에 세척될 수 있다. 예를 들면, 포토마스크는 피라나 용액(piranha solution) (업계에 알려져 있는 황산과 과산화수소의 혼합물)을 사용하여 60초 동안, 이어서 RCA1 용액 (부피 기준 H2O2:NH4OH:H2O 1:1:5) 중에서 120 ℃로 5.5분 및 탈이온수 (DI) 세정에 의해, 세척될 수 있다.
잉크 조성물
잉크 조성물은 가열 경화 실시양태를 위한 또는 방사선 경화 실시양태를 위한 것을 포함한 경화를 위해 적합화될 수 있다. 잉크 조성물은 포토마스크의 그것에 대략적으로 부합하기에 충분한 투명도 및 충분한 굴절률을 포함한 적합한 광학적 특성들을 제공하도록 추가로 개질될 수 있다. 경화된 잉크의 포토마스크와의 부합은 리소그래피 노광에 사용되는 파장 또는 그 부근에서 달성될 수 있으며, 그에 따라 복구된 포토마스크의 성능은 결함이 없는 포토마스크의 그것에 비해 실질적으로 떨어지지 않는다. 광학 현미경법 검사하의 대규모 복구 영역을 위한 간편한 지침으로 색상 맞추기가 사용될 수 있다.
잉크 조성물은 운반체 용매 및 졸-겔 전구체 화합물을 포함할 수 있다. 운반체 용매의 예에는 알콜 및 알칸을 포함한 유기 액체가 포함된다. 상기 알콜은 CnH(2n+2)O의 화학식을 가질 수 있으며, 여기서 4≤n≤17이다. 양성자성 또는 비양성자성 용매가 사용될 수 있다. 그 예에는 아세톤, 데칸올, 디메틸포름아미드 (DMF), 및 알파 테르피닐이 포함된다. 잉크 조성물의 점도 및 증발 속도는 용매 대 졸-겔 전구체의 비를 변화시킴으로써 조정될 수 있다. 예를 들면, 잉크 조성물은 잉크 중 전구체에 대비하여 약 5 중량% 내지 약 30 중량%, 예컨대 약 10 중량% 내지 25 중량%로 용매를 함유할 수 있다. 또한, 잉크 조성물의 점도는 용매 분자의 양 및 크기를 변화시키는 것에 의해, 예컨대 긴 탄소 사슬 알콜 (예컨대 CH3(CH2)nOH (여기서 n은 5 초과임))의 길이를 변화시키는 것에 의해, 조정될 수 있다. 기타 용매에는 디글라임 [비스(2-메톡시에틸)] 및 폴리(에틸렌 글리콜) ["PEG"]가 포함된다. 예를 들면, PEG가 사용되는 경우, 그 분자량은 약 200 g 내지 약 600 g, 예컨대 약 250 g일 수 있다. DMF가 PEG와 함께 잉크 중에 존재하는 경우라면, DMF 대 PEG의 부피 비는 약 1:10 내지 약 10:1, 예컨대 약 1:5 내지 약 5:1일 수 있다. MoSi 전구체가 데칸올에 용해되는 경우, MoSi 전구체와 데칸올 용액 대 DMF/PEG의 부피 비는 약 1:10 내지 약 10:1, 예컨대 약 1:5 내지 약 5:1일 수 있다. 다르게는, 아세톤이 DMF/PEG를 대체한다. 예를 들면, MoSi 전구체와 데칸올 용액 대 아세톤의 부피 비는 약 1:10 내지 약 10:1, 예컨대 약 1:5 내지 약 5:1이다.
잉크 조성물은 경화시 이산화규소 물질을 제공하도록 적합화된 1종 이상의 이산화규소 전구체를 함유할 수 있다. 예를 들면, 잉크 조성물은 1종 이상의 광경화성 실세스퀴옥산, 예컨대 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 포함할 수 있는데, 이것은 193 nm의 조사 파장을 가지며, 주로 실리카를 포함하는 미세- 또는 나노구조를 초래한다. 기타 이산화규소 전구체에는 하기가 포함되나, 이에 제한되는 것은 아니다: 하이브리드 플라스틱스(Hybrid Plastics) 사에 의해 상용화되어 있는 다면체 올리고머형 실세스퀴옥산 (POSS®), 규소 알콕시드, 및 테트라에톡시오르소실리케이트. 그 전체가 본원에 참조로 게재되는 U.S. 특허 제5,853,808호에 개시되어 있는 실세스퀴옥산 역시 사용될 수 있다.
PCESQ는 특히 플루오린화물 이온 촉매와 혼합되었을 때 중간-온도 열경화성 잉크로서 적합하다. 플루오린화물 이온 촉매 (예컨대 플루오린화 테트라부틸암모늄)를 사용한 촉매촉진은 예컨대 250 ℃ 미만, 바람직하게는 200 ℃ 미만으로 실세스퀴옥산 경화 온도를 낮추어 준다.
잉크 조성물은 경화시 금속 물질을 제공하도록 적합화된 금속 전구체, 예컨대 금속 나노입자, 금속염, 금속 알콕시드, 및 금속 아세틸아세토네이트를 함유할 수도 있다. 몰리브데넘 나노입자는 규소화 몰리브데넘 및 산화 몰리브데넘 나노입자 또는 분말을 포함한다. 바람직하게는, 나노입자의 평균 직경은 복구될 통상적인 결함에 비해 훨씬 더 작아서, 예를 들면 직경이 수 나노미터이다. 금속 전구체에는 또한 몰리브데넘염 또는 몰리브데넘산염이 포함된다. 그 예에는 몰리브도실릭산 및 그의 염, 몰리브데넘 트리옥시드, 몰리브데넘의 헤테로폴리산, 암모늄 몰리브데이트, 및 몰리브데이트 음이온의 알칼리 금속 또는 알칼리토 금속염이 포함된다. 예를 들면, 1:1 부피 비의 HCl 및 H2O2로 구성되는 용액 중에 MoO2 나노입자를 첨가함으로써, MoClx 또는 MoOyClx가 형성된다. 기타 몰리브데넘 화합물에는 하기가 포함된다: 염화 몰리브데넘(III) (MoCl3), 염화 몰리브데넘(V) (MoCl5), 이산화 몰리브데넘(VI) 디클로리드 (MoO2Cl2), 산화 몰리브데넘(VI) 테트라클로리드 (MoOCl4).
금속 알콕시드에는 하기 금속들의 알콕시드가 포함된다: Sc, Ga, Y, La, Ln, Si, Ti, Ge, Zr, Hf, Nb, Ta, Mo, W, Fe, Co, Ni, Re, Pd. 금속 알콕시드의 예에는 하기가 포함된다: Ti(OC3H7-iso)4, Nb2(OCH3)10, Ta2(OCH3)10, [MoO(OCH3)4]2, Re2O3(OCH3)6, Re4O6(OCH3)12, 및 Re4O6(OC3H7-iso)10. 예를 들면, 몰리브데넘(V) 에톡시드가 사용된다. 몰리브데넘(V) 알콕시드 및 2종금속 알콕시드의 합성 및 단리에 대해서는 그 전체가 본원에 참조로 게재되는 문헌 ["The solution thermolysis approach to molybdenum(V) alkoxides: synthesis, solid state and solution structures of the bimetallic alkoxides of molybdenum(V) and niobium(V), tantalum(V) and tungsten(VI)," A. Johansson et al., J. Chem . Soc , Dalton Trans. 2000, 387-398]에 기술되어 있다.
금속 아세틸아세토네이트에는 하기 금속들의 아세틸아세토네이트가 포함된다: 그 전체가 본원에 참조로 게재되는 문헌 ["Metal Acetylacetonates as General Precursors for the Synthesis of Early TransitionMetal Oxide Nanomaterials," A. Willis et al., J. Nanomaterials 2007, 1-7 (Article ID 14858)]에 기술되어 있는 바와 같은 Ti, Fe, Ga, Zn, In, V, Nb, Ta, Hf, Mo, Mn, Cr, 및 Sn. 예를 들면, 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트)가 사용된다.
잉크의 광학적 특성은 잉크 성분들의 농도를 변화시킴으로써, 예컨대 잉크 내 금속 전구체 대 이산화규소 전구체의 비를 변화시킴으로써, 조정될 수 있다. 예를 들면, 잉크 중 Mo 대 Si의 원자 비는 약 1:50 내지 약 50:1, 예컨대 약 1:25 내지 약 25:1, 예컨대 약 1:10 내지 약 10:1, 더욱 특히는 약 1:5 내지 약 5:1과 같을 수 있다. Mo 대 Si 원자 비의 조절은 몰리브데넘 전구체 대 이산화규소 전구체의 부피 또는 중량 비 중 어느 것을 변화시킴으로써 수행될 수 있다. 예를 들어, 몰리브데넘 전구체 대 이산화규소 전구체의 부피 비는 약 1:50 내지 약 50:1, 예컨대 약 1:25 내지 약 25:1, 예컨대 약 1:10 내지 약 10:1, 더욱 특히는 약 1:5 내지 약 5:1의 범위이다. 대안적인 실시양태에서, 몰리브데넘 전구체 대 이산화규소 전구체의 중량 비는 약 1:50 내지 약 50:1, 예컨대 약 1:25 내지 약 25:1, 예컨대 약 1:10 내지 약 10:1, 더욱 특히는 약 1:5 내지 약 5:1의 범위이다. 예를 들면, 졸-겔 잉크 제제는 PCESQ, 및 Mo(V) 에톡시드 또는 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 중 1종 이상으로부터 제조되는 몰리브데넘 전구체를 포함한다.
잉크 조성물은 팁에 코팅되고, 다음에는 팁으로부터 기판으로 침착되는 능력을 가지도록 적합화될 수 있다.
복구된 마스크의 특성
복구된 마스크의 장점은 경화된 잉크가 마스크게 잘 접착되고, 반도체 업계에서 통상적으로 사용되는 세척 단계를 견뎌낸다는 것이다. 예를 들어, 경화된 잉크는 피라나 용액, RCA1 용액, RCA2 용액, 및 탈이온수에서의 반복되는 세척 단계들을 견뎌낼 수 있다. 바람직하게도, 경화된 잉크의 열 팽창 계수는 포토마스크의 그것과 대략적으로 동일하게 됨으로써, 경화된 잉크가 열 주기 동안 균열 또는 포토마스크로부터의 박리 없이 팽창하여 주변의 포토마스크와 접촉되는 것을 가능케 한다.
복구된 마스크의 추가적인 장점은 경화된 잉크의 광학적 특성이 미손상 마스크의 것들과 대략적으로 부합한다는 것이다. 예를 들어, Mo-Si 기재 EAPSM 필름의 광학적 특성에 대해서는 그 전체가 본원에 참조로 게재되는 문헌 [H. Kobayashi et al., "Photomask blanks quality and functionality improvement challenges for the 130-nm node and beyond," Proc . SPIE , Vol. 4349, p. 164-169, 17th European Conference on Mask Technology for Integrated Circuits and Microcomponents, Uwe F. Behringer; Ed. (2001)]의 특히 도 3에 제시되어 있다. 경화된 잉크의 투과도는 예를 들면 입사광의 약 5 % 내지 약 25 %일 수 있다. 고투과도 마스크의 경우, 그것은 예를 들면 5 % 내지 10 %, 또는 10 % 내지 20 %일 수 있다. 경화된 잉크의 투과도는 예를 들면 경화된 잉크의 굴절률 (n), 소광 계수 (k), 및 두께 (t)를 변화시킴으로써 조정된다. 193 nm의 파장을 가지는 입사광에 있어서, 경화된 잉크의 굴절률 (n)은 약 1.00 내지 약 2.6, 예컨대 약 1.30 내지 약 2.45, 예를 들면 약 2.0 내지 약 2.4, 더욱 특히는 약 1.55 내지 약 2.03과 같을 수 있다. 193 nm의 파장을 가지는 입사광에 있어서, 경화된 잉크의 소광 계수 (k)는 약 0.03 내지 약 0.90, 예컨대 약 0.20 내지 약 0.75, 예를 들면 약 0.3 내지 약 0.6, 더욱 특히는 약 0.38 내지 약 0.63과 같을 수 있다. 경화된 잉크의 두께 (t)는 수 나노미터로부터 수백 마이크로미터 이상까지 달라질 수 있으나, 바람직하게는 약 10 nm 내지 1,000 nm, 예컨대 약 30 nm 내지 약 650 nm, 더욱 특히는 약 50 nm 내지 약 100 nm이다.
도면의 설명을 포함하는 하기의 비제한적 실시예들을 사용하여 본 발명을 추가로 기술한다.
실시예 1
PCESQ 조성물의 제조, DPN® 인쇄 및 열 경화
시중-구입가능 교호-천공 포토마스크 (AAPSM)를 피라나 용액 (업계에 알려져 있는 황산과 과산화수소의 혼합물)으로 60초 동안, 이어서 RCA1 용액 (부피 기준 H2O2:NH4OH:H2O 1:1:5) 중에서 120 ℃로 5.5분 동안 세척한 후, 탈이온수 (DI)로 세정하였다. 시중-구입가능 질화 규소 팁을 RCA1 중에서 70 ℃로 10분 동안 세척하였다. 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ") 및 데칸올 (밀도 0.8297 g/ml)를 부피 기준 10:1의 비로 혼합함으로써, 졸-겔 혼합물을 제조하였다. 졸-겔 혼합물에 15초 동안 침지함으로써 팁을 코팅하였다.
AFM에 의해 마스크의 일부를 화상화하고, 깊이가 200-nm 이상인 3개의 구멍을 포함하는 영역을 찾아내었다. 도 2A는 주변 조건 (22 ℃ 내지 24 ℃ 및 20 % 내지 40 % 상대 습도)하에서 스캐닝 탐침 현미경법 기기 NSCRIPTOR™ (나노잉크 사, 일리노이 스코키 소재)를 사용하여 DPN® 인쇄를 통해 중앙부 구멍을 복구한 후의 AAPSM의 AFM 이미지를 나타낸다. 침착 후, 기판을 오븐에서 120 ℃로 16시간 동안 가열한 후, 이어서 휴대용 히트 건을 사용하여 (~300 ℃) 5분 동안 가열하였다. 도 2B는 도 2A의 "라인 1"에 따른 높이 프로필 라인-스캔을 나타내는 것으로써, 중앙부 구멍이 실질적으로 충진되었음을 보여준다.
결함 영역 상에서의 팁의 체류 시간은 달라질 수 있다. 임의로, 과량의 경화 재료를 제거하기 위하여, 제거적 복구가 수행될 수 있다.
상기한 방법에 의해 형성된 경화 SiO2 구조는 석영, 유리, 및 이산화규소 상에서의 피라나 용액, RCA1 용액을 사용한 세척, 및 DI수를 사용한 세정에 대한 현저한 견고성을 나타내었다. 예를 들면, 반복된 세척 후의 평균 높이 및 마이크로도트(microdot) 폭의 변화는 약 3 % 미만 (오차 한계 이내)이었다. 따라서, 경화된 SiO2 구조는 화학적 및 기계적으로 안정하다.
실시예 2
PCESQ 조성물의 광경화
실시예 1에 기술된 바와 같이 DPN® 인쇄를 사용하여 석영 기판 상에 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")를 침착시킴으로써, 33개 마이크로도트의 배열을 형성시켰다. 광경화 전의 경화되지 않은 졸-겔 구조는 AFM에 의해 화상화되기에는 너무 연질이었으나, 광학 현미경법을 통해서는 용이하게 관찰될 수 있었다. 다음에, 193 nm의 파장을 가지는 시중의 ArF 엑시머에 의한 원 UV 방사선을 사용하여 상기 구조를 가열하였다. 조사는 13.3 mJ/cm2/펄스의 펄스 선량 및 250 J/cm2의 총 선량을 사용하여 수행하였다.
실시예 3
MoSi 조성물의 광학적 특성
물리적 증착 (PVD)에 의해 66 nm-두께의 MoSi 박막을 침착시켰다. 파장의 함수로써 필름의 굴절률 (n) 및 소광 계수 (k)를 측정하기 위하여, Mo-Si 필름 상에서 타원편광측정법(Ellipsometry) 측정을 수행하였다. 도 3A는 PVD-침착 필름에 있어서의 nk 대 파장의 플롯이다. 193 nm 파장의 경우, n = 2.45이었으며, 0.38≤k≤0.55이었다.
제제화:
4종의 상이한 잉크 제제들을 제조하고 (A, B, C, D로 표지화), 3개 라운드의 시험으로 그의 광학적 특성을 시험하였다. I 라운드에서, 제제 A (1, 2)는 0.002 g의 MoO2 나노입자 및 1 μL의 PSESQ를 함유하였다. 제제 B (3)는 1:4 부피 비의 MoOxCly 및 PSESQ를 함유하였다. 제제 C (4, 5)는 각각 1:7 및 1:15 부피 비의 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하였다. 제제 D (6, 7)는 각각 1:200 및 1:400 부피 비의 Mo(OCH2CH3)5 및 PCESQ를 함유하였다. 표 1은 193 nm 파장에서의 I 라운드에 대한 타원편광측정법 데이터를 나타낸다.
<표 1>
Figure pct00001
II 라운드에서, 제제 D (1, 2, 3, 4)는 하기의 부피 비로 Mo(OCH2CH3)5 및 PCESQ를 함유하였다: 각각 1:30, 1:60, 1:90, 및 1:120. 제제 C (5, 6, 7)는 하기의 부피 비로 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하였다: 각각 1:4, 1:8, 및 1:12. 표 2는 193 nm 파장에서의 II 라운드에 대한 타원편광측정법 데이터를 나타낸다.
<표 2>
Figure pct00002
III 라운드에서, 제제 C (1, 2, 3, 4)는 하기의 부피 비로 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ를 함유하였다: 각각 1:1, 5:1, 10:1, 및 20:1. 제제 D (5, 6, 7)는 하기의 부피 비로 Mo(OCH2CH3)5 및 PCESQ를 함유하였다: 각각 2.5:1, 1:1+데칸올, 및 1.5:1. 표 3은 193 nm 파장에서의 III 라운드에 대한 타원편광측정법 데이터를 포함한다.
<표 3>
Figure pct00003
도 3B-3D는 각각 I, II 및 III 라운드에서의 선택된 잉크에 대한 측정된 nk 대 파장의 플롯이다. 구체적으로, 도 3B는 I 라운드 제제 C (5)에 대한 nk 대 파장의 플롯이다. 도 3C는 II 라운드 제제 C (5)에 대한 nk 대 파장의 플롯이다. 도 3D는 III 라운드 제제 D (7)에 대한 nk 대 파장의 플롯이다. 특히, 도 3C-3D의 nk 곡선은 도 3A에서의 CVD-침착 MoSi 필름과 동일한 일반적 경향을 따른다. nk의 최종 값은 193 nm 파장에 대하여 나타내었다.
실시예 4
Mo(V) 에톡시드 및 PCESQ 조성물의 제조
Mo(V) 에톡시드의 에탄올계 모용액에 데칸올 (밀도 0.8297 g/ml)을 첨가하고, 에탄올이 증발될 때까지 에펜도르프 튜브에서 24 내지 72시간 동안 용액을 개방하여 방치함으로써, 8종의 잉크 제제를 제조하였다. 증발 후, 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 용액에 첨가하였다. 각 잉크 제제에 대하여, 각 성분의 상대적인 양을 표 4에 나타내었다. 각 잉크 제제에서의 데칸올의 중량 백분율은 Mo(V) 에톡시드 및 PCESQ의 총량에 대비하여 측정하였다.
<표 4>
Figure pct00004
실시예 5
Mo(V) 에톡시드 및 PCESQ 조성물로부터의 MoSi 박막의 제조
Mo(V) 에톡시드의 에탄올계 모용액에 데칸올 (밀도 0.8297 g/ml)을 첨가하고, 에탄올이 증발될 때까지 에펜도르프 튜브에서 24 내지 72시간 동안 혼합물을 개방하여 방치함으로써, 잉크 제제를 제조하였다. 증발 후, 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 용액에 첨가하였다. Mo(V) 에톡시드 대 PCESQ의 비는 중량 기준 1:10이었다. 데칸올의 양은 Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%이었다. 이산화규소 기판 (1 제곱 인치)을 HF로 처리한 후, 400 μL의 잉크 제제를 사용하여 500 rpm으로 5초 동안, 이어서 1500 rpm으로 30초 동안 회전 코팅하였다. 약 300 ℃에서 60분 동안 필름을 가열하였다. 그 결과는 240 nm의 두께를 가지는 MoSi의 박막이었다. 예를 들면 400 μL 미만, 예컨대 약 50 μL 내지 약 300 μL의 잉크를 침착시킴으로써, 약 100 nm의 두께가 수득될 수 있다. 경화된 잉크 상에서 타원편광측정법 측정을 수행하고, 곡선 피팅을 수행하여, 필름 두께와 nk 값을 수득하였다. 도 4는 1.5 eV 내지 6.5 eV의 스펙트럼 범위 및 240 nm의 필름 두께에 있어서, 190 nm 파장에서의 nk 값이 각각 1.7 및 0.2이었음을 보여준다.
실시예 6
Mo(V) 에톡시드 및 PCESQ 조성물의 DPN® 인쇄
Mo(V) 에톡시드의 에탄올계 모용액에 데칸올 (밀도 0.8297 g/ml)을 첨가하고, 에탄올이 증발될 때까지 에펜도르프 튜브에서 24 내지 72시간 동안 용액을 개방하여 방치함으로써, 잉크 제제를 제조하였다. 증발 후, 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 용액에 첨가하였다. Mo(V) 에톡시드 대 PCESQ의 비는 부피 기준 1:5이었다. 데칸올의 양은 Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%이었다. DPN® 인쇄를 사용하여 SiO2 기판 상에 AFM 팁으로부터 잉크 제제를 침착시키고, 200 ℃에서 1시간 동안 열적으로 경화하였다. 도 5는 각 침착 영역 상에서 팁을 20초 (하부 열), 40초 (중앙 열), 및 60초 (상부 열) 동안 유지함으로써 형성된 경화 잉크 마이크로도트 3×3 배열의 AFM 이미지를 나타낸다.
실시예 7
플루오린화 테트라부틸암모늄 촉매를 포함하는 Mo(V) 에톡시드 및 PCESQ 조성물
Mo(V) 에톡시드의 에탄올계 모용액에 데칸올 (밀도 0.8297 g/ml)을 첨가하고, 에탄올이 증발될 때까지 에펜도르프 튜브에서 24 내지 72시간 동안 용액을 개방하여 방치함으로써, 제1 잉크 제제를 제조하였다. 증발 후, 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 용액에 첨가하였다. Mo(V) 에톡시드 대 PCESQ의 비는 중량 기준 1:4이었다. 데칸올의 양은 Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%이었다. HF-처리된 규소 기판 상에 회전 코팅함으로써, 제1 잉크 제제를 침착시켰다. 200 ℃에서 1시간 동안 필름을 가열하였다. 필름에 대하여 X-선 광전자 분광법 (XPS)을 수행하였다. 도 6A는 경화된 제1 잉크의 XPS 스펙트럼으로써, 290 eV 부근에서의 탄소 피크의 존재를 보여준다.
최종 혼합물에 6 중량%의 플루오린화 테트라부틸암모늄 촉매를 첨가한 것 이외에는, 제1 잉크 제제에 사용된 방법 및 조성에 따라 제2 잉크 제제를 제조하였다. 첨가된 촉매의 양은 MoSi 용액 총 중량의 6 % 이었다. HF-처리된 규소 기판 상에 회전 코팅함으로써, 제2 잉크 제제를 침착시켰다. 200 ℃에서 1시간 동안 필름을 가열하였다. 필름에 대하여 X-선 광전자 분광법 (XPS)을 수행하였다. 도 6B는 경화된 제2 잉크의 XPS 스펙트럼으로써, 290 eV 부근에서 도 6A에 비해 훨씬 더 작은 피크를 나타냄으로써, 촉매의 존재로 인한 탄소 피크의 억제를 표시하였다. 도 6C는 350 ℃로 가열된 제2 잉크의 XPS 스펙트럼을 나타낸다. 작은 탄소 피크를 볼 수 있는데, 샘플 취급 동안의 탄소 오염에 기인한다. 도 6B의 상대적으로 더 작은 탄소 피크로 입증되는 바와 같이, 이러한 결과는 플루오린화 테트라부틸암모늄 촉매가 잉크 제제의 경화 온도를 감소시켰음을 보여준다.
Mo(V) 에톡시드의 에탄올계 모용액에 데칸올 (밀도 0.8297 g/ml)을 첨가하고, 에탄올이 증발될 때까지 에펜도르프 튜브에서 24 내지 72시간 동안 용액을 개방하여 방치함으로써, 제3 잉크 제제를 제조하였다. 증발 후, 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")을 용액에 첨가하였다. Mo(V) 에톡시드 대 PCESQ의 비는 중량 기준 1:4이었다. 데칸올의 양은 Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%이었다. Mo(V) 에톡시드 대 PCESQ의 비는 부피 기준 1:4이었다. 데칸올의 양은 17 μL이었다 (Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%). 다음에, 3 중량%의 플루오린화 테트라부틸암모늄 촉매를 제3 잉크 제제에 첨가하였다. 첨가된 촉매의 양은 Mo(V)Si 용액 총 중량의 3 %이었다. 도 7A-7B는 DPN® 인쇄를 사용하여 SiO2 표면에 제3 잉크 제제가 침착되기 전 (도 7A) 및 후 (도 7B)의 포토마스크 결함 배열의 광학 현미경 이미지를 나타낸다. 도 7B의 잉크는 경화하지 않았다. 팁 유지 시간은 결함 당 10초이었다.
실시예 8
Mo(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ 조성물의 제조
Mo(VI) 옥시드 비스(2,4-펜탄디오네이트)를 500 μL의 에탄올에 용해시키고, 실온에서 수주 동안 환원시켰다. 환원은 1주 후에 개시되는 것으로 관찰되었으며, 4주 후에 완료에 이르렀다. 환원시 용액의 색상은 황색 색상으로부터 짙은 청색으로 변화되었다. 다음에, 용액을 폴리(2-클로로에틸)실세스퀴옥산 ("PCESQ")과 함께 데칸올 (밀도 0.8297 g/ml)에 첨가하였다. 각 성분의 상대적인 양을 각 잉크 제제별로 표 5에 나타내었다. Mo(VI)의 중량 기준 양을 측정하기 위하여, 알려져 있는 양 (20 μL)의 에탄올계 Mo(VI) 용액의 용매를 증발시켰다. 측정된 중량을 20 μL Mo(VI) 샘플에 있어서의 표준 중량으로 사용하였다. 원하는 비에 따라 적절한 양의 PCESQ를 첨가하였는데, 예를 들어 20 μL의 용액은 에탄올 증발 후에 0.001 g의 고체를 산출하였다. 이에 따라, 0.01 g의 Mo(VI)를 수득하기 위하여, 200 μL의 용액을 사용하였다. 각 잉크 제제 중 테칸올의 중량 백분율은 Mo(VI) 옥시드 비스(2,4-펜탄디오네이트) 및 PCESQ 총 중량에 대비하여 측정하였다.
<표 5>
Figure pct00005
도 8A-8B는 DPN® 인쇄를 사용하여 결함 영역을 가로질러 코팅된 AFM 팁을 천천히 스캐닝하는 것에 의해 잉크 제제 3 (부피 기준 1:10의 Mo(VI) 옥시드 비스(2,4-펜탄디오네이트):PCESQ)으로 결함 충진을 수행하기 전 (도 8A) 및 후 (도 8B)의 결함 배열의 AFM 이미지를 나타낸다. 샘플은 200 ℃에서 1시간 동안 경화하였다. 각 결함 피처는 각각 약 2 ㎛ 및 0.6 ㎛의 길이 및 폭을 가진다.
실시예 9
Mo(V) 에톡시드 및 PCESQ 조성물의 DPN® 인쇄
실시예 5에 기술되어 있는 방법에 따라 잉크 제제를 제조하였다. Mo(V) 에톡시드 대 PCESQ의 비는 중량 기준 1:10이었다. 데칸올의 양은 Mo(V) 에톡시드 및 PCESQ 총 중량의 20 중량%이었다. 다음에, 부피 기준 4:1 비의 DMF 및 PEG (분자량 250 g)을 제1 잉크 제제에 첨가하였다. Mo(V)Si 및 DMF:PEG 용액의 비는 1:5이었다. DPN® 인쇄를 사용하여 석영 포토마스크의 2 ㎛-폭 70 nm-깊이 구멍에 AFM 팁으로부터 잉크 제제를 침착시켰다. 침착된 잉크를 200 ℃에서 1시간 동안 경화하였다. 도 9A-9B는 경화된 잉크에 의해 실질적으로 충진된 구멍의 각각 2-차원 및 3-차원 AFM 이미지를 나타낸다. 도 9C는 도 9B의 "라인 1"에 따른 높이 프로필 라인-스캔을 나타내는데, 위치 "a" 및 "b"는 각각 복구된 구멍 및 인접한 비충진 구멍을 나타낸다.
실시예 10
경화된 MoSi 잉크의 안정성
DPN® 인쇄를 사용하여 SiO2 기판 상에 20 중량%의 데칸올 중 1:10 비의 Mo(V)Si를 함유하는 잉크 제제를 침착시킴으로써 MoSi 마이크로도트의 배열을 형성시킨 후, 오븐에서 225 ℃로 60분 동안 상기 배열을 가열하였다. 경화 후, 하기 조건하에서의 3 라운드의 세척에 상기 배열을 적용하였다: 피라나 (부피 기준 3:1의 H2SO4:H2O2) 중에서 65 ℃로 120초, 이어서 20 와트/cm2으로 30초 동안 초음파처리, 이어서 4 와트/cm2으로 30초 동안 초음파처리, 이어서 120초의 DI 세정, 이어서 100 ℃에서 15분 동안 가열. 도 10은 각 세척 라운드 전 및 후의 상기 배열의 일련의 AFM 이미지들을 나타낸다. 3개 라운드 전 및 후의 MoSi 마이크로도트의 평균 높이 (83 nm) 및 폭 (1.7 ㎛)은 3 % 이내 (오차 한계 이내)이었으며, 따라서 공격적인 세척 처방에 의해 눈에 띄게 영향받지는 않았다. 유사한 결과 (미도시)를 각각 14.5 nm 및 600 nm의 평균 높이 및 폭을 가지는 MoSi 마이크로도트에 대하여 수득하였다.
실시예 11
Mo(V) 에톡시드 및 PCESQ 조성물의 광경화
DPN® 인쇄를 사용하여 SiO2 및 석영 마스크 기판 상에 Mo(V) 에톡시드 및 PCESQ를 함유하는 잉크 제제를 침착시키고, 엑시머 레이저 조사에 의해 광경화함으로써, MoSi 마이크로도트의 배열을 형성시켰다. 엑시머 레이저의 파장은 다양한 조사 조건하에서 193 nm이었으며: 에너지 밀도는 5, 25, 50, 75 및 100 mJ/cm2로 변화시켰다. 반복 속도는 20 내지 50 Hz로 변화시켰다. 펄스의 수는 100, 4000, 6000, 7600, 12000 및 60000으로 변화시켰다. 공정 시간은 5, 80, 120, 200, 240, 300, 390 및 1200초로 변화시켰다.
도 11A-11E는 생성된 2×2 MoSi 마이크로도트 배열의 AFM 이미지 및 상응하는 라인 스캔을 나타낸다. 도 11A에서, 조사 조건은 하기이다: 5 mJ/cm2, 50 Hz, 60000 펄스, 1200초, 10초. 도 11B에서, 조사 조건은 하기이다: 25 mJ/cm2, 50 Hz, 12000 펄스, 240초, 10초. 도 11C에서, 조사 조건은 하기이다: 50 mJ/cm2, 20 Hz, 6000 펄스, 300초, 10초. 도 11D에서, 조사 조건은 하기이다: 75 mJ/cm2, 20 Hz, 4000 펄스, 200초, 10초. 도 11E에서, 조사 조건은 하기이다: 25 mJ/cm2, 50 Hz, 12000 펄스, 240초, 1초.
추가적인 작업 실시예는 도 12-32에 나타내었다.
또 다른 실시예에서는, 규소 및 석영 기판에 대한 MoSi 박막 침착을 수행하였다. 회전 코팅을 사용하여 약 75 nm의 두께를 가지는 필름을 제조하였다. 석영 재료 상의 MoSi 필름은 증발 MoSi 박막과 색상이 유사하였다. 용매 시스템 DMF-PEG는 아세톤으로 대체하였다. 두께 및 광학적 특성은 타원편광측정법으로 측정하였다.
Figure pct00006
석영 마스크 상에 저렴하게 MoSi 필름을 제조하기 위한 또 다른 실시예에서, 석영 마스크 상에 MoSi 박막을 제조하였다. 석영 마스크로부터 채취한 1 제곱 인치의 파편 상에 200 마이크로리터의 MoSi 잉크 (1:10 비)를 침착시켰다. 스핀 코팅장치를 사용하여 500 rpm에서 5초 동안, 이어서 1500 rpm에서 30초 동안 회전(spinning)을 수행하고, 250 내지 350 ℃ 사이로 1시간 동안 파편을 경화함으로써, 우수하고 매끄러운 필름을 형성시켰다. 400 마이크로리터를 사용하는 경우, 300 nm 필름이 형성되었다. 목표 두께는 100 nm이다.
타원편광측정법 측정:
타원편광측정법은 박층 두께를 측정하는 데에 사용되는 비-파괴 광학 기술이다. 그것은 재료의 두께, 광학적 특성은 물론, 밴드갭과 같은 박막 특성화를 위한 유용한 능력을 가진다. 이 기술은 샘플 표면으로부터의 반사시 광 편광화의 변화를 측정하는 것을 바탕으로 하는데; 타원편광측정법은 극한의 정밀도로 박막 두께 및 광학적 특성 (굴절률 "n" 및 흡수 계수 "k")을 추출한다. 분광학적 능력은 다수의 파라미터, 예를 들면 다층 두께 및 박막 적층체 조성의 동시 측정을 가능케 한다. UVISEL 상 변조 분광법 타원편광측정장치 (조빈 이본(Jobin Yvon), Inc. 사)에 의해 수집된 타원편광측정법 미가공 데이터는 통상적인 타원편광측정법 데이터로 전환된다. 두께, 및 광학 상수 n 및 k와 같은 재료 특성들은 실험 데이터를 타원편광측정법 분석 소프트웨어인 델타 싸이(Delta Psi) 2에 의해 구축되어 있는 이론적 모델에 피팅함으로써 추론된다. 모델의 유효성 및 견고성은 카이 제곱(χ2)법을 바탕으로 하는 최소화 알고리듬을 사용하는 것, 및 상관 행렬을 계산하는 것에 의해 모든 측정점에 대하여 이중으로 점검된다. 본원에서 제시되는 도면에 나타낸 MoSi 필름의 광학적 특성들은 190 내지 820 nm의 상이한 파장에서 n의 경우 청색 선으로, k의 경우 적색 선으로 제시된다.
하기의 실험 조건들을 MoSi 박막에 사용하였다:
■ 측정의 스펙트럼 범위 190 내지 820 nm
■ 스폿(spot) 크기: 1 mm 직경
■ 통합 시간: 200 ms.

Claims (46)

  1. 운반체 용매;
    실세스퀴옥산을 포함하는 이산화규소 전구체; 및
    극성 양성자성 용매, 및 몰리브데넘(V) 에톡시드; 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트); 또는 MoxLy (여기서 L은 유기 분자 또는 리간드를 포함함) 중 1종 이상을 포함하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성되는 몰리브데넘 전구체
    를 혼합함으로써 형성되는 졸-겔 조성물.
  2. 제1항에 있어서, 실세스퀴옥산이 폴리(2-클로로에틸)실세스퀴옥산을 포함하는 졸-겔 조성물.
  3. 제1항에 있어서, 용액이 몰리브데넘(V) 에톡시드를 포함하며, 졸-겔 조성물이 약 1:50 내지 약 50:1 비의 몰리브데넘 원자 대 규소 원자를 포함하는 졸-겔 조성물.
  4. 제3항에 있어서, 상기 비가 약 1:10 내지 약 10:1인 졸-겔 조성물.
  5. 제1항에 있어서, 용액이 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트)를 포함하며, 졸-겔 조성물이 약 1:50 내지 약 50:1 비의 몰리브데넘 원자 대 규소 원자를 포함하는 졸-겔 조성물.
  6. 제5항에 있어서, 상기 비가 약 1:10 내지 약 10:1인 졸-겔 조성물.
  7. 제1항에 있어서, 극성 양성자성 용매가 에탄올을 포함하는 것인 졸-겔 조성물.
  8. 제1항에 있어서, 운반체 용매가 약 5 % 내지 약 30 % 중량 백분율의 이산화규소 및 몰리브데넘 전구체를 포함하며, 운반체 용매가 CnH(2n+2)O (여기서 4≤n≤17임)의 화학식을 가지는 알콜을 포함하는 것인 졸-겔 조성물.
  9. 제8항에 있어서, 중량 백분율이 약 15 % 내지 약 25 %이며, 운반체 용매가 데칸올을 포함하는 것인 졸-겔 조성물.
  10. 제1항에 있어서, 졸-겔 조성물의 경화 온도를 낮출 수 있는 촉매를 추가로 포함하는 졸-겔 조성물.
  11. 제10항에 있어서, 촉매가 플루오린화 테트라부틸암모늄인 졸-겔 조성물.
  12. 제1항에 있어서, 디메틸포름아미드 및 폴리에틸렌 글리콜 중 적어도 하나를 추가로 포함하는 졸-겔 조성물.
  13. 제12항에 있어서, 약 1:10 내지 약 10:1의 디메틸포름아미드 대 폴리에틸렌 글리콜의 부피 비로 디메틸포름아미드 및 폴리에틸렌 글리콜을 포함하는 졸-겔 조성물.
  14. 제1항에 있어서, 아세톤을 추가로 포함하는 졸-겔 조성물.
  15. 제1항에 따른 졸-겔 조성물을 경화함으로써 형성되며, 상기 경화 단계가
    약 100 ℃ 내지 약 350 ℃의 온도에서 졸-겔 조성물을 가열하는 것; 또는
    심-UV, UV, UV-가시광, 또는 가시광 방사선 중 1종 이상을 사용하여 졸-겔 조성물을 조사하는 것
    중 적어도 하나를 포함하는 것인 고체.
  16. 제15항에 있어서, 193 nm의 파장에서 측정하였을 때 약 1.15 내지 약 2.5의 굴절률을 가지는 고체.
  17. 제16항에 있어서, 굴절률이 약 1.5 내지 약 2.0인 고체.
  18. 제15항에 있어서, 포토마스크의 적어도 일부 상에 배치되는 고체.
  19. 제18항에 있어서, 포토마스크의 투명 결함의 적어도 일부 내에 배치되는 고체.
  20. 팁 단부 상에 배치된 잉크를 포함하며, 여기서 상기 잉크는 운반체 용매와 실세스퀴옥산을 혼합함으로써 형성되는 졸-겔 조성물을 포함하는, 나노규모 팁을 제공하는 것;
    결함 영역을 포함하는 포토마스크를 제공하는 것;
    상기 팁을 포토마스크의 결함 영역과 접촉시키고, 거기에서 잉크를 팁으로부터 상기 영역으로 전달하는 것; 및
    약 100 ℃ 내지 약 350 ℃의 온도에서 잉크를 가열하는 것, 또는
    심-UV, UV, UV-가시광, 또는 가시광 방사선 중 1종 이상으로 잉크를 조사하는 것 중 적어도 하나에 의해 경화된 잉크를 형성하는 것
    을 포함하는 포토마스크의 복구 방법.
  21. 제20항에 있어서, 경화된 잉크를 형성하는 단계가 약 100 ℃ 내지 약 350 ℃의 온도에서 잉크를 가열하는 것을 포함하는 방법.
  22. 제21항에 있어서, 온도가 약 100 ℃ 내지 약 250 ℃인 방법.
  23. 제21항에 있어서, 온도가 스캐닝 탐침 현미경 팁 또는 스캐닝 탐침 현미경 캔틸레버 중 적어도 하나 상에 위치된 저항 히터로부터 제공되는 방법.
  24. 제20항에 있어서, 형성하는 단계가 심-UV, UV, UV-가시광, 또는 가시광 방사선 중 1종 이상을 포함한 전자기 방사선에 잉크를 노광시키는 것을 포함하는 방법.
  25. 제24항에 있어서, 형성하는 단계가 100 mJ/cm2 이상의 총 선량을 포함하는 심-UV 방사선에 잉크를 노광시키는 것을 포함하는 방법.
  26. 제20항에 있어서,
    졸-겔 조성물이 운반체 용매, 실세스퀴옥산, 및 몰리브데넘 전구체를 혼합함으로써 형성되며;
    몰리브데넘 전구체가 극성 양성자성 용매, 및 몰리브데넘(V) 에톡시드; 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트); 또는 MoxLy (여기서 L은 유기 분자 또는 리간드를 포함함) 중 1종 이상을 포함하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성되는 방법.
  27. 제26항에 있어서, 실세스퀴옥산이 폴리(2-클로로에틸)실세스퀴옥산을 포함하는 것인 방법.
  28. 제27항에 있어서, 용액이 몰리브데넘(V) 에톡시드를 포함하는 것인 방법.
  29. 제27항에 있어서, 용액이 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트)를 포함하는 것인 방법.
  30. 제26항에 있어서, 졸-겔 조성물이 잉크의 경화 온도를 낮출 수 있는 촉매를 추가로 포함하는 것인 방법.
  31. 제30항에 있어서, 촉매가 플루오린화 테트라부틸암모늄인 방법.
  32. 제26항에 있어서, 경화된 잉크가 193 nm의 파장에서 측정하였을 때 약 1.15 내지 약 2.5의 굴절률을 가지는 몰리브데넘과 이산화규소의 합금을 포함하는 것인 방법.
  33. 제32항에 있어서, 결함 영역이 100 nm 이상 깊이인 오목부를 포함하는 것인 방법.
  34. 제20항에 있어서, 팁이 스캐닝 탐침 미세규모 팁을 포함하는 것인 방법.
  35. 제34항에 있어서, 팁이 원자력 현미경 팁을 포함하는 것인 방법.
  36. 제35항에 있어서, 팁 단부가 중합체로 코팅되는 것인 방법.
  37. 제35항에 있어서, 팁 단부가 전도성 재료로 코팅되는 것인 방법.
  38. 제37항에 있어서, 전도성 재료가 금을 포함하는 것인 방법.
  39. 기판 상에 졸-겔 조성물을 침착시키는 것으로써, 여기서 상기 졸-겔 조성물은
    운반체 용매;
    실세스퀴옥산을 포함하는 이산화규소 전구체; 및
    극성 양성자성 용매, 및 몰리브데넘(V) 에톡시드; 몰리브데넘(VI) 옥시드 비스(2,4-펜탄디오네이트); 또는 MoxLy (여기서 L은 유기 분자 또는 리간드를 포함함) 중 1종 이상을 포함하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성되는 몰리브데넘 전구체
    를 혼합함으로써 형성되는 것; 및
    졸-겔 조성물을 열 경화하는 것
    을 포함하는 MoSi 나노구조의 형성 방법.
  40. 제39항에 있어서,
    운반체 용매가 중량 백분율 약 5 % 내지 약 30 %의 이산화규소 및 몰리브데넘 전구체를 포함하는 알콜을 포함하며;
    극성 양성자성 용매가 에탄올을 포함하고;
    실세스퀴옥산이 폴리(2-클로로에틸)실세스퀴옥산을 포함하는 것인 방법.
  41. 제40항에 있어서, 침착시키는 단계가 스캐닝 탐침 현미경의 팁으로부터 졸-겔 조성물을 침착시키는 딥-펜 나노그래피법을 사용하는 것을 포함하는 방법.
  42. 제40항에 있어서, 침착시키는 단계가 기판 상에 조성물을 회전 코팅함으로써 박막을 형성하는 것을 포함하는 방법.
  43. 제40항에 있어서, 열 경화하는 단계가 약 100 ℃ 내지 약 350 ℃로 조성물을 가열하는 것을 포함하는 방법.
  44. 제40항에 있어서, 기판이 포토마스크를 포함하는 것인 방법.
  45. 팁 단부 상에 배치된 잉크를 포함하며, 여기서 상기 잉크는 운반체 용매와 실세스퀴옥산을 혼합함으로써 형성되는 졸-겔 조성물을 포함하고, MoSi 층에 실질적으로 부합하는 n 및 k를 가지도록 적합화되는, 나노규모 팁을 제공하는 것;
    결함 영역을 포함하는 포토마스크를 제공하는 것;
    상기 팁을 포토마스크의 결함 영역과 접촉시키고, 거기에서 잉크를 팁으로부터 상기 영역으로 전달하는 것; 및
    약 100 ℃ 내지 약 350 ℃의 온도에서 잉크를 가열하는 것, 또는
    심-UV, UV, UV-가시광, 또는 가시광 방사선 중 1종 이상으로 잉크를 조사하는 것 중 적어도 하나에 의해 경화된 잉크를 형성하는 것
    을 포함하는 방법.
  46. 이산화규소 전구체 화합물: 및
    극성 양성자성 용매 및 몰리브데넘 화합물을 포함하는 용액으로부터 극성 양성자성 용매를 증발시킴으로써 형성되는 몰리브데넘 전구체 조성물
    을 혼합함으로써 형성되는 졸-겔 조성물.
KR1020127001510A 2009-06-30 2010-06-29 개선된 포토마스크 복구방법 KR20120104966A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22209609P 2009-06-30 2009-06-30
US61/222,096 2009-06-30

Publications (1)

Publication Number Publication Date
KR20120104966A true KR20120104966A (ko) 2012-09-24

Family

ID=42799670

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127001510A KR20120104966A (ko) 2009-06-30 2010-06-29 개선된 포토마스크 복구방법

Country Status (7)

Country Link
US (1) US20120164564A1 (ko)
EP (1) EP2449427A1 (ko)
JP (1) JP2012532342A (ko)
KR (1) KR20120104966A (ko)
AU (1) AU2010266375A1 (ko)
CA (1) CA2766589A1 (ko)
WO (1) WO2011002806A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190133369A (ko) 2018-05-23 2019-12-03 한국표준과학연구원 탐침형 원자 현미경을 이용한 리소그래피 방법
KR102092653B1 (ko) * 2019-06-28 2020-06-01 (주)네프코 방오코팅 포토마스크의 패턴 유실 결함 수리 방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9665000B1 (en) 2015-11-16 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for EUV mask cleaning with non-thermal solution
FR3061210B1 (fr) * 2016-12-22 2021-12-24 Electricite De France Procede sol-gel de fabrication d'un revetement anticorrosion sur substrat metallique
CN111812357B (zh) * 2020-07-10 2021-05-25 浙江大学 一种用于微纳米制造的自填料三臂式热扫描探针

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59213660A (ja) * 1983-05-13 1984-12-03 鐘淵化学工業株式会社 多孔性セラミツクス薄膜およびその製造法
US5320868A (en) * 1993-09-13 1994-06-14 Dow Corning Corporation Method of forming SI-O containing coatings
AU6973296A (en) 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
JP3529953B2 (ja) * 1996-09-03 2004-05-24 株式会社東芝 絶縁膜パターンの形成方法および感光性組成物
JP3195265B2 (ja) * 1997-01-18 2001-08-06 東京応化工業株式会社 Bi系強誘電体薄膜形成用塗布液およびこれを用いて形成した強誘電体薄膜、強誘電体メモリ
JP2000010293A (ja) * 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6635311B1 (en) 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
JP2005513768A (ja) 2001-12-17 2005-05-12 ノースウエスタン ユニバーシティ 直接書込みナノリソグラフィック印刷による固体フィーチャのパターニング
US7011910B2 (en) 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
DE60325629D1 (de) 2002-10-21 2009-02-12 Nanoink Inc Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur
US7491422B2 (en) 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190133369A (ko) 2018-05-23 2019-12-03 한국표준과학연구원 탐침형 원자 현미경을 이용한 리소그래피 방법
KR102092653B1 (ko) * 2019-06-28 2020-06-01 (주)네프코 방오코팅 포토마스크의 패턴 유실 결함 수리 방법

Also Published As

Publication number Publication date
US20120164564A1 (en) 2012-06-28
EP2449427A1 (en) 2012-05-09
AU2010266375A1 (en) 2012-02-09
JP2012532342A (ja) 2012-12-13
WO2011002806A1 (en) 2011-01-06
CA2766589A1 (en) 2011-01-06

Similar Documents

Publication Publication Date Title
US7691541B2 (en) Methods for additive repair of phase shift masks by selectively depositing nanometer-scale engineered structures on defective phase shifters
JP5584745B2 (ja) 基板ホルダ、リソグラフィ装置、デバイス製造方法、及び基板ホルダ製造方法
US10838123B2 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
JP5330553B2 (ja) コーティング
JP3879312B2 (ja) 膜の形成方法、及びデバイスの製造方法
US20080303187A1 (en) Imprint Fluid Control
JP2006504136A5 (ko)
KR20120104966A (ko) 개선된 포토마스크 복구방법
EP3224657A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
JPWO2006112408A1 (ja) 自己組織化単分子膜の作製方法とその利用
US9927693B2 (en) Reflective mask blank and process for producing the reflective mask blank
KR20190012564A (ko) 포토마스크용 펠리클 조성물, 이로부터 형성된 포토마스크용 펠리클, 그 제조방법, 펠리클을 함유한 레티클 및 레티클을 포함하는 리소그래피용 노광장치
KR100542464B1 (ko) 원자력간 현미경 리소그래피 기술을 이용한 극자외선 노광공정용 반사형 다층 박막 미러의 제조방법
Tao et al. Durable diamond-like carbon templates for UV nanoimprint lithography
JP2006189819A (ja) 撥水親水表面を有する基材の製造方法
KR101069439B1 (ko) 극자외선 마스크의 결함 수정방법
JP2007248726A (ja) 親水性領域と撥水性領域を有する処理基材およびその製造方法
Hong et al. Alkylsilane self-assembled monolayer photolithography: Effects of proximity gap on photodegradation and patterning resolution
US20220155672A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Kim Micropatterning of self-assembled monolayers using vacuum ultraviolet light
JP2010232458A (ja) 露光量制御方法及び露光装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid