KR20010033174A - 개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형배리어층 - Google Patents

개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형배리어층 Download PDF

Info

Publication number
KR20010033174A
KR20010033174A KR1020007006556A KR20007006556A KR20010033174A KR 20010033174 A KR20010033174 A KR 20010033174A KR 1020007006556 A KR1020007006556 A KR 1020007006556A KR 20007006556 A KR20007006556 A KR 20007006556A KR 20010033174 A KR20010033174 A KR 20010033174A
Authority
KR
South Korea
Prior art keywords
layer
copper
thickness
tanx
kpa
Prior art date
Application number
KR1020007006556A
Other languages
English (en)
Inventor
페이준 딩
토니 치앙
배리 친
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010033174A publication Critical patent/KR20010033174A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

반도체 소자의 구리 인터커넥트(interconnect)와 전기적 컨택트(electrical contacts)를 형성하는데 유용한 배리어층 구조(barrier layer structure)가 개시된다. 배리어층 구조는 기판 위에 직접 피복되는 TaNx의 제 1층과 그 뒤의 Ta 제 2층을 포함한다. TaNx/Ta 배리어층은 그 위에 증착되는 구리층의 확산에 대해 배리어가 되고, {111} 결정방향 함량이 높은 구리층을 형성할 수 있게 하여, 구리의 전자이동 저항(electromigration resistance)을 증가시킨다. x가 약 0.1 내지 약 1.5 범위인 TaNx층은 보통 실리콘이나 이산화실리콘과 같은 유전체인 하부의 기판으로 구리가 확산되어 들어가는 것을 방지하는데 충분한 정도의 비정질이다. 인터커넥트에 사용되는 TaNx 및 Ta의 두께는 구조의 크기(feature size)와 종횡비(aspect ratio)에 비례한다; 보통 Ta층의 두께가 약 20Å 내지 약 500Å 범위인 반면, TaNx층의 두께는 약 50Å 내지 약 1,000Å 범위이다. 컨택트 비아(contact via)에 대해, 비아 벽(via walls) 위의 허용 가능한 층 두께는 피쳐의 크기와 종횡비에 기초하여 더욱 주의 깊게 조절되어야 한다; 보통 Ta층의 두께가 약 5Å 내지 약 300Å 범위인 반면, TaNx층의 두께는 약 10Å 내지 약 300Å 범위이다. 구리층은 소자에 요구되는 조건이 충족될 수 있는 두께로 증착된다. 구리층은 임의의 공지 기술을 선택하여 증착될 수 있다. 전체 구리층, 또는 적어도 구리 "시드층(seed layer)"은 CVD나 전기도금과는 달리 스퍼터링이나 증착(evaporation) 같은 PVD를 사용하여 증착되는 것이 바람직하다. 구리의 결정방향은 증착온도에 민감하고, 온도가 너무 높으면 구리가 배리어층으로부터 디웨트/디라미네이트(dewet/delaminate)되기 때문에, 약 500℃보다 낮은 온도, 바람직하게는 약 300℃보다 낮은 온도에서 구리를 증착 및/또는 어닐링하는 것이 중요하다.

Description

개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형 배리어층{A TAILORED BARRIER LAYER WHICH PROVIDES IMPROVED COPPER INTERCONNECT ELECTROMIGRATION RESISTANCE}
극소 전자공학이 계속 소형화를 추구함에 따라, 인터커넥션(interconnection) 성능, 신뢰도 및 전력소비가 점차 중요해지고 있으며, 저항이 낮고 및 신뢰도가 높은 금속으로써 알루미늄 합금을 대체하는데 대한 관심이 증가되고 있다. 구리는 컨택트(contact) 및 인터커넥트 재료로서 알루미늄에 비해 상당한 개선점을 제공한다. 예를 들어 구리의 저항은 알루미늄의 반에 불과한 1.67μΩcm이다.
구리 사용이 가능하게끔 연구하는 재료 및 공정 개발자들이 평가하는 경쟁력 있는 두 가지 기본적 기술이 있다. 첫 번째 기술은 다마신기술(damascene technology)로 알려져 있다. 이 기술에서 피쳐크기(feature sizes) 즉 구멍의 폭이 0.5㎛ 또는 이보다 작은 다층 구조를 생산하기 위한 전형적인 공정은: 유전체의 블랭킷 증착(blanket deposition); 개구형성을 위한 유전체 패터닝(patterning); 확산 배리어층(diffusion barrier layer) 및 선택적으로는 개구를 라인하기 위한 웨팅층(wetting layer) 증착; 기판 위에 개구를 충진하는데 충분한 두께의 구리층 증착; 화학기계적 폴리싱(chemical-mechanical polishing; CMP) 기술을 이용한 기판 표면에서의 과잉의 도전성 물질 제거 등을 포함한다. 다마신 공정은 C. Steinbruchel의 "Patterning of copper for multilevel metallization: reactive ion etching and chemical-mechanical polishing", Applied Surface Science 91(1995) 139∼146에 상세히 기재되어 있다.
이와 경합하는 기술은 구리층의 패턴된 에칭(patterned etch)에 관련된 것이다. 이 기술에서 전형적인 공정은 원하는 기판(보통 표면에 배리어층을 가지는 유전체) 위에의 구리층 증착; 패턴이 형성된 하드마스크(hard mask)나 포토레지스트(photoresist)의 구리층 위 도포; 건식 또는 습식 에칭 기술을 사용한 구리층의 패턴 에칭; 및 패턴이 형성된 구리층 표면에 유전체를 증착하여, 다양한 집적회로를 포함하는 도전성 라인(lines)과 컨택트의 고립(isolation)을 제공하는 것을 포함한다.
일반적으로 구리층은 공지된 스퍼터링 기술을 사용하여 증착될 수 있다. 구리를 스퍼터링함으로써 증착(evaporation) 또는 CVD(화학기상증착)보다 훨씬 높은 증착속도와 보다 순수한 구리 필름이 얻어진다.
도전성 라인과 컨택트를 형성하는데 구리가 사용되는 집적회로 인터커넥트 구조에서, 구리는 인접하는 실리콘과 SiO2의 층으로 신속하게 확산되어 들어가 갇혀질 필요가 있다. Gang Bai 등의 "Copper Interconnection Deposition Technologies and Integration", 1996 Symposium on VLSI Technology, Digests of Technical Papers(0-7803-342-X/96, IEEE)에는 구리와 함께 사용하기 위한 배리어층으로서 Ta, TiN, W 및 Mo 등이 개시되어 있다. 이들은 구리가 증착된 뒤 UHV(Ultra High Vacuum; 초고진공)에서 어닐링된 Ta가 최상의 배리어층을 제공한다고 결론지었다. 비록 논문 발표 시에는 전기 도금된 구리에 대한 자료가 입수 불가능하였지만, CVD 구리와 전기 도금된 구리에 비해 스퍼터링된 구리가 바람직한 것으로 보인다.
1982년 3월 9일 부여된 Gangulee 등의 미국특허 4,319,264호인 "Nickel-gold-nickel Conductors For Solid State Devices"에는 고체소자(solid state devices)에서 전자이동의 문제가 검토되어 있다. 특히 이 특허에는 전자이동으로 알려진 박막 도전체 구성 원자의 이동을 포함하는 특정 전류밀도 범위의 직류를 인가하는 것이 검토되어 있다. 전자이동은 도전체에 크랙이나 보이드 형성을 유발시키는 것으로 알려져 있고, 이는 시간 경과에 따라 도전체의 파괴로 귀결될 수 있다. 전자이동 속도는 도전체에 부과되는 전류 밀도, 도전체의 온도 및 도전체 물질의 성질에 의존한다. 높은 전류 밀도를 인가하면, 전자이동에 의한 도전체의 파괴 가능성 때문에 회로의 신뢰도가 상당히 낮아진다. 도전체 물질의 성능에 영향을 주는 다양한 요소를 논의함에 있어서, 그레인의 구조가 중요한 것으로 언급된다(적절한 리소그래픽 라인 폭 해상도(lithographic line width resolution)를 얻기 위해, 필름은 그레인이 작아야 좋으며, 그레인 크기는 원하는 라인 폭의 약 1/3을 초과해서는 안 된다). 그레인 크기의 균일성(uniformity) 및 그레인의 바람직한 결정방향도 도전체의 수명을 연장하는(전자이동을 감소시키는) 요소로 언급된다. 미세한 그레인 필름은 평탄한 것으로도 설명되며, 이는 반도체에의 적용에 바람직한 특성으로서 도전체를 피폭층으로 피복하는데 따른 어려움이 감소된다.
1996년 11월 5일 Chen 등에게 부여된 미국 특허 5,571,752호에는 마이크론 이하의 집적회로 반도체층을 패턴화하기 위한 방법이 개시되어 있다. 알루미늄 컨택트를 설명하는 한 실시예에서, 두께가 약 300∼2,000Å인 티타늄 또는 질화티타늄이 스퍼터링 증착에 의해 형성되어 컨택트 개구의 바닥에까지 도달한다. 마지막으로 보통 알루미늄인 두 번째 도전층이, 같은 형태의 도전층 표면에 도포된다. 알루미늄은 바람직하게는 약 100∼400℃ 범위의 온도에서 증착된다. 이 방법에 의해 알루미늄 필름 내에 상당히 큰 그레인 크기가 형성되는 것을 회피함으로써, 보다 작은 소자 형태 설계(device geometry design)가 요구되는 컨택트 개구를 충진할 수 있게 된다.
1997년 3월 27일 Ngan 등에 의해 제출되었고 본 발명과 함께 양도된 미국 특허출원 08/824,911호에 나타난 바와 같이, 알루미늄이 전자이동을 개선하기 위한 수단으로서 알루미늄의 {111} 결정방향 함량을 증가시키려는 노력이 있어 왔다. 특히 알루미늄층의 {111} 함량은 알루미늄층 밑에 놓인 다양한 배리어층의 두께를 조절함으로써 조절된다. 아래쪽 배리어층의 구조는 Ti/TiN/TiNx이며, 이는 알루미늄 {111} 결정방향이 많은 알루미늄 충진을 제공하는 한편 종횡비(aspect)가 높은 비아(via)의 알루미늄 충진이 가능하게 한다. Ti/TiN/TiNx 배리어층은 IMP(ion metal plasma; 이온 금속 플라즈마) 기술을 이용하여 증착되며, 배리어층의 두께는 아래와 같다. 첫 번째 Ti층의 두께는 약 100Å보다 크고 약 500Å까지(두께의 상한값은 피쳐형태(feature geometry)에 의해 정해진다)의 범위이다. 제 2의 TiN층의 두께는 약 100Å보다 크고 약 800Å보다 작은(바람직하게는 600Å보다 작은) 범위이다. 그리고 세 번째 TiNx층(Ti 함량이 약 50 원자%에서 약 100원자% 범위)은 약 15Å에서 약 500Å 범위이다. 이러한 구조를 가지고 컨택트 비아를 라인하는데 사용되는 Ti/TiN/TiNx 배리어층은 스퍼터링된 뜨거운(warm) 알루미늄으로 비아를 완전히 충진할 수 있는 것으로 설명되며, 여기서 비아 또는 구멍의 피쳐크기는 약 0.25마이크론 또는 이보다 작으며 종횡비는 약 5:1에서부터 약 6:1만큼 높은 값까지의 범위이다.
이어서 1997년 8월 23일 제출된 Ngan 등의 미국 특허출원 08/924,487호에서 발명자들은, 주어진 챔버에서 일련의 반도체 기판을 처리할 때 인터커넥트 알루미늄의 {111} 결정방향을 일정하게 높이 유지하기 위해서는, 배리어층의 첫 번째 증착층의 최소두께를 약 150Å 이상으로 형성할 필요가 있는데, 이는 프로세스 챔버가 처음 작동될 때(첫 번째 7∼8개의 웨이퍼를 계속적으로 처리하고) 이 층을 최초로 증착하는 동안 존재할 수 있는 결정방향의 불규칙성을 보상하기 위해서이다. Ngan 등의 출원에는 구리 도전층일 경우, 반도체 챔버 내에서 일련의 웨이퍼를 처리하는 동안 구리층 내에 일관적인 결정방향을 유지하도록, 구리층 밑 배리어층 구조의 제 1층의 최소 두께가 약 150Å 이상일 필요가 있다.
본 발명은 위에 위치하는(overlying) 구리층 내에 {111} 결정방향을 높여 구리의 전자이동 저항(electromigration resistance)을 개선하는 특정한 TaNx/Ta 배리어/웨팅층(barrier/wetting layer) 구조에 관한 것이다.
도 1은 본 발명의 배리어층을 증착하는데 사용될 수 있는 종류의 스퍼터링 챔버의 단면도를 개략적으로 나타낸다.
도 2는 TaNx층이 약 500Å로 일정하게 유지된 상태에서, TaNx/Ta 배리어층 위에서 구리의 {111} 결정방향을 Ta층 두께의 함수로 나타내는 그래프이다.
본 발명자들은 구리에 대한 배리어층으로서 탄탈(Ta)보다 질화탄탈(TaNx)이 우수함을 발견하였다. 그러나 TaNx 위에 직접 증착된 구리는 원하는 구리의 전자이동 특성을 제공하기에 충분히 높은 만큼의 {111} 결정방향을 나타내지 못한다. 본 발명자들은 TaNx 위에 놓이는 Ta층을 포함하는 배리어층 구조를 개발하였는데, 이 구조는 그에 증착된 구리층의 확산에 대한 배리어를 제공하고 {111} 결정 함량이 높은 구리층을 형성할 수 있게 하여, 구리의 전자이동에 대한 저항이 증가된다.
TaNx층(x는 약 0.1 내지 약 1.5 범위)은 그 아래의 실리콘 또는 산화실리콘 표면에 구리가 확산되는 것을 방지하기에 충분한 비정질이다. TaNx층의 바람직한 두께는 소자의 피쳐에 의존한다. 전형적인 인터커넥트에 대해, TaNx층의 두께는 약 50Å 내지 약 1,000Å의 범위이다. 컨택트에 대해, TaNx층, 컨택트 비아의 벽 위에서의 두께는 피쳐의 크기에 따라 약 10Å 내지 약 300Å이다. TaNx층은 일반적인 반응성 이온 스퍼터링(reactive ion sputtering) 기술을 사용하여 기판온도 약 20℃ 내지 약 500℃ 범위에서 증착되는 것이 바람직하다. 그러나 이 층을 증착하는 데에는 이온 증착 스퍼터링 기술이 사용될 수도 있다.
TaNx층 위에 증착된 Ta층은 약 5Å 내지 약 500Å 범위의 바람직한 두께를 가지며, 이는 피쳐의 크기에 따라 20Å보다 큰 것이 바람직하다. Ta층은 일반적인 이온 스퍼터링 기술을 사용하여 기판온도 약 20℃ 내지 약 500℃ 범위에서 증착되는 것이 바람직하다. 그러나 이 층을 증착하는 데에는 이온 증착 스퍼터링 기술이 사용될 수도 있다.
구리층은 소자에 요구되는 사항을 만족시키는데 필요한 두께로 증착된다. 구리층은 임의의 바람직한 공지 기술을 사용하여 증착될 수 있다. 전체 구리층 또는 적어도 구리의 "시드(seed)"층은, CVD와 달리 스퍼터링이나 증착(evaporation)과 같은 물리기상증착 기술을 사용하여 증착되는 것이 바람직하다. 구리의 결정방향은 증착온도에 민감하므로, 증착하는 동안 또는 이후의 어닐링 공정 동안 구리의 최대온도가 약 500℃보다 높지 않는 것이 바람직하다. 최대온도는 약 300℃인 것이 바람직하다.
본 개시는 TaNx/Ta/Cu 구조 및 이 구조를 형성하기 위한 방법에 대한 것이다. TaNx/Ta 배리어층 구조는 {111} 결정방향의 함량이 높은 구리층이 그 위에 증착될 수 있게 하여, 구리의 전자이동 저항을 증가시킨다.
Ⅰ. 정의
본 명세서와 청구범위에서 단수를 나타내는 형태는 문맥상 달리 명시되지 않는 한 복수를 포함한다. 따라서 예를 들어 "반도체(a semiconductor)"라 하면 반도체의 특성을 가지는 여러 가지 다양한 물질을 포함하며, "플라즈마"라 하면 RF 글로우방전에 의해 활성화되는 가스 또는 가스 반응체(gas reactants)를 말하며, "컨택트 재료(contact material)" 또는 "인터커넥트 재료(interconnect material)"는 본 명세서에서 언급되는 온도 범위에 걸쳐 스퍼터링될 수 있게 하는 융점을 가지는 구리, 구리합금 및 다른 도전성 물질을 말한다.
본 발명의 설명에 특히 중요한 특정 용어들을 이하 설명한다.
"종횡비(aspect ratio)"는 전기적 컨택트(electrical contact)가 놓일 특정 개구의 폭에 대한 높이의 비율을 의미한다. 예를 들어 관형(tubular form)으로 다중층을 통하여 연장되는 비아 개구는 높이와 지름을 가지며, 그 종횡비는 관의 높이를 지름으로 나눈 것이다. 트렌치(trench)의 종횡비는 트렌치의 높이를 베이스에서 트렌치의 최소 이동 폭으로 나눈 것이 될 것이다.
"컨택트 비아(contact via)" 또는 "비아(via)"는 보통 1:1보다 큰 종횡비를 가지는 전기적 컨택트를 의미한다. 컨택트 비아는 재료의 다중층을 통하여 연장되어 도전성 요소(element)를 다른 것과 연결하는 경우가 가장 빈번하다.
"구리(copper)"는 반도체 산업에서 보통 사용되는 종류의 구리 합금을 포함한다. 본 명세서의 바람직한 실시예는 약 98wt%의 구리를 포함하는 구리합금에 대한 것이다.
"피쳐(feature)"는 컨택트, 비아, 트렌치 및 기판 표면의 형태(topography)를 이루는 다른 구조를 의미한다.
"인터커넥트(interconnect)"란 일반적으로 반도체 내의 도전성 피쳐를 의미한다. 본 출원을 위한 목적으로는, "컨택트 비아" 또는 "비아"(예를 들어 트렌치 내의 도전 라인(conductive lines)보다 높은 종횡비를 가지는)는 인터커넥트를 형성하는 다른 도전성 피쳐와 구별된다.
"이온 증착 스퍼터링되었다(ion-deposition sputtered)"는 것과 "반응성 이온 금속 플라즈마(reactive ion metal plasma; IMP)"라는 것은 특정 기술을 이용한 스퍼터링 증착을 의미하며, 여기서 고밀도의 유도 결합된 RF 플라즈마가 스퍼터링 음극과 기판지지전극(substrate support electrode) 사이에 위치하여, 스퍼터링된 방출입자(sputtered emission)의 일부 이상은 기판에 도달할 때 이온의 형태로 되어 있다. 보통 스퍼터링된 방출입자의 10% 또는 그 이상은 기판에 도달할 때 이온의 형태로 되어 있다.
"종래의 스퍼터링(traditional sputtering)"은 기판 위에 필름층을 형성하는 방법으로서, 타겟이 스퍼터링되고 타겟으로부터 스퍼터링된 물질이 타겟과 기판 사이를 지나 기판 위에 필름층을 형성하는 것으로서, 타겟으로부터 방출된 물질을 기판에 도달하기 전에 그 상당량을 이온화하는 수단이 제공되지 않는 것을 의미한다. 스퍼터링된 방출입자의 10% 또는 그 이상이 기판에 도달할 때에 이온 형태로 되는 것이 일반적이다. 종래의 스퍼터링을 제공할 수 있도록 구성된 장치의 한 예가 본 출원의 참고 문헌으로 제출된 미국특허 5,320,728호에 개시되어 있다. 이러한 종래의 스퍼터링 구성에서는 이온으로 되는 타겟물질의 비율이 타겟으로부터 스퍼터링된 입자 중 10% 미만, 특히 보통 1% 미만이다.
"XRD(X선 회절; X-ray diffraction)"은 결정의 방위를 측정하는데 널리 사용되는 기술로서, 평가될 물질을 특정 파장에 걸치는 조사(radiation)가 통과하고, 통과되는 물질에 의한 조사의 굴절이 측정된다. 굴절 패턴이 나타나는 맵이 형성되고, 이 맵을 기초로 결정의 방위가 계산된다.
"종래 방법으로 스퍼터링된(traditionally sputtered)" 질화탄탈 함유 필름 또는 층은 질소 가스와 조합된 아르곤과 같은 불활성가스로부터 형성된 플라즈마로 탄탈 타겟을 접촉시킴으로써 기판 위에 증착된다. 타겟으로부터 스퍼터링된 탄탈의 일부는 플라즈마에 의해 활성화된 질소와 반응하여 질화탄탈을 형성하며, 가스상의 혼합물은 기판과 접하여 기판 위에 층을 형성한다.
Ⅱ. 발명의 실시를 위한 장치
본 발명의 방법이 수행되는 프로세스 시스템은 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼즈사(Applied Materials, Inc.)의 Endura?? Integrated Processing System이다. 이 프로세스 시스템은 도면에 특히 도시되어 있지는 않으나, 반도체 프로세스 업계에 널리 알려져 있으며 본 출원에 참고문헌으로 제출된 미국특허 5,186,718호 및 5,236,868호에 개시되어 있다. 본 발명에 따라 표면이 평탄한 TaNx/Ta 배리어층을 형성하는 전형적인 스퍼터링 장치가 도 1에 나타나 있다. 스퍼터링 장치(100)는 2개의 주표면(major surfaces), 열이 제거되어 나가는 뒷면(112), 스퍼터링면인 전면(front surface; 114)을 가지는 스퍼터링 타겟(110)을 포함한다. 스퍼터링된 물질은 플래튼(platen; 118)에 지지된 반도체 피가공물(116)의 표면에 증착된다. 피가공물(116)과 타겟(110) 사이의 거리는 플래튼(118)을 이동시켜 조정될 수 있다. 스퍼터링 타겟(음극; 110)은 약 24kW까지의 전력 레벨로 작동된다. 스퍼터링 타겟(110)을 가격하기 위해서 보통 아르곤과 같은 불활성 가스로부터 발생되는 이온화된 가스가 사용되어, 피가공물(116) 위에 증착되는 스퍼터링된 금속 원자를 만들어낸다. 불활성 가스는 타겟 부근(112)에서 도 1에 도시되지 않은 개구를 통하여 진공챔버(117)로 들어간다. 추가의 가스가 피가공물 지지 플래튼(118)의 표면으로부터 진공 챔버(117)로 들어갈 수 있다. 플래튼(118)은 그 표면에 개구(미도시)를 포함하여 가공물(116)과 플래튼(118) 사이에서 열전달 가스가 유동할 수 있게 한다. 이러한 가스는 진공 챔버(117)의 개구(미도시)를 통해 배출되며, 개구는 진공펌프(미도시)로 이어지는 도관(미도시)에 연결된다. 진공 챔버(117)는 관련되는 특정한 공정에 따라, 약 0.1mT 내지 약 60mT의 압력 범위에서 작동될 수 있다.
Ⅲ. 발명을 실시하기 위한 방법
실시예 1: TaNx/Ta 배리어층의 형성
TaNx/Ta 배리어층을 형성하기 위해, 탄탈 타겟 음극(110)이 사용되었으며, 이 음극에 약 0.5kW 내지 약 8kW 범위에 걸쳐 DC 전력이 인가되었다. 타겟(110)과 피가공물(116) 사이의 거리는 약 200∼300mm였다. TaNx/Ta 제 1층을 형성하는 동안, 아르곤 가스는 기판지지 플래튼(118)으로는 약 15sccm, 타겟 음극(110) 부근 개구로는 약 7sccm의 속도로 진공 챔버로 공급되었다. 질소 가스도 타겟 음극(110) 부근에서 진공 챔버(117)로 충진되었다. 질소 가스 공급속도는 인가되는 DC 전압에 따라 약 2 내지 약 20sccm 범위이었으며, DC 전력의 증가에 따라 질소 공급속도도 증가되었다. DC 전력을 4kW로 그리고 질소 공급속도를 약 14sccm으로 설정함으로써 생산된 TaNx/Ta층은 약 40 원자%의 질소를 포함하는 TaN0.7이었다.
기판(116)은 그 표면에 이산화실리콘 유전층을 가지는 지름 200mm의 실리콘웨이퍼를 사용하였다. 기판은 타겟 음극(110)으로부터 약 10in(25cm)의 거리를 두고 놓였다. 진공 챔버(117)의 작업 압력은 약 1.7mT이었고, 실리콘웨이퍼의 기판온도는 약 25℃였다. 이러한 조건에서 500Å 두께의 TaN층이 약 1분 정도 피복되었다.
TaN층의 피복에 이어, 질소가스를 차단하고, 탄탈 음극(110)에의 전력을 약 4kW로부터 약 1kW로 감소하고, 아르곤 가스 공급을 유지하였다. 진공 챔버의 압력은 약 1.7mT, 기판의 온도는 약 25℃로 유지되었다. 이러한 조건에서 약 10초 동안 TaN층 위에 60Å 두께의 탄탈층이 형성되었다.
도 2의 데이터는 상술한 방법으로 만들어진 TaN/Ta 배리어층에 대한 것으로서, 여기서 탄탈층을 두껍게 하기 위해서 탄탈 증착시간이 적절히 증가되었다.
실시예 2: 구리 도전층 형성
TaN 배리어층 위의 구리층은 TaN 배리어층의 피복에 대해 설명한 동일한 장치를 사용하여 피복된다. 타겟 음극(110)은 구리였다. Cu층을 형성하는 동안 아르곤 가스가, 기판지지 플래튼(118)에는 약 15sccm으로 그리고 타겟 음극(110) 부근의 개구에는 약 90sccm으로 공급되었다. 상면에 탄탈층을 가지는 기판은 타겟 음극(110)으로부터 약 10in(25cm)의 거리를 두고 놓였다. 진공 챔버(117)의 작업 압력은 약 1.0mT, 기판온도는 약 150℃였다. 이러한 조건에서 1,000Å 두께의 구리층이 약 1분 동안 피복되었다.
일반적으로 TaNx/Ta/Cu층과 관련, 평면화(planarization) 및 이메징(imaging)을 위해서는 플래터 구조(flatter structure)가 바람직하고 또 피가공물의 표면으로부터 과잉의 탄탈을 제거하기가 어렵기 때문에, 탄탈층에 대해 가능한 한 최소 두께를 사용할 것이 추천된다. ("필드(field)"로 알려진) 피쳐 사이의 피가공물 표면 위의 물질을 제거하기 위해 화학기계적 폴리싱이 사용될 때, 탄탈의 제거속도는 구리보다 훨씬 느리다. 그 결과 필드로부터 구리와 Ta/TaNx를 완전히 제거하기 위해, 구리가 너무 많이 폴리싱되어, 컨택트 영역에서 "디슁효과(dishing effect)"를 형성할 수 있으며, 구리는 컨택트로부터 기판/피가공물의 표면 아래 높이로 제거된다. 게다가 기판 처리시간에는 비용이 발생된다.
탄탈층의 최소 두께는 이 층에 대해 요구되는 성능에 따라 결정된다. 이 층은 탄탈 {002} 결정방향을 제공하도록 충분히 두꺼워야 한다. 이 방위는 탄탈 표면이 구리에 의해 쉽게 웨팅(wetting)되도록 하며 {111} 결정방향이 많은 구리층이 증착될 수 있게 한다. 증착되는 구리층을 TaNx 표면보다 Ta 표면으로부터 디웨트/디라미네이트(dewet/delaminate)되게 하기 위해서는 더욱 높은 온도가 요구되지만, 어떤 경우에는 구리의 디라미네이트가 문제로 된다. 보통 구리층은 약 300℃ 내지 약 500℃ 범위의 온도에서 증착되며(혹 구리 시드층이 보다 낮은 온도에서 증착되지만, 추가의 구리가 증착되고 이 조합이 상기 범위의 온도에서 어닐링된다), 이 경우 구리층은 디라미네이트될 가능성이 높다. 구리가 편평한 인터커넥트 라인(interconnect lines)을 위해 증착될 경우에는, 종횡비가 높은(즉 깊이가 폭보다 큰) 컨택트 비아를 증착하기 위해 구리가 사용될 경우보다 웨팅 조건이 중요하지 않다.
탄탈층의 두께가 증가됨에 따라, 일반적으로 그에 피복되는 구리층에 의한 탄탈 웨팅이 개선된다. 일반적으로 탄탈층의 두께가 증가됨에 따라, 구리의 {111} 결정방향 역시 증가된다. 특히 탄탈층의 두께는 소자의 피쳐크기에 의해 제한된다. TaNx 또는 Ta층이 너무 두꺼우면, 도전성 피쳐의 전체 저항이 증가된다. 만일 이들 층이 너무 얇다면, 배리어는 확산을 막는데 적절하지 않을 수도 있다; 더욱이 Ta층이 너무 얇으면 구리 {111} 결정방향은 원하는 전자이동 저항을 제공하기에 부적절할 수도 있다.
일반적으로 구리가 TaNx층 위에 직접 피복될 때는 TaNx층의 비정질 구조 때문에 구리 {111} 결정방향 함량이 부족하다. 게다가 그 자체가 불순물 함량이 높고 (CVD에 의한 피복과 같이) 스퍼터링 외의 방법으로 피복된 구리는 수용할 수 없을 정도로 낮은 {111} 결정방향을 가지는 구리로 귀결될 수 있다. TaNx층 위에 Ta층을 사용함으로써 {111} 결정방향 함량이 높은 구리의 성장에 적합한 표면을 만들 수 있다. CVD와 같은 다른 수단에 의한 전체 구리 컨택트의 도포 전에 Ta 표면에 구리 시드층을 증착함으로써, 구리의 성장을 위한 시작 매트릭스(starting matrix)를 제공하는데, 이는 어떤 CVD 프리커서 및 전기도금은 구리 증착 공정이 일어나게 하기 위한 도전성 기판이 필요하기 때문이다. 더욱이 구리 시드층은 {111} 결정방향의 구리 함량 증가를 촉진한다.
Ⅳ. TaNx/Ta층의 구조 및 {111} 결정방향 구리에 미치는 영향
도 2는 (XRD로 측정된) {111} 결정방향의 구리층을 TaNx/Ta 배리어층의 Ta층 두께의 함수로 나타내는 그래프(200)이다.
특히 (상술한 방법으로 준비되어) 조사된 다양한 시료는 207로 표시된 축척으로 나타나 있다. 재료의 층은 일반적인 종래의 스퍼터링 기술을 사용하여 증착되었다. 모든 경우 구리층의 두께는 약 1,000Å이었다. 모든 경우 206으로 표시된 데이터점을 제외하고, 아래의 TaNx층의 두께는 500Å이었다. 206으로 표시된 데이터점은 두께 500Å의 Ta(만의) 배리어층이다. 208로 표시된 데이터점은 두께 500Å의 TaNx(만의) 배리어층이다. 210으로 나타난 데이터점은 위쪽의 Ta층 두께가 57Å인 TaNx/TaN 구조를 나타낸다. 212로 표시된 데이터점은 위쪽의 Ta층 두께가 114Å인 TaNx/Ta 구조를 나타낸다. 214로 표시된 데이터점은 위쪽의 Ta층 두께가 170Å인 TaNx/Ta 구조를 나타낸다. 216으로 표시된 데이터점은 위쪽의 Ta층 두께가 227Å인 TaNx/Ta 구조를 나타낸다. 그리고 218로 표시된 데이터점은 위쪽의 Ta층 두께가 456Å인 TaNx/Ta 구조를 나타낸다.
이들 시료의 XRD 스캐닝은 Cu {111} 강도 피크 아래의 상대 정규화 영역(relative normalized area)이 203으로 표시된 축척으로 나타나는 표준 θ-2θ기술을 사용함으로써 이루어졌다. 곡선 202는 상술한 시료에 대한 Cu {111} CPS(counts per second; 초당 카운트수) 강도 피크 아래의 정규화 영역을 나타내며, Ta층의 두께는 데이터점 210으로부터 시작하여 커브의 왼쪽으로부터 오른쪽으로 증가한다. Cu {111} 방향의 양을 나타내는 두 번째 측정이 205로 표시된 축척으로 나타난 로킹 곡선(rocking curve)에 제공되어 있다. 데이터는 각도(degree) θ로 측정된 Cu {111} FWHM를 나타낸다.
로킹 곡선 측정 기술에서는, 샘플과 디텍터(detector)가 회전된다. 어떤 설정된 각도(a set angle)에서 CPS가 측정되며 그리고 나서 디텍터가 약간 회전하고 새로운 CPS가 측정된다. 각도를 증가시키면서 측정되는 특정 결정방향 함량의 분포 곡선을 생성시키는, 측정 각도 증가시의 CPS 그래프가 나타나 있다.
FWHM = 최대 높이 반에서의 전체 폭(full width half max). FWHM은 곡선 최대높이의 반을 나타내는 곡선 위의 점에서 곡선의 폭을 측정함으로써 계산된다. FWHM은 도(degrees)로 나타나며, 최대 높이 절반에서의 폭에 걸치는 각도값(number of degrees)을 나타낸다. 더 많은 각도값에 걸치는 더 넓은 곡선(축척에서 더 높은 숫자)은, 관심 대상인 결정방향에 대한 신호가 강한 신호가 아니며 {111} 결정방향의 구리가 적음을 나타낸다. 제한된 각도값에 걸치는 좁은 곡선(축척에서 낮은 숫자)은 강한 신호로서, 이 결정방향 함량이 많이 존재함을 나타낸다. 곡선 204는 상술한 시료에 대한 FWHM을, 데이터점 210에서 시작하여 왼쪽에서 오른쪽으로 증가되는 Ta층 두께와 함께 나타낸다.
곡선 202의 데이터점 206은 1,000Å 두께로 스퍼터링된 구리층 아래의 500Å 두께의 Ta층을 가지는 시료에 대한 Cu {111} 강도 피크 아래의 정규화 영역을 나타낸다. 곡선 202로부터 분명하듯이, Cu {111} 결정방향의 양이 상대적으로 높다. 그러나 전술한 바와 같이 순수한 Ta층은, 구리가 그 아래의 이산화실리콘 유전층으로 확산되어 들어가는 것을 방지하는데 있어서, TaNx/Ta 배리어층만큼 우수한 확산 배리어는 아니다.
곡선 202의 데이터점 208은 1,000Å 두께로 스퍼터링된 구리층 아래의 500Å 두께 TaN층을 가지는 시료에 대한 Cu {111} 강도 피크 아래의 정규화 영역을 나타낸다. 비록 TaN층은 우수한 확산 배리어를 제공하지만 Cu {111}의 양이 최소이다. 곡선 202의 데이터점 210 내지 216은 500Å 두께 TaN층을 가지는 시료에 대한 Cu {111} 강도 피크 하의 정규화 영역을, TaN층 두께 증가에 따라(데이터점 숫자가 높아짐에 따라) 나타내는데, 모든 경우에 TaN/Ta 배리어층에 대해 1,000Å의 구리층이 피복되어 있다. 데이터점 210의 500ÅTaN/57ÅTa 배리어층은 500Å의 Ta층보다 Cu {111} 피크 아래의 면적이 약 10% 적다. 전자이동 성능에 있어서 이러한 감소가 갖는 정확한 의미는 아직 확정되지 않았다; 그러나 이러한 차이는 소자의 성능에 큰 영향을 미치지는 않을 것으로 예상된다.
500ÅTaN/170ÅTa 배리어층을 나타내는 곡선 202의 데이터점 214에서 Cu {111} 피크 아래의 영역은 순수한 Ta층과 동등하다. 놀랍게도 데이터점 216으로 표시되는 500ÅTaN/227ÅTa 배리어층과 데이터점 218로 표시되는 500ÅTaN/456ÅTa 배리어층 사이의 어느 점에서는 Cu {111} 결정 함량이 급격히 증가되어, 그 값이 순수 Ta층에서보다 약 20% 높은 점까지 갔다. 상술한 같은 시료에 대해 Cu {111} 강도 피크 아래의 정규화 영역에 의해 나타나는 것과 같은 경향은 곡선 204에 나타난 FWHM 데이터로 확증된다. 예로서 구리 {111} 결정방향의 양이 증가되었음을 나타내는, 곡선 204의 데이터점 216 이후의 낮은 FWHM을 들 수 있다.
본 개시에 기초하여 당업자라면, 자신의 위에 증착된 구리층의 확산을 방지할 수 있는 배리어층을 제공하고 {111} 결정방향이 높은 구리층이 형성되게 할 수 있다.
당업자라면 본 개시를 참고하여 이하 청구되는 본 발명의 사상에 대응되는 실시예를 확장시킬 수 있으므로, 상술한 바람직한 실시예는 본 발명의 범위를 제한하는 것은 아니다.

Claims (27)

  1. 도전층(conductive layer)과 결합되어 사용되는 배리어층(barrier layer)으로서:
    a) 약 10Å을 초과하여 약 1,000Å까지 범위의 두께를 가지는 TaNx의 제 1층; 및
    b) 상기 제 1층 위의 Ta층으로서 약 5Å 내지 약 500Å 범위의 두께를 가지는 제 2의 Ta층을 포함하는 특정 구조를 가지는 배리어층.
  2. 제 1항에 있어서, 상기 도전층이 구리인 배리어층.
  3. 제 1항에 있어서, 상기 배리어층이 인터커넥트 구조(interconnect structure)에 사용되며, 상기 TaNx층의 두께는 약 50Å 내지 약 1,000Å의 범위이며 상기 Ta층의 두께는 약 20Å 내지 약 500Å의 범위인 배리어층.
  4. 제 1항에 있어서, 상기 배리어층이 컨택트 비아 구조(contact via structure)에 사용되며, 상기 TaNx층은 약 10Å 내지 약 300Å 범위이며 상기 Ta층의 두께는 약 5Å 내지 약 300Å 범위인 배리어층.
  5. 제 2항 내지 제 4항 중 어느 한 항에 있어서, 상기 x는 약 0.1 내지 약 1.5의 범위인 배리어층.
  6. 제 2항의 배리어층과 그 위의 구리층을 포함하는 구리 인터커넥트 구조(copper interconnect structure)로서, 상기 위쪽 구리층의 Cu {111} 결정 함량이, 약 500Å 두께의 순수한 Ta 배리어층을 사용하여 얻을 수 있는 Cu {111} 결정 함량의 약 70% 이상인 구리 인터커넥트 구조(copper interconnect structure).
  7. 제 2항의 배리어층과 구리 충진(copper fill)을 포함하는 구리 컨택트 비아 포함 구조(copper contact via-comprising structure)로서, 구리 충진층의 Cu {111} 결정 함량이, 약 250Å 두께의 순수한 Ta 배리어층을 사용하여 얻을 수 있는 Cu {111} 결정 함량의 약 70% 이상인 구리 컨택트 비아 포함 구조.
  8. 도전층과 결합되어 유용하게 사용되는 배리어층의 제조방법으로서,
    a) 약 10Å를 초과하여 약 1,000Å까지의 두께 범위를 가지는 TaNx의 제 1층을 증착하는 단계; 및
    b) 약 5Å 내지 약 500Å 범위의 두께를 가지는 Ta의 제 2층을 증착하는 단계를 포함하는 배리어층의 제조방법.
  9. 제 8항에 있어서, 상기 도전층이 구리인 방법.
  10. 제 8항에 있어서, 온도가 약 25℃ 내지 약 500℃ 범위인 기판 위에 상기 TaNx의 제 1층이 증착되는 방법.
  11. 제 8항에 있어서, 온도가 약 25℃ 내지 약 500℃ 범위인 기판 위에 상기 Ta의 제 2층이 증착되는 방법.
  12. 제 8항에 있어서, 상기 배리어층이 인터커넥트층으로서 사용되며, 상기 TaNx층의 두께는 약 50Å 내지 약 1,000Å 범위이며 상기 Ta층의 두께는 약 20Å 내지 약 500Å 범위인 방법.
  13. 제 8항에 있어서, 상기 배리어층이 컨택트 비아 구조에 사용되며, 상기 TaNx층의 두께는 약 10Å 내지 약 300Å 범위이며 상기 Ta층의 두께는 약 5Å 내지 약 300Å 범위인 방법.
  14. 제 8항, 제 12항 또는 제 13항 중 어느 한 항에 있어서, 상기 x가 약 0.1 내지 약 1.5의 범위인 방법.
  15. 제 8항에 있어서, 상기 Ta층의 일부 이상이 종래의 일반적인 스퍼터링 기술(traditional, standard sputtering technique)을 사용하여 증착되는 방법.
  16. 제 12항에 있어서, 상기 Ta층의 일부 이상이 종래의 일반적인 스퍼터링 기술을 사용하여 증착되는 방법.
  17. 제 8항에 있어서, 상기 TaNx층의 일부 이상이 종래의 일반적인 스퍼터링 기술을 사용하여 증착되는 방법.
  18. 제 8항에 있어서, 상기 Ta층의 일부 이상이 이온 증착(ion-deposition) 스퍼터링을 사용하여 증착되는 방법.
  19. 제 13항에 있어서, 상기 Ta층의 일부 이상이 이온 증착 스퍼터링을 사용하여 증착되는 방법.
  20. 제 8항에 있어서, 상기 Ta층의 일부 이상이 이온 증착(ion-deposition) 스퍼터링을 사용하여 증착되는 방법.
  21. 제 1항의 배리어층과 그 위의 구리층을 포함하는 구리 인터커넥트 구조의 제조방법으로서, 상기 구리층의 Cu {111} 결정방향의 함량이, 약 500Å 두께의 순수한 Ta 배리어층을 사용하여 상기 구리층을 증착함으로써 얻어질 수 있는 Cu {111} 결정방향 함량의 70% 이상이며, 이하의 단계를 포함하는 제조방법:
    a) 약 50Å을 초과하여 약 1,000Å까지 범위의 두께를 가지는 TaNx의 제 1층을 증착하는 단계;
    b) 상기 TaNx의 제 1층 위에 약 5Å 내지 약 500Å 범위의 두께를 가지는 Ta의 제 2층을 증착하는 단계; 및
    c) 상기 Ta의 제 2층 표면 위에 구리의 제 3층을 증착하는 단계로서, 상기 제 3층인 구리층의 일부 이상은 PVD 기술을 사용하여 증착되며, 상기 구리의 제 3층이 증착되는 기판의 온도는 500℃보다 낮은 단계.
  22. 제 21항에 있어서, 약 500℃보다 낮은 온도에서 상기 구리 인터커넥트 구조가 어닐링(annealing)되는 방법.
  23. 제 1항의 배리어층과 그 위의 구리층을 포함하는 구리 포함 컨택트 비아 구조(copper-comprising contact via structure)의 제조방법으로서, 상기 구리층의 Cu {111} 결정방향의 함량이, 약 300Å 두께의 순수한 Ta 배리어층을 사용하여 상기 구리층을 증착함으로써 얻어질 수 있는 Cu {111} 결정방향의 함량의 70% 이상이며, 이하의 단계를 포함하는 제조방법:
    a) 약 10Å을 초과하여 약 300Å까지 범위의 두께를 가지는 TaNx의 제 1층을 증착하는 단계;
    b) 상기 TaNx의 제 1층 위에 약 5Å 내지 약 300Å 범위의 두께를 가지는 Ta의 제 2층을 증착하는 단계; 및
    c) 상기 Ta의 제 2층 표면 위에 구리의 제 3층을 증착하는 단계로서, 상기 구리의 제 3층의 일부 이상이 PVD 기술을 사용하여 증착되며, 상기 구리의 제 3층이 증착되는 기판의 온도가 500℃보다 낮은 단계.
  24. 제 23항에 있어서, 500℃보다 낮은 온도에서 상기 컨택트 포함 구조가 어닐링되는 방법.
  25. 제 23항에 있어서, 300℃보다 낮은 온도에서 상기 구리층이 증착되는 방법.
  26. 제 25항에 있어서, 500℃보다 낮은 온도에서 상기 구조가 어닐링되는 방법.
  27. 제 1항의 배리어층과 그 위의 구리층을 포함하는 구리 포함 컨택트의 제조방법으로서, 상기 구리층의 Cu {111} 결정방향 함량이, 약 300Å 두께의 순수한 Ta 배리어층을 사용하여 상기 구리층을 증착함으로써 얻어질 수 있는 Cu {111} 결정방향 함량의 70% 이상이며,
    a) 약 10Å을 초과하여 약 300Å까지 범위의 두께를 가지는 TaNx의 제 1층을 증착하는 단계;
    b) 상기 TaNx의 제 1층 위에 약 5Å 내지 약 300Å 범위의 두께를 가지는 Ta의 제 2층을 증착하는 단계; 및
    c) 상기 Ta의 제 2층 표면 위에 구리의 제 3층을 증착하는 단계로서, 상기 구리의 제 3층의 일부 이상은 PVD 기술을 사용하여 증착되며, 상기 구리의 제 3층이 증착되는 기판의 온도는 500℃보다 낮은 단계를 포함하며,
    상기 제 1층, 또는 제 2층, 또는 제 3층의 일부 이상 또는 이들의 결합(combination)은 이온 증착 스퍼터링(ion-deposition sputtering)을 사용하여 증착되는 제조방법.
KR1020007006556A 1997-12-19 1998-11-02 개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형배리어층 KR20010033174A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/995,108 US6887353B1 (en) 1997-12-19 1997-12-19 Tailored barrier layer which provides improved copper interconnect electromigration resistance
US8/995,108 1997-12-19
PCT/US1998/023355 WO1999033110A1 (en) 1997-12-19 1998-11-02 A tailored barrier layer which provides improved copper interconnect electromigration resistance

Publications (1)

Publication Number Publication Date
KR20010033174A true KR20010033174A (ko) 2001-04-25

Family

ID=25541403

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007006556A KR20010033174A (ko) 1997-12-19 1998-11-02 개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형배리어층

Country Status (6)

Country Link
US (1) US6887353B1 (ko)
EP (1) EP1042806A1 (ko)
JP (1) JP4949551B2 (ko)
KR (1) KR20010033174A (ko)
TW (1) TW525285B (ko)
WO (1) WO1999033110A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100606245B1 (ko) * 2004-03-23 2006-07-28 학교법인 국민학원 Ti 접착층을 이용한 TFT-LCD의 건식 식각 배선 형성방법
KR100744669B1 (ko) * 2001-06-28 2007-08-02 주식회사 하이닉스반도체 구리를 사용한 대머신 금속배선 형성 방법
KR100898430B1 (ko) * 2001-06-13 2009-05-21 파나소닉 주식회사 반도체장치 및 그 제조방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19922557B4 (de) * 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6508919B1 (en) * 2000-11-28 2003-01-21 Tokyo Electron Limited Optimized liners for dual damascene metal wiring
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
JP2002343859A (ja) * 2001-05-15 2002-11-29 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US7001841B2 (en) * 2002-08-26 2006-02-21 Matsushita Electric Industrial Co., Ltd. Production method of semiconductor device
CN1317745C (zh) * 2003-06-13 2007-05-23 联华电子股份有限公司 形成阻障层的方法与结构
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
CN104103573B (zh) * 2013-04-02 2017-06-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9837350B2 (en) 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
CN113871344A (zh) * 2020-06-30 2021-12-31 长鑫存储技术有限公司 半导体器件及半导体器件的形成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4319264A (en) 1979-12-17 1982-03-09 International Business Machines Corporation Nickel-gold-nickel conductors for solid state devices
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
WO1992007968A1 (en) 1990-10-26 1992-05-14 International Business Machines Corporation STRUCTURE AND METHOD OF MAKING ALPHA-Ta IN THIN FILMS
US5242860A (en) * 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
JP2905032B2 (ja) 1992-05-12 1999-06-14 シャープ株式会社 金属配線の製造方法
EP0583876B1 (en) * 1992-07-27 1999-02-17 STMicroelectronics, Inc. Planar contact with a void
JPH06140400A (ja) * 1992-10-27 1994-05-20 Kawasaki Steel Corp 集積回路装置
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JPH07176531A (ja) * 1993-12-21 1995-07-14 Sony Corp 配線構造、及び配線構造の形成方法
JP2701730B2 (ja) * 1994-02-24 1998-01-21 日本電気株式会社 半導体装置およびその製造方法
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
KR100205301B1 (ko) * 1995-12-26 1999-07-01 구본준 금속배선구조 및 형성방법
JPH09293720A (ja) * 1996-04-26 1997-11-11 Sony Corp 半導体装置およびその製造方法
JPH09306912A (ja) * 1996-05-15 1997-11-28 Oki Electric Ind Co Ltd 半導体素子の配線形成方法
US5707498A (en) * 1996-07-12 1998-01-13 Applied Materials, Inc. Avoiding contamination from induction coil in ionized sputtering
US6139699A (en) * 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100898430B1 (ko) * 2001-06-13 2009-05-21 파나소닉 주식회사 반도체장치 및 그 제조방법
KR100744669B1 (ko) * 2001-06-28 2007-08-02 주식회사 하이닉스반도체 구리를 사용한 대머신 금속배선 형성 방법
KR100606245B1 (ko) * 2004-03-23 2006-07-28 학교법인 국민학원 Ti 접착층을 이용한 TFT-LCD의 건식 식각 배선 형성방법

Also Published As

Publication number Publication date
EP1042806A1 (en) 2000-10-11
US6887353B1 (en) 2005-05-03
TW525285B (en) 2003-03-21
WO1999033110A1 (en) 1999-07-01
JP4949551B2 (ja) 2012-06-13
JP2002524837A (ja) 2002-08-06

Similar Documents

Publication Publication Date Title
KR100442023B1 (ko) 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
US7074714B2 (en) Method of depositing a metal seed layer on semiconductor substrates
US5925225A (en) Method of producing smooth titanium nitride films having low resistivity
US6488823B1 (en) Stress tunable tantalum and tantalum nitride films
US5882399A (en) Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
EP0966037A2 (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US6420260B1 (en) Ti/Tinx underlayer which enables a highly <111> oriented aluminum interconnect
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
KR20010033174A (ko) 개선된 구리 인터커넥트 전자이동 저항을 제공하는 맞춤형배리어층
KR20010075333A (ko) 탄탈 박막과 탄탈 박막의 증착 방법
US6156647A (en) Barrier layer structure which prevents migration of silicon into an adjacent metallic layer and the method of fabrication of the barrier layer
KR100365061B1 (ko) 반도체소자및반도체소자제조방법
TW386291B (en) Blanket-selective deposition of CVD aluminum and reflectivity improvement using a self-aligning ultra-thin layer

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid