KR20010029863A - 반도체 장치 제조를 위한 집적식 임계치수 제어 - Google Patents

반도체 장치 제조를 위한 집적식 임계치수 제어 Download PDF

Info

Publication number
KR20010029863A
KR20010029863A KR1020000036438A KR20000036438A KR20010029863A KR 20010029863 A KR20010029863 A KR 20010029863A KR 1020000036438 A KR1020000036438 A KR 1020000036438A KR 20000036438 A KR20000036438 A KR 20000036438A KR 20010029863 A KR20010029863 A KR 20010029863A
Authority
KR
South Korea
Prior art keywords
waveform
target
threshold
wafer
microstructures
Prior art date
Application number
KR1020000036438A
Other languages
English (en)
Other versions
KR100702741B1 (ko
Inventor
보 수
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010029863A publication Critical patent/KR20010029863A/ko
Application granted granted Critical
Publication of KR100702741B1 publication Critical patent/KR100702741B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 포토레지스트 공정 후에 노출 및 현상과 같은 웨이퍼를 검사하는 동안 수집된 정보를 피이드백하고 검사된 웨이퍼의 다음 공정(즉, 에칭 공정)을 조절하기 위해 정보를 피이드 포워드하여, 반도체 웨이퍼 공정에서 로트 대 로트 임계치수 편차를 감소시키는 방법 및 장치에 관한 것이다. 실시예들은 "광전지(photo cell)"에서 포토리소그래피 공정에 의해 반도체 웨이퍼 상의 에칭 마스크와 같은 미세구조물을 형성하는 단계와, 이후 미세구조물의 임계치수 및 다른 민감성 변수를 측정하기 위해 CD-SEM으로 미세구조물을 전형적으로 이미지화하는 단계를 포함한다. 측정된 변수들은 미세구조물의 SEM 파형을 통해 스텝퍼 포커스 및 노출 세팅과 같은 조절가능한 포토리소그래피 변수에 연결된다. 측정된 변수가 설계 직경으로부터 이탈된 경우, 포커스 및 노출에 대한 연결된 정보는 다음 로트에서의 편차가 보정되도록 자동적으로 또는 사용자의 선택에 의해 스텝퍼가 조절될 수 있는 광전지로 피이드백된다. 측정된 변수는 또한 상이한 과에칭 및/또는 에칭 화학물에 대한 에칭 처리법과 같은 조절가능한 에칭 공정변수에 연결된다. 측정된 변수가 원하는 값으로부터 이탈될 때, 에러를 보정하기 위한 연결된 에칭 처리법은 에칭기로 피이드 포워드되며, 자동적으로 또는 사용자의 선택에 의해 수행된다. 이러한 피이드백 및 피이드-포워드 기구는 포토레지스트 현상에 후속하는 검사 및 최종 검사에서 로트 대 로트 임계치수 제어를 개선시킨다.

Description

반도체 장치 제조를 위한 집적식 임계치수 제어 {INTEGRATED CRITICAL DIMENSION CONTROL FOR SEMICONDUCTOR DEVICE MANUFACTURING}
본 발명은 반도체 기판에서 수행되는 제조과정을 감시하고 제어하기 위한 방법 및 장치에 관한 것이며, 보다 상세하게는 미세구조물(feature)에 대한 인-프로세스(in-prcess) 검사중에 수집된 정보의 피이드백 및 피이드포워드를 통해서 반도체 기판상에 형성된 미세구조물의 임계치수(CDs)를 제어하기 위한 방법 및 장치에 관한 것이다. 본 발명은 특히, 서브미크론 단위의 회로배선폭을 갖는 고밀도 반도체 장치의 제조중에 반도체 웨이퍼의 인-라인을 검사하는데 적용될 수 있다.
초대규모 집적회로(ULSI)와 관련된 고밀도 및 성능에 대해서는 현재, 서브미크론 단위의 미세구조물, 증대된 트랜지스터 및 회로 속도, 및 향상된 신뢰도를 필요로 하고 있다. 그러한 요구에 부응하기 위해서는 고정밀도 및 균일도를 갖는 반도체 미세구조물을 필요로 하며, 이는 결국 반도체 소자들을 반도체 웨이퍼상에서 제조하는 동안의 반도체 소자들에 대한 빈번하고 상세한 검사를 포함하는 세심한 공정감시를 필요로 한다.
세심한 검사를 요하는 하나의 중요한 공정은 회로 패턴을 반도체 웨이퍼로 전사하는데 마스크가 사용되는 사진평판 공정이다. 통상적으로, 미리결정된 연속 공정에 일련의 마스크들이 사용된다. 각각의 사진평판 마스크들은 웨이퍼상에 집적될 회로 성분에 대응하는 복잡한 패턴을 포함한다. 일련의 마스크 내에 있는 각각의 마스크들은 실리콘 웨이퍼상에 형성된 폴리실리콘 층 또는 금속층과 같은 층위에 미리 피복되어 있는 감광층(즉, 포토레지스트 층)상에 대응 패턴을 전사시키는데 사용된다. 마스크 패턴을 포토레지스트 층에 전사시키는 것은 통상적으로, 포토레지스트의 노출을 위해 광 또는 다른 방사선이 마스크를 투과할 수 있게 하는 스캐너 또는 스텝퍼와 같은 광 노출기구에 의해 수행된다. 그 후에, 포토레지스트는 포토레지스트 마스크를 형성하도록 현상되며, 라인 또는 게이트와 같은 미세구조물을 형성하도록 하부의 폴리실리콘 또는 금속 층이 상기 마스크에 따라 선택적으로 에칭된다.
마스크의 제조는 가공 및 설계 한계에 따라 설정된 미리결정된 설계기준을 따른다. 이러한 설계 기준들은 반도체 소자와 상호연결된 라인 사이의 공간적 한계 및 라인 자체의 폭을 규정함으로써, 반도체 소자 또는 라인들이 바람직하지 않게 중복되거나 상호작용하는 것을 방지할 수 있게 한다. 이러한 설계기준 상의 제약을 임계치수("CDs")라 지칭하며, 이는 반도체 소자들의 제조에 허용된 두 개의 라인들 사이의 최소 공간 또는 최소 회로선폭으로 정의된다. 초대규모 집적회로에의 적용을 위한 임계치수는 미크론 단위 정도이다.
설계 기준의 미세화 및 프로세스 윈도우(즉, 공정상의 에러 마진)의 소형화에 따라, 표면 미세구조물의 임계치수 및 횡단면 형상에 대한 검사와 측정의 중요성이 증대되었다. 미세구조물의 임계치수 및 프로파일에 대한 설계 치수로부터 편차는 최종 반도체 장치의 성능에 악영향을 끼친다. 또한, 미세구조물의 임계치수 및 프로파일의 측정으로 과노출로 인한 포토레지스트의 손실 또는 스텝퍼의 촛점이탈과 같은 공정상의 문제점을 파악할 수 있다.
이와 같이, 임계치수 및 프로파일의 수치와 설계치수로부터 미세구조물 임계치수의 편차는 포토레지스트 및 에칭 공정의 정확도와 안정성에 대한 중요한 지표이며, 그러한 편차를 감소시키기 위한 "임계치수 제어"는 반도체 처리공정에 있어서 중요한 부분이다. 임계치수 제어는 웨이퍼 내의 필드로부터 필드로(FTF), 웨이퍼로부터 웨이퍼로(WTW), 그리고 로트로부터 로트로(LTL) 임계치수 편차를 대응시키기 위한 사진평판 공정 및 에칭 공정의 감시 단계와 조절 단계를 필수적으로 포함한다. FTF, WTW, 및 LTS 편차 중에서, FTF 및 LTL이 주 편차성분인 반면에, 통상 WTW 편차는 총 임계치수 편차 중에서 10% 이하이다. 일반적으로, FTF 편차는 포토레지스트 피복 및 소성(baking) 균일도, 스텝퍼 또는 스캐너 스테이지의 레벨링, 및 에칭 마이크로-로딩의 균일도과 같은 가공기구의 성능에 의해 결정된다. 다른 한편으로, LTL 편차는 일반적으로 가공장비의 안정성을 포함한 공정 안정성에 의해 결정된다.
현재의 임계치수가 초미세화됨으로 인해, 사진평판 공정에 의해 생성되는 표면 미세구조물의 측정 및 검사를 위해 선택되는 기구는 "임계치수 주사식 전자 현미경"(CD-SEM)으로 공지된 주사식 전자 현미경이다. 임계치수의 측정에는 종래의 SEM이 유용하지만, 일반적으로 이들은 LTL편차를 감소시키기 위한 사진평판 공정으로의 즉각적인 피이드백을 제공하지 못하기 때문에 종래의 검사결과는 통상적으로 계속된 에칭공정을 조절하는데 사용되지 못한다. 또한, 종래의 CD-SEM은 미세구조물의 프로파일 또는 적절한 해상도를 갖는 직접적인 이미지를 제공하지 못한다. 또한, 미세구조물을 직접적으로 검사하는 종래의 방법은 검사 샘플을 파괴하거나 시간 소모적이다.
따라서, 본 발명은 제조수율의 저하없이 로트 대 로트 임계치수편차를 신속하고 정확하게 확인 및 보정할 수 있는 간단하고 경제적인 방법 및 장치를 제공하고자 하는 것이다.
도 1은 본 발명의 실시예를 실행하는데 사용되는 포커스 노출 매트릭스의 일부를 도시하는 도면.
도 2a 및 도 2b는 본 발명의 실시예를 실행하는데 사용되는 기준 라이브러리의 개념을 설명하는 도면.
도 3은 본 발명의 실시예에 따른 검사 기구의 블록선도.
도 4a 및 도 4b는 본 발명의 실시예에 따른 방법의 연속 단계를 설명하는 흐름도.
도 5는 본 발명의 실시예를 설명하는 블록선도.
도 6a는 본 발명의 방법에 따라 검사되는 미세구조물의 프로파일을 도시하는 도면.
도 6b 및 도 6c는 본 발명의 실시예를 실행하는데 사용되는 파형을 도시하는 그래프.
도 6d는 본 발명의 실시예에 따른 방법의 연속 단계를 설명하는 흐름도.
* 도면의 주요부분에 대한 부호의 설명 *
300 : CD-SEM 310 : 이미저
320 : 프로세서 330 : 모니터
340 : 메모리 350 : 제조 수행 시스템(MES)
360 : 광전지
본 발명의 장점은 웨이퍼의 인-프로세스 검사중에 수집된 정보를 이용함으로써 제조수율의 저하없이 반도체 웨이퍼의 로트 대 로트의 임계치수 편차를 감소시킬 수 있다는 점이다.
본 발명에 따라, 전술한 장점 및 그 이외의 장점들은 제 1 공정을 웨이퍼상에서 수행하는 단계 및 상기 제 1 공정을 이용하여 형성된 웨이퍼상에서 타겟 미세구조물의 특징을 달성하는 단계를 포함하는, 반도체 웨이퍼의 가공처리 공정을 제어하기 위한 방법에 의해 부분적으로 달성된다. 제 2 공정에 대한 공정변수가 타겟 미세구조물의 특성에 기초하여 결정되고난 후에, 제 2 공정변수에 기초하여 제 2 공정이 웨이퍼에서 수행된다. 또한, 공정변수가 타겟 미세구조물 특성에 감응하는 제 1 공정변수에 대해 결정되어, 제 1 공정이 상기 제 1 공정변수에 감응하는 다른 반도체 웨이퍼상에서 수행된다.
본 발명의 다른 특징은 전술한 방법의 단계들을 수행하기 위한 장치에 있다.
본 발명의 또다른 특징은 반도체 웨이퍼의 공정을 제어하기 위한 지시들을 전달하는 컴퓨터 판독가능한 매체에 있으며, 상기 지시들은 실행시 하나 이상의 프로세서가 전술한 방법의 단계들을 수행할 수 있도록 배치된다.
본 발명이 추가의 장점들은 본 발명을 실시하기 위해 고려된 최적 실시예들 간단히 설명하는 방식으로 본 발명의 양호한 실시예만을 도시하고 설명한 다음의 상세한 설명으로부터 본 기술분야의 숙련자들에게 쉽게 이해될 것이다. 이해할 수 있듯이, 본 발명은 본 발명으로부터 이탈함이 없는 다른 상이한 실시예들이 있을 수 있으며, 여러 세부사항들이 다수 측면에서 변형될 수 있다. 따라서, 도면 및 상세한 설명은 당연히 예시적인 것으로 간주하여야 하며 본 발명을 한정하려는 것이 아니라고 이해해야 한다.
반도체 웨이퍼의 표면 상에 형성된 미세구조물을 연속하여 검사하기 위한 종래의 방법은 결함의 소오스의 초기의 완전한 동일함을 유도하거나 직경 편차를 감소시키도록 공정을 제어할 수 있는 정보를 제공하도록 충분히 상세하게 임계치수 및/또는 설계 규격으로부터의 프로파일 편차를 분석할 수 없다. 본 발명은 웨이퍼를 검사(즉, 포토레지스트 현상 후)하는 동안 수집된 정보를 피이드백하고 검사된 웨이퍼의 다음 공정(즉, 에칭 공정)을 조절하기 위해 정보를 피이드 포워드하여 로트 대 로트 임계치수 편차를 감소시킴으로서 임계치수 제어의 문제를 해결하는 것이다.
본 발명의 방법에 따르면, 에칭 마스크와 같은 미세구조물이 포토리소그래피 공정(포토레지스트 현상 후에 스텝퍼에서 노출)에 의해 "광전지(photo cell)"에서 반도체 웨이퍼의 로트(lot) 또는 배치 상에 형성된 후, 하나 이상의 웨이퍼의 로트가 검사를 위해 선택되고, 미세구조물은 그의 임계치수, 및 에지폭 및 프로파일과 같은 다른 감광 변수를 측정하고 미세구조물의 SEM 파형을 얻기 위해, 검사될 각각의 웨이퍼 상의 여러 사이트에서 CD-SEM에 의해 이미지화된다. 측정된 변수는 스텝퍼 포커스 및 노출 세팅과 같은 조절가능한 포토리소그래피 변수에 연결된다. 따라서, 측정된 변수가 규격 직경으로부터 벗어나는 경우, 포커스와 노출에 대한 연결된 정보는 광전지로 피이드백될 수 있으며, 이에 의해 스텝퍼는 다음 로트에서의 일탈을 보정하기 위해 자동적으로 또는 사용자의 판단으로 조절될 수 있다. 더욱이, 측정된 변수는 또한 상이한 과에칭 및/또는 에칭 화학물에 대한 에칭 처리법(recipe)과 같은 조절가능한 에칭 공정변수에 연결된다. 따라서, 측정된 변수가 원하는 값으로부터 벗어나는 경우, 에러를 보정하기 위한 연결된 에칭 처리법(recipe)은 에칭기로 공급될 수 있으며, 이러한 처방은 검사될 로트에서 웨이퍼를 처리하기 위해 자동적으로 또는 사용자의 판단으로 수행될 수 있다. 따라서, 본 발명의 피이드백 및 피이드포워드 메카니즘은 다음의 포토레지스트 현상 검사 및 최종 검사에서 로트 대 로트의 임계치수 제어를 개선시킨다.
측정된 미세구조물 변수 사이의 연결, 조절가능한 포토리소그래피 변수, 및 조절가능한 에칭 변수는 "라이브러리 빌딩(library building)"을 통해 달성된다. 특히, 본 발명의 일실시예에서, 전형적인 SEM 파형과 같은 기준 파형의 "라이브러리"는 광전지에서 시험 웨이퍼 상에 형성된 다수의 기준 미세구조물을 이미지화하고, 검사될 미세구조물을 제조하는데 사용되는 십자선(reticle)을 사용함으로써 달성된다. 각각의 기준 미세구조물은 상이한 스텝퍼 포커스 및 노출 세팅과 같은 상이한 공정변수를 사용하여 형성된다. 기준 SEM 파형을 형성한 후, 원한다면, 각각의 기준 미세구조물의 프로파일이 횡단면 FIB-SEM에 의해 이미지화된다. 따라서, 각각의 기준 SEM 파형은 공지된 스텝퍼 세팅 및 선택적인 공지된 프로파일과 관련된다. 추가로, 기준 미세구조물을 사용할 때, 원하는 임계치수를 갖는 최종 미세구조물을 형성하는 에칭 처리법은 각각의 기준 파형과 연결된다. 다시 말하면, 기준 미세구조물에서의 일탈을 보상하는 에칭 처리법은 각각의 기준 미세구조물에 연결된다. 연속하여, 최적의 임계치수, 프로파일 및/또는 다른 특징을 갖는 특별한 횡단면의 SEM 파형과 관련된 "최상의 파형(golden waveform)"으로 명명된 기준 파형이 선택된다.
공지되지 않은 임계치수의 미세구조물은 일반적으로 SEM으로 이미지화되고, 생성된 파형은 최상의 파형과 비교된다. 미세구조물의 파형이 최상의 파형과 실질적으로 일치하지 않는다면, 이러한 미세구조물의 파형은 기준 미세구조물 파형과 비교되어서 가장 근접하게 일치하는 기준 파형을 찾는다. 일치하는 기준 파형의 프로파일 및 스텝퍼 세팅(검사에 당하는 미세구조물의 프로파일 및 스텝퍼 세팅과 대응하는)는 이후 최상의 파형과 비교되고 자동 또는 수동식 공정 조절을 위해 광전지로 피이드백될 수 있다. 더욱이, 일치하는 기준 파형의 에칭 처리법은 에칭기로 피이드포워드되어서 에칭 공정 중 수행되는 검사 상태에 놓인 웨이퍼 로트에서의 미세구조물의 임계치수를 조절한다. 따라서, 검사 상태에 놓인 로트으로부터 샘플 미세구조물의 스텝퍼 포커스 및 노출 세팅이 정확하게 지적되고, 각각의 미세구조물의 SEM 파형을 수득하고 이러한 파형을 기준 미세구조물 파형의 라이브러리와 비교함으로써 로트에 대한 최적의 에칭 처리법이 결정되고, 이에 의해 로트 대 로트에서의 임계치수 변화가 감소하도록 공정변수를 조절하기 위해 광전지로 피이드백할 수 있고 에칭기로 피이드포워드할 수 있다.
본 발명의 바람직한 실시예에서, 기준 파형의 라이브러리는 시험 웨이퍼 상에 포커스 노출 매트릭스("FEM")로서 형성되는데, 이는 새로운 마스크가 제조될 때 또는 제조 처방이 변화된 후에 최적의 노출/포커스 조합을 얻기 위한, 즉 원하는 임계치수를 유지하면서 웨이퍼에 대한 최적의 해상도를 제공하는 스텝퍼 포커스 및 노출의 조합을 발견함으로써 공정을 최적화시키기 위한 전형적인 기술이다. FEM 공정은 일반적으로 노출 및 포커스 수치가 하나의 노출 위치로부터 다음의 노출 위치로 점진적으로 변화되는 동안, 마스크 패턴을 갖는 시험 웨이퍼의 일련의 영역을 연속적으로 노출시키는 단계를 포함한다. 특히, 포커스가 일축선을 따라 변화되고, 노출이 다른 축선을 따라 변화되는 동안, 시험 웨이퍼는 스텝퍼에서 노출된다. 따라서, 미세구조물의 매트릭스는 노출된 웨이퍼 상에서 수득되며, 각각의 노출 사이트 또는 다이는 상이한 포커스-노출 세팅을 갖는다.
도 1은 포커스-노출 매트릭스의 일부를 도시하고 있다. 매트릭스(101)는 마스크를 통과하는 광 또는 다른 방사선을 사용하여 웨이퍼 표면을 패턴함으로써 형성된다. 단일 웨이퍼는 다이 크기에 따라 수천 또는 수백개의 다이를 포함할 수도 있는데, 각각의 다이는 도 1에 도시된 노출 영역 중 하나 또는 "셀(cell)"에 대응한다. 편리를 위해, 단지 소수의 다이만을 도시하였다. 매트릭스의 각각의 셀은 둘레의 어느 셀과는 점진적으로 상이한 포커스(f) 및/또는 점진적으로 상이한 노출량(E)을 갖는데, 도면에서는 fnEm으로 나타내었다. 매트릭스 형태에서, 포커스는 일축선을 따라 변화되며, 노출량은 다른 축선을 따라 변화된다.
웨이퍼의 노출 후에, 개별적인 노출 사이트가 현상되고, 이후 미세구조물의 임계치수를 측정하기 위해 전형적인 CD-SEM 스캔으로 검사되며, 생성된 파형은 각각에 사이트에 대한 기준 파형을 수득하기 위해 저장된다. 원한다면, 이후 노출 사이트는 각각의 프로파일을 결정하기 위해 원자력 현미경(atomic force microscopy, AFM)으로 이미지화되거나 횡단면 FIB-SEM으로 분할되고 이미지화된다. 임계치수의 평가, 횡단면 프로파일의 이미지, 및 다른 측정 변수가 최상의 미세구조물 특성을 생성하는 포커스와 노출 세팅의 조합을 결정하기 위해 수행된다. 최상의 특성을 제조하는 스텝퍼 포커스 및 노출 세팅의 조합에 대응하는 기준 파형(즉, 전형적인 SEM 파형)은 이후 최상의 파형으로써 명시된다. 각각의 기준 파형은 또한 측정된 임계치수 및 기준 미세구조물의 다른 특성(즉, 에칭 마스크)을 가지고서 설계 직경에 가장 인접한 임계치수으로 완성된 미세구조물을 제조하기 위해 최적의 처방으로 실험적으로 결정된 에칭 처리법과 관련된다.
본 발명의 기준 라이브러리의 개념은 도 2a 및 도 2b에 도시되어 있다. 도 1의 FEM에 기초하여 도시된 도 2a에서, 최적의 공정 조건은 셀 마크 "x"로 표시된다. 다른 모든 셀은 바람직하게는 공정 편차의 바람직한 범위 또는 "공정 윈도우(process window)"를 커버하는데, 여기서 △fn및 △Em은 셀 x로부터의 차이로써 측정되는데, 즉 △f 및 △E은 모두 셀 x에서 제로이며, 다른 셀의 △f 및 △E은 최적의 노출 및 포커스에 대한 노출량 및 포커스 세팅이다. 각각의 노출 칼럼 A-E는 상이한 에칭 처리법을 나타낸다. 에칭 처리법 조절은 프로파일이 아닌 임계치수에만 적용되기 때문에, 에칭 처리법의 할당은 노출 칼럼과 정렬된다. 도 2b는 도 2a의 각각의 셀의 팽창을 나타낸다. 측정된 임계치(즉, 박스 I에서) 및 SEM 파형(즉, 박스 II에서)은 셀 내에서 △fn△Em(즉, 박스 iii에서)에, 에칭 처리법(즉, 박스 IV에서)에, 그리고 검사된 미세구조물의 프로파일의 횡단면 또는 이미지(즉, 박스 V)에 연결된다.
본 발명은 도 3에 도시된 바와 같은 검사 기구(300)에서 수행되는데, 이러한 검사 기구(300)는 바람직하게 미국 캘리포니아 산타클라라의 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생산되는 VesaSEMTM과 같은 CD-SEM인 이미저(imager, 310)를 포함하고 있다. 검사 기구(300)는 바람직하게 본 명세서에서 개시된 분석을 전기적으로 수행하는 프로세서(320), 및 프로세서(320)의 분석 결과를 디스플레이하는 모니터(330)를 더 포함하고 있다. 프로세서(320)는 반도체 메모리와 같은 메모리 장치(340) 및 처리 정보를 저장하는데 사용되는 "제조 수행 시스템(manufacturing execution system, MES)"으로써 공지된 컴퓨터 소프트웨어 수행 데이터베이스 시스템(350)와 연통하고 있다. 프로세서(320)는 또한 전술한 광전지(360) 및 에칭기(370)와 연통하고 있다.
도 4a는 광전지(360)에서 반도체 웨이퍼(W) 상에 형성된 에칭 마스크와 같은 미세구조물(이후 "타겟 미세구조물(target feature)"라 함)의 검사와 연관하여 수행되는 본 발명의 실시예에 따른 주요 공정 제어 단계를 도시한 플루우챠트이다. 단계(410)에서, 기준 임계치수 및 SEM 파형 형태의 파형을 포함하는 기준 라이브러리가 생성되며, 검사 기구(300)또는 MES(350)에 국부적으로 저장된다. 각각의 기준 파형과 관련된 스텝퍼 세팅 및 적절한 에칭 처리법이 파형과 함께 저장된다. 프로파일 이미지는 또한 원한다면 사용자에 의해 저장될 수 있다. 사용자가 결정하는 광전지(360)와 같은 "임계층"을 형성하는 일련의 공정 단계가 검사되어야 할 때와 같이, 기준 라이브러리는 검사될 각각의 층에 대해 단지 한차례 생성된다. 최상의 파형, 즉 최적의 임계치수 및/또는 다른 특성을 나타내는 기준 미세구조물과 관련된 파형은 단계(420)에서 선택된다.
공지되지 않은 임계치수 및 다른 특성을 갖는 미세구조물을 갖춘 웨이퍼(W)는 광전지(360)로부터 이미저(310)로 도입되고, 타겟 미세구조물은 단계(430)에서 이미저(310)에 의해 이미지화되며, 그의 파형은 타겟 파형으로써 저장된다. 타겟 파형 및 최상의 파형이 미리결정된 한계값에서 수행된다면, 타겟 미세구조물의 임계치수는 최상의 파형으로부터 타겟 파형의 편차량을 나타내는 "매칭 스코어(matching score)"와 함꼐 모니터(330) 상의 디스플레이에 의해 사용자에게 보고된다(단계 441 참조). 검사로부터 수득된 결과(즉, 데이터)는 이후 MES(350)로 송신되고, 웨이퍼(W)는 에칭기(370)로 이송된다.
타겟 파형이 최상의 파형과 일치하지 않는다면, 타겟 파형은 라이브러리 내의 각각의 기준 파형과 비교되어서 타겟 파형과 가장 근접하게 일치하는 기준 파형을 찾는다. 보고된 스텝퍼 세팅은 단계(460)에서 최상의 파형과 관련된 세팅과 비교되어서, 최상의 파형을 생성하는 세팅과 타겟 파형을 생성하는 세팅 사이의 차(dEdF)를 결정한다. 즉, 최상의 파형과 관련된 포커스 세팅과 타겟 파형과 관련된 포커스 세팅 사이의 차를 결정하고, 최상의 파형과 관련된 노출 세팅과 타겟 파형과 관련된 노출 세팅 사이의 차를 결정한다. 이러한 정보는 이후 광전지(360)로 송신되는데, 여기서는 요구된 스텝퍼에 대한 조절량 뿐만 아니라 수행되어야 할 특별한 조절(즉, 포커스, 노출, 또는 이들 모두)를 나타냄으로써, 연속적으로 처리된 웨이퍼 내의 임계치수의 편차를 유발하는 스텝퍼 내의 "표류(drift)"를 최소화하기 위해 스텝퍼 세팅을 보정하는데 사용된다.
다음으로, dE 및 dF는 단계(470)에서 미리결정된 임계치과 비교된다. 만일, dE 및 dF가 미리결정된 임계치 보다 작다면, 타겟 미세구조물의 임계치수 및 매칭 스코어는 단계(471)에서 보고되며, 검사로부터 수득된 데이터는 이후 MES(350)로 송신되고, 웨이퍼(W)는 에칭기(370)로 이송된다. 반면, 만일 dE 및 dF가 미리결정된 임계치 보다 크다면, 타겟 미세구조물의 임계치수 및 매칭 스코어는 단계(480)에서 dE와 dF, 및 관련된 에칭 처리법과 함께 보고되며, 데이터는 웨이퍼(W) 상의 완성된 미세구조물의 임계치수 편차를 보정하기 위한 에칭 처리법을 조절하기 위해 에칭기(370)로 송신된다. 에칭 처리법은 일반적으로 약 10% 이하의 범위 내에서 임계치수를 조절할 수 있다.
단계(460) 및 단계(480)의 피이드백 및 피이드포워드는 수동 또는 자동으로 수행될 수 있다. "수동 모드"에서, 사용자는 보고된 공정 보정 정보를 수득하고, 광전지(360) 및/또는 에칭기(370)에서 이를 수동으로 수행한다. 이는 공정 조절의 필요성을 결정하기 위해 사용자로부터 전문가 입력을 허용한다. "자동 모드"에서, 공정 보정 정보는 광전지(360) 내의 스텝퍼 또는 에칭기(370)로 자동적으로 공급되어서, 처방 갱신을 통한 보정을 수행한다. 이러한 모드는 프로세서(320)와 에칭기(370) 사이 및 프로세서(320)와 광전지(360) 사이의 연통을 허용하는 소프트웨어 인터페이스에 의해 수행될 수 있다. 단계(470)의 미리결정된 임계 시험은 갱신이 필요한지의 여부를 결정하기 위한 감도 필터로서 사용될 수 있다. 자동 모드는 신속한 피이드백 및 일관성을 달성할 수 있기 때문에 유리하다.
본 발명의 상기한 실시예는 "최상의 파형" 기술에 대해 기술하였다. 그렇지만, 에칭 처리법, 미세구조물 프로파일 및/또는 횡단면에 대해 FEM 셀(또는 dEdF)과 관련될 수 있는 SEM CD 측정 기술이 본 발명을 수행하기 위해 사용될 수 있음을 이해해야 한다. 이러한 기술의 예는 1999년판 SPIE 회보 3677호에 기술된 마크 피. 데이비드슨(Mark P. Davidson) 및 안드라스 이. 블라다스(Andras E. Vladar)가 쓴 제목 "SEM 라인 폭 측정을 위한 역산란 접근 방법(An Inverse Approach to SEM Line Width Measurements)"에 개시되어 있으며, 이는 본 발명에서 참조하였다. 이러한 기술에서, 미세구조물의 측벽 형태 및 직경(즉, 미세구조물 프로파일)을 예상할 수 있도록, SEM 파형은 몬테 카를로 시뮬레이션의 라이브러리에 일치된다.
일반적으로, 본 발명의 방법은 약 25개의 다수의 웨이퍼가 광전지(360)에 의해 처리된 후에 수행된다. 로트으로부터 검사될 웨이퍼(W)의 수가 사용자의 선호에 따라 선택된다. 예컨대, 마이크로프로세서를 제조하는 경우에는, 1 내지 3개의 웨이퍼가 검사용으로 선택되지만, DRAM과 같은 메모리 장치를 제조하는 경우에는 로트 당 단지 한 개의 웨이퍼만이 검사된다. 선택된 각각의 웨이퍼(W) 상의 다수의 사이트, 즉 웨이퍼(W) 당 약 9 내지 17개의 사이트가 일반적으로 본 발명에 따른 방법에 의해 검사된다.
단계(480)에서 수행될 에칭 처리법을 결정하기 위해, 로트 내의 하나 이상의 웨이퍼(W)로부터 다수의 타겟 미세구조물이 검사될 때, 로트 내의 모든 타겟 미세구조물의 임계치수의 평균이 수득될 수 있으며, 평균 임계치수와 관련된 에칭 처리법이 로트의 에칭 공정을 조절하기 위해 사용된다. 다음의 로트의 포토리소그래피 공정을 조절하기 위해 단계(460)에서 광전지(360)로 피이드백되는 스텝퍼 포커스 및 노출 정보(dEdF)를 결정하기 위해, 로트 내의 다수의 타겟 미세구조물이 검사될 때, 사용자는 선택된 웨이퍼(W) 상의 어떠한 사이트를 검사할 것인지를 결정하고 이후 광전지(360)를 조절하기 위해 어떠한 미세구조물 정보를 사용할 것인지를 결정하기 위해, 이미 수집된 공정 정보를 적용한다.
이는 본 발명의 실시예의 플루우챠트를 도시한 도 4b에 도시되어 있다. 단계(490)에서, 사용자는 본 발명의 방법을 사용하여 검사하기 전에 다수의 웨이퍼를 가로질러 필드 대 필드 임계치수 편차의 지도를 작성한다. 이는 모든 웨이퍼 제조자에 의해 사용되는 표준 공정 제어 기술이다. 여기서는, 어느 웨이퍼의 영역이 일반적으로 설계값 보다 작은 임계치수 편차를 갖는지, 그리고 어느 웨이퍼의 영역이 일반적으로 설계값 보다 큰 임계치수 편차를 갖는지가 나타난다. 예컨대, 어떤 웨이퍼 처리 장치(즉, 광전지(360)는 웨이퍼의 중앙에서 작은 임계치수 편차를 갖는 웨이퍼를 제조하며, 둘레부에 큰 임계치수 편차를 갖는 웨이퍼를 제조한다. 다른 장치는 웨이퍼의 중심 부근에서 큰 임계치수 편차를 갖는 웨이퍼를 제조하며, 중앙을 둘러싸는 밴드에 작은 임계치수 편차를 갖는 웨이퍼를 제조한다. 임계치수 편차의 지도를 작성한 후, 사용자는 단계(491)에서 최악의 임계치수 편차를 나타내는 웨이퍼의 영역 또는 영역들을 확인한다.
다음으로, 사용자는 사용자가 보정하기를 원하는 최소 임계치수 편차를 나타내는 유호 임계치수 편차를 선택한다(단계(492) 참조). 타겟 미세구조물은 이후 본 발명의 방법을 사용하여 단계(493)에서 검사된다(상기한 단계 430) 등). 타겟 미세구조물은 단계(491)에서 확인된 최악의 웨이퍼 부분에서의 필드가 나타나도록 선택된다. 검사된 미세구조물의 필드 대 필드 편차가 미리결정된 임계치(단계(494) 참조) 보다 작은 경우, 타겟 미세구조물 중 어느 하나와 관련된 dEdF는 연속적인 로트의 처리를 조절하는데 사용되는 광전지(360)로 피이드백될 수 있는데, 이는 이들이 서로 비교적 인접해 있기 때문이다. 반면, 검사된 미세구조물의 필드 대 필드 편차가 단계(492)에서 선택된 임계치 보다 큰 경우, 단계(491)에서 미리결정된 최악의 사이트로부터 검사된 미세구조물과 관련된 dEdF는 광전지(360)로 피이드백된다(단계(496) 참조). 따라서, 최악의 임계치수 편차는 연속적인 로트에서 보정된다.
단계(497)에서, 검사된 미세구조물의 임계치수의 평균이 구해지고, 단계(498)에서, 평균 임계치수와 관련된 에칭 처리법이 에칭 처리법을 조절(또는 "갱신")하기 위해 에칭기(370)로 피이드포워드되어서, 검사된 로트에서의 반도체 상의 미세구조물의 임계치수 편차를 보정한다. 따라서, 본 발명의 실시예는 사용자가 본 발명의 수행과는 무관하게 수집되는 필드 대 필드 임계치수 편차 지도와 같은 정보를 사용할 수 있도록 하여, 최소의 비용 및 검사 시간으로 로트 대 로트 편차를 감소시킨다.
도 5는 본 발명의 실시예를 도시한 블록 다이아그램이다. 본 실시예에 따르면, 도 5에 도시된 바와 같이, 프로세서(320)는 버스(502) 또는 정보를 통신하기 위한 다른 통신 수단, 및 정보를 처리하기 위해 버스(502)에 접속된 중앙 처리 장치(504)를 포함하고 있다. 프로세서(320)는 또한 랜덤 어셋스 메모리(RAM) 또는 다른 역학적 저장 장치와 같은 주메모리(506)를 포함하는데, 이러한 주메모리(506)는 중앙 처리 장치(504)에 의해 수행될 정보 및 명령을 저장하기 위해 버스(502)에 접속되어 있다. 주메모리(506)는 또한 중앙 처리 장치(504)에 의해 수행될 명령을 수행하는 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수도 있다. 프로세서(320)는 판독 기억 전용 장치(ROM)(508) 또는 중앙 처리 장치(504)에 의해수행될 정적인 정보 및 명령을 저장하기 위해 버스(502)에 접속된 다른 정적인 저장 장치를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 장치(510)는 정보 및 명령을 저장하기 위한 버스(502)에 접속되어 있다.
프로세서(320)는 버스(502)를 통해 컴퓨터 사용자에게 정보를 디스플레이하기 위한 음극선관(CRT)과 같은 모니터(330)에 접속될 수도 있다. 문자숫자식 및 다른 키이를 포함하는 입력 장치(514)는 중앙 처리 장치(504)와 정보 및 명령 선택을 통신하기 위해 버스(502)에 접속되어 있다. 다른 형태의 입력 장치로는 중앙 처리 장치(504)로 정보 및 명령 선택을 송신하고 모니터(30) 상에서 커서 이동을 제어하기 위한 마우스, 트랙볼(trackball), 또는 커서 방향 키이와 같은 커서 제어 기구(516)가 있다.
이미저(310)(도 30는 검사 하에 놓인 반도체 웨이퍼의 미세구조물을 나타내는 정보를 버스(502)로 입력한다. 이러한 데이터는 주메모리(506) 및/또는 저장 장치(510) 내에 저장될 수도 있고, 명령을 수행하는 중앙 처리 장치(504)에 의해 사용될 수도 있다. 이미저(310)는 또한 중앙 처리 장치(504)로부터 버스(502)를 통해 명령을 수신할 수도 있다.
본 발명은 반도체 웨이퍼의 표면 상에 형성된 미세구조물을 검사하고 웨이퍼의 처리를 제어하기 위한 프로세서(320)의 사용에 관한 것이다. 본 발명의 일실시예에 따르면, 웨이퍼 표면의 검사 및 웨이퍼 처리 제어는 주메모리(506)에 포함된 하나 이상의 명령의 하나 이상의 순서를 수행하는 중앙 처리 장치(504)에 응답하여 프로세서(320)에 의해 제공된다. 이러한 명령은 저장 장치(510)와 같은 다른 컴퓨터-판독가능한 매체로부터 주메모리(506) 내로 판독될 수도 있다. 주메모리(506) 내에 포함된 명령 순서의 실행은 중앙 처리 장치(504)로 하여금 상기한 공정 단계를 수행하도록 한다. 다중 처리 배열에서 하나 이상의 프로세서는 또한 주메모리(506) 내에 포함된 명령 순서를 수행하기 위해 적용될 수도 있다. 따라서, 본 발명의 실시예는 특정한 하드웨어 회로 및 소프트웨어의 조합으로 제한되지 않는다. 장치의 프로그래밍은 도 4의 플루우챠트로 제공된 기술에 의해 당업자들에 의해 용이하게 달성된다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체(computer-readable medium)"이란 용어는 실행을 위해 중앙 처리 장치(504)에 명령을 제공하는데 참여하는 소정의 매체를 의미한다. 이러한 매체는 비휘발성 매체, 휘발성 매체, 및 송신 매체(tramsmission media)의 여러 형태를 가질 수도 있지만, 이들로 제한되지 않는다. 비휘발성 매체는 예컨대 저장 장치(510)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주메모리(506)와 같은 역학적 메모리를 포함한다. 송신 매체는 버스(502)를 포함하는 배선으로 이루어진 동축선 케이블, 구리선, 및 광섬유를 포함한다. 송신 매체는 고주파수 및 적외선 데이터 통신 동안 발생되는 음향파 또는 광파(light wave)의 형태를 가질 수 있다. 컴퓨터-판독가능한 매체의 공통적인 형태는 예컨대 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 다른 형태의 자기 매체, CD-ROM, DVD, 다른 형태의 광학 매체, 펀치 카드, 페이퍼 테이프, 구멍이 패턴된 다른 형태의 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 다른 형태의 메모리 칩 또는 카트리지, 또는 컴퓨터가 판독할 수 있는 다른 매체를 포함한다.
컴퓨터-판독간으한 매체의 여러 형태는 실행을 위해 중앙 처리 장치(504)로 하나 이상의 명령의 하나 이상의 순서를 수행하는 것이 포함될 수도 있다. 예컨대, 명령은 원격 컴퓨터의 자기 디스크 상에서 초기에 발생될 수도 있다. 원격 컴퓨터는 역학적 메모리 내로 명령을 주입하고, 모뎀을 사용한 전화선을 통해 명령을 송신한다. 프로세서(320)로의 모뎀 로컬은 전화선에 대한 데이터를 수신할 수 있고, 데이터를 적외선 신호로 변화시키기 위해 적외선 변환기를 사용할 수 있다. 버스(502)에 접속된 적외선 검출기는 적외선 신호에 수용된 데이터를 수신할 수 있고, 버스(502) 상에 데이터를 배열시킨다. 버스(502)는 데이터를 주메모리(506)로 송신하고, 중앙 처리 장치(504)는 주메모리로부터 데이터를 검색하고 명령을 수행한다. 주메모리(506)에 의해 수신된 명령은 선택적으로 중앙 처리 장치(504)에 의한 수행 이전 또는 이후이든지 간에 저장 장치(510) 상에 저장될 수도 있다.
본 발명의 다른 실시예에서, 타겟 파형을 최상의 파형과 비교하는 단계(도 4a의 단계(440) 참조) 및 타겟 파형을 기준 파형 중 하나와 일치시키는 단계(단계(450))은 검사에 놓인 프로파일의 중요한 미세구조물을 나타내는 기준/최상의 파형과 타겟 파형의 선택된 부분만을 비교하는 단계를 포함한다. 가장 적절한 정보를 포함하는 파형의 일부만을 분석함으로써, 공정 시간이 감소된다. 도 6a는 일반적인 미세구조물 프로파일을 도시하고 있고, 도 6b는 도 6a의 미세구조물의 대응하는 SEM 파형을 도시하고 있으며, 도 6c는 도 6b의 SEM 파형의 제 1 도함수를 도시하고 있다. 부분(601) 및 부분(603)이 검사될 미세구조물 프로파일의 가장 중요한 영역이고, 또한 도 6b에 도시된 SEM 파형의 세그먼트(601b,603b) 및 도 6c에 도시된 제 1 도함수의 세그먼트(601c,603c)에 대응하기 때문에, 타겟과 기준 파형의 제 1 도함수의 세그먼트(601c) 또는 세그먼트(603c)를 일치시키는 것이 유리하다.
본 발명의 또다른 실시예에서, 도 6d에 도시된 플루우챠트에 도시된 바와 같이, 만일 타겟 파형이 도 4a의 플루우챠트의 단계(440)에서 최상의 파형과 일치하지 않는다면, 타겟 및 기준 파형의 제 1 도함수가 수득되며(단계 610), 단계(620)에서 도 6c에 도시된 세그먼트(601c,602c,603c)와 같은 세그먼트로 분할된다. 이후, 타겟 파형의 도함수의 각각의 세그먼트(601c,602c,603c)는 기준 파형의 도함수의 대응하는 세그먼트와 독립적으로 일치되는데, 이는 공지된 프로파일의 부분(즉, 도 6a의 부분(601a,602a,603a))과 연관된다. 따라서, 타겟 미세구조물의 프로파일은 매칭 세그먼트의 "빌딩 블록(building blacks)"을 조립(단계(660))함으로써 예상된다. 예상된 프로파일의 평가는 프로파일의 어떤 부분이 설계 표준으로부터 벗어났는지를 나타내며, 이러한 정보는 포커스 및 노출 문제와 같은 포토리소그래피 공정 문제를 밝혀내기 위해 당업자들에 의해 사용될 수 있다.
본 발명의 또다른 실시예에서, 타겟 파형과 최상의 파형(단계(440))을 비교하는 단계 및 타겟 파형과 기준 파형 중 하나를 일치시키는 단계(단계(450))는 도 6b에 도시된 바와 같은 타겟 및 기준 SEM 파형을 "곡선 맞춤(fit a curve)"하기 위한, 즉 각각의 파형 형태를 나타내는 "공식(formula)" 또는 수학적 함수를 얻기 위한 알고리즘을 적용하는 단계를 포함한다. 이후, 타겟 및 기준 파형을 나타내는 수학적 함수의 대응하는 중요 성분들은 어떤 기준 이미지가 타겟 이미지와 가장 근접하게 일치하는지를 결정하기 위해 비교된다. 예컨대, 타겟 및 기준 파형이 함수 y=F(x,a,b)로 나타나는 경우, x,a,b값이 타겟 파형의 x,a,b 값과 가장 근접하게 일치하는 기준 파형이 타겟 파형과 가장 근접하게 일치하는 기준 파형이다.
본 발명의 또다른 실시예에서, 타겟 파형과 최상의 파형을 비교하는 단계(단계(440)) 및 타겟 파형과 기준 파형 중 하나를 일치시키는 단계(단계(450))는 타겟 미세구조물 및 기준 미세구조물의 이미지를 발생시키기 위해 타겟 및 기준 파형을 사용하는 단계 및 이후 발생된 이미지를 비교하는 단계를 포함한다. 바꾸어 말하면, 도 6b에 도시된 SEM 파형은 도 6a에 도시된 이미지를 발생시키기 위해 전형적인 컴퓨터 그래픽 기술을 사용하여 조절된다. 발생된 이미지는 이후 경계 분석(boundary analysis) 및 그레이-스케일 분석(grey-scale analysis)과 같은 공지된 패턴 인식 기술에 의해 일치된다.
본 발명의 공정 제어 기술은 포토리소그래피 및 에칭 공정변수를 조절하기 위해 검사 공정 동안 수집된 데이터를 사용함으로써 제조수율을 현저하게 감소시키지 않으면서 로트 대 로트 임계치수 편차가 감소될 수 있다. 본 발명의 방법은 예비 제조 FEM을 형성함으로써 수득된 기준 미세구조물의 라이브러리의 파형과 SEM 파형을 비교함으로써 검사된 미세구조물 스텝퍼 세팅 및 최적의 에칭 처리법을 결정한다. 따라서, 본 발명은 제조 라인을 신설하고 변형시키는 동안 특히 유용하다.
본 발명은 여러 형태의 반도체 장치, 특히 약 0.18㎛ 이하의 설계 규칙을 갖는 고밀도 반도체 장치의 제조에 유용하다.
본 발명은 종래의 재료, 방법, 및 장치를 적용함으로써 수행될 수 있다. 따라서, 이러한 재료, 장치, 및 방법의 상세한 설명은 본 명세서에서는 생략한다. 전술한 바와 같이, 본 발명의 이해를 위해, 특별한 재료, 구조, 화학물, 및 공정 등과 같은 여러 특별한 설명이 기술되었다. 그렇지만, 본 발명은 이러한 상세한 설명에 제한되지 않고 수행될 수 있음을 이해해야 한다. 다른 예로, 잘 알려진 공정 구조는 본 발명이 불필요하게 불명료해지지 않도록 상세한 설명에 개시되지 않았다.
본 명세서에는 본 발명의 바람직한 실시예 및 여러 유용한 실시예만이 기술되어 있다. 그렇지만, 본 발명은 여러 다른 조합으로 사용될 수 있으며, 본 발명의 개념 내에서 변형 및 개조가 가능하다.
상기한 본 발명에 의하면, 포토리소그래피 및 에칭 공정변수를 조절하기 위해 검사 공정 동안 수집된 데이터를 사용함으로써 제조수율의 저하없이 로트 대 로트 임계치수 편차를 신속하고 정확하게 확인 및 보정할 수 있는 효과가 있다.

Claims (38)

  1. 반도체 웨이퍼 공정 제어 방법으로서,
    제 1 공정을 상기 웨이퍼 상에서 수행하는 단계와,
    상기 제 1 공정에 의해 형성된 웨이퍼상의 타겟 미세구조물의 타겟 파형 및 임계치수를 얻기 위해 이미지화하는 단계와,
    상기 타겟 파형에 기초하여 제 2 공정용 공정 변수를 결정하는 단계와, 그리고
    상기 제 2 공정 변수에 기초하여 상기 웨이퍼 상에서 상기 제 2 공정을 수행하는 단계를 포함하는 반도체 웨이퍼 공정 제어 방법.
  2. 제 1항에 있어서,
    상기 타겟 파형에 감응하는 제 1 공정용 공정 변수를 결정하는 단계, 및
    상기 제 1 공정 변수에 감응하여 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하는 단계를 더 포함하는 반도체 웨이퍼 공정 제어 방법.
  3. 제 2항에 있어서, 상기 제 2 공정 변수를 결정하는 단계는,
    다수의 기준 미세구조물을 기준 반도체 웨이퍼 상에 형성하는 단계, 및
    상기 제 2 공정을 수행하기 위한 제 2 공정 변수를 얻기 위해 상기 타겟 파형과 가장 근접하게 일치하는 기준 파형을 확인하는 단계를 포함하며,
    상기 각각의 기준 미세구조물은 상기 타겟 미세구조물의 임계치수와 타겟 파형을 비교가능한 임계치수 및 파형을 가지며, 상기 각각의 기준 미세구조물은 상이한 공지의 제 2 공정 변수와 각각 관련되는 반도체 웨이퍼 공정 제어 방법.
  4. 제 3항에 있어서,
    최상의 파형으로서 상기 기준 파형 중에 하나를 선택하는 단계와,
    상기 타겟 파형을 상기 최상의 파형과 비교하는 단계와, 그리고
    상기 타겟 파형이 미리결정된 임계치 이상으로 상기 최상의 파형을 이탈할 때 타겟 파형과 가장 근접하게 일치하는 기준 파형을 확인하기 위해 라이브러리 내의 다른 기준 파형과 상기 타겟 파형을 비교하는 단계를 더 포함하는 반도체 웨이퍼 공정 제어 방법.
  5. 제 3항에 있어서, 상기 각각의 기준 미세구조물은 상이한 공지의 공정 변수와도 관련되어 있으며, 상기 타겟 파형과 가장 근접하게 일치하는 기준 파형과 관련된 제 1 공정 변수에 감응하여 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하는 단계를 더 포함하는 반도체 웨이퍼 공정 제어 방법.
  6. 제 5항에 있어서, 상기 제 1 공정은 초기의 제 1 공정 변수를 사용하여 상기 웨이퍼에서 수행되며, 상기 타겟 파형이 미리결정된 임계치 이하로 최상의 파형으로부터 이탈할 때 상기 초기의 제 1 공정 변수를 이용하여 다른 웨이퍼 상에서 상기 제 1 공정을 수행하는 반도체 웨이퍼 공정 제어 방법.
  7. 제 3항에 있어서, SEM 파형으로서 상기 타겟 파형과 상기 기준 파형을 얻는 단계를 더 포함하는 반도체 웨이퍼 공정 제어 방법.
  8. 제 5항에 있어서, 상기 제 1 공정은 사진평판 공정이며, 상기 제 1 공정 변수는 스텝퍼 포커스 및 노출 세팅을 포함하며, 포커스-노출 매트릭스(FEM)으로서 기준 미세구조물을 형성하는 단계를 더 포함하는 반도체 웨이퍼 공정 제어 방법.
  9. 제 8항에 있어서, 상기 제 2 공정은 에칭 공정이며, 상기 제 2 공정 변수는 에칭 처리법을 포함하는 반도체 웨이퍼 공정 제어 방법.
  10. 제 9항에 있어서, 상기 에칭 처리법은 제 2 공정중 타겟 미세구조물 임계치수를 조절하기 위한 방법인 반도체 웨이퍼 공정 제어 방법.
  11. 제 3항에 있어서, 상기 각각의 기준 파형은 공지의 횡단면 프로파일을 갖는 반도체 웨이퍼 공정 제어 방법.
  12. 반도체 웨이퍼의 처리공정을 제어하기 위한 명령을 전달하기 위한 컴퓨터 판독가능한 매체로서,
    상기 명령은 실행시, 제 1 공정에 의해 형성된 웨이퍼 상에 타겟 미세구조물의 타겟 파형 및 임계치수를 수용하는 단계, 및 제 2 공정 변수에 기초하여 웨이퍼에 수행되는 제 2 공정용 공정 변수를 상기 타겟 파형에 기초하여 결정하는 단계를 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  13. 제 12항에 있어서, 상기 명령은 실행시, 상기 타겟 파형에 기초하여 제 1 공정용 공정 변수를 결정하는 단계를 하나 이상의 프로세서가 수행할 수 있도록 배열되며, 상기 제 1 공정 변수는 상기 제 1 공정 변수에 감응하여 상이한 반도체 웨이퍼 상에서 수행되는 컴퓨터 판독가능한 매체.
  14. 제 13항에 있어서, 상기 명령은 실행시, 다수의 기준 미세구조물을 기준 반도체 웨이퍼상에 수용하는 단계, 및 상기 제 2 공정을 수행하기 위한 상기 제 2 공정 변수를 얻기 위해 상기 타겟 파형에 가장 근접하게 일치하는 기준 파형을 확인하는 단계에 의해 상기 제 2 공정 변수를 결정하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  15. 제 14항에 있어서, 상기 명령은 실행시, 최상의 파형으로서 상기 기준 파형 중에 하나를 선택하는 단계, 상기 타겟 파형을 상기 최상의 파형과 비교하는 단계, 및 상기 타겟 파형이 미리결정된 임계치 이상으로 상기 최상의 파형을 이탈할 때 상기 타겟 파형과 가장 근접하게 일치하는 기준 파형을 확인하기 위해 라이브러리 내의 다른 기준 파형과 상기 타겟 파형을 비교하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  16. 제 14항에 있어서, 상기 각각의 기준 미세구조물은 상이한 공지의 제 1 공정 변수와도 관련되어 있으며,
    상기 명령은 실행시, 상기 제 1 공정 변수에 감응하여 상이한 반도체 웨이퍼 상에서 제 1 공정을 수행하기 위해 상기 타겟 파형과 가장 근접하게 일치하는 기준 파형과 관련된 제 1 공정 변수를 결정하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  17. 제 16항에 있어서, 상기 제 1 공정은 초기의 제 1 공정 변수를 이용하여 웨이퍼 상에서 수행되며,
    상기 명령은 실행시, 상기 타겟 파형이 미리결정된 임계치 이하로 최상의 파형으로부터 이탈할 때 다른 웨이퍼 상에서 상기 제 1 공정을 수행하도록 상기 초기의 제 1 공정 변수를 이용할 것인지를 결정하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  18. 제 14항에 있어서, 상기 명령은 실행시, 상기 하나 이상의 프로세서가 SEM 파형으로서의 타겟 파형 및 기준 파형을 수용할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  19. 제 17항에 있어서, 상기 제 1 공정은 사진 평판공정이며, 상기 제 1 공정 변수는 스텝퍼 포커스 및 노출 세팅을 포함하며, 상기 명령은 실행시, 포커스-노출 매트릭스(FEM)로서 상기 기준 파형을 수용하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  20. 제 19항에 있어서, 상기 제 2 공정은 에칭 공정이며, 상기 제 2 공정 변수는 에칭 처리법을 포함하는 컴퓨터 판독가능한 매체.
  21. 제 20항에 있어서, 상기 에칭 처리법은 상기 제 2 공정중 상기 타겟 미세구조물 임계치수를 조절하기 위한 방법인 컴퓨터 판독가능한 매체.
  22. 제 14항에 있어서, 상기 각각의 기준 파형은 공지의 횡단면 프로파일을 갖는 컴퓨터 판독가능한 매체.
  23. 반도체 웨이퍼 공정 제어 장치로서,
    상기 웨이퍼 상에 제 1 공정을 사용하여 형성되고 상기 타겟 미세구조물에 대응하는 타겟 파형을 형성하고 타겟 미세구조물의 임계치수를 얻기 위한 이미저와,
    상기 타겟 미세구조물의 임계치수 및 상기 타겟 파형을 저장하는 저장 매체와,
    상기 타겟 미세구조물의 임계치수 및 타겟 파형과 비교될 수 있는 임계치수 및 파형을 갖는 기준 반도체 웨이퍼 상의 다수의 기준 미세구조물에 대응하는 다수의 기준 파형으로서, 각각의 상기 기준 미세구조물은 상이한 공지의 제 2 공정 변수과 각각 연관되는 기준 파형과, 그리고
    상기 웨이퍼 상에서 제 2 공정을 수행하도록 상기 제 2 공정 변수를 얻기 위해 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형을 확인하도록 구성된 프로세서를 포함하는 반도체 웨이퍼 공정 제어 장치.
  24. 제 23항에 있어서, 상기 프로세서는 수득된 상기 제 2 공정 변수에 근거하여 상기 웨이퍼 상에서 상기 제 2 공정을 수행할 수 있도록 구성된 반도체 웨이퍼 공정 제어 장치.
  25. 제 24항에 있어서, 상기 제 2 공정은 에칭 공정이며, 상기 제 2 공정 변수는 상기 제 2 공정 동안 상기 타겟 미세구조물의 임계치수를 조절하기 위한 에칭 처리법을 포함하며,
    상기 프로세서는 상기 제 2 공정이 상기 타겟 미세구조물의 임계치수를 조절하도록 구성된 반도체 웨이퍼 공정 제어 장치.
  26. 제 23항에 있어서, 상기 프로세서는 최상의 파형으로서 상기 기준 파형 중 하나를 선택하고, 상기 최상의 파형과 상기 타겟 파형을 비교하고, 그리고 상기 타겟 파형이 미리결정된 임계치 이상으로 상기 최상의 파형으로부터 이탈될 때 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형을 확인하기 위해 상기 타겟 파형을 다른 기준 파형과 비교하도록 구성된 반도체 웨이퍼 공정 제어 장치.
  27. 제 25항에 있어서, 각각의 상기 기준 미세구조물은 상이한 공지의 제 1 공정 변수과 관련되며,
    상기 프로세서는 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하기 위해 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형과 관련된 상기 제 1 공정 변수를 수득하도록 구성된 반도체 웨이퍼 공정 제어 장치.
  28. 제 27항에 있어서, 상기 프로세서는 수득된 상기 제 1 공정 변수에 감응하여 상기 제 1 공정이 상기 다른 웨이퍼 상에서 수행되도록 구성된 반도체 웨이퍼 공정 제어 장치.
  29. 제 28항에 있어서, 상기 제 1 공정은 초기 제 1 공정 변수를 사용하여 상기 웨이퍼 상에서 수행되며,
    상기 프로세서는 상기 타겟 파형이 상기 미리결정된 임계치 이하로 상기 최상의 파형으로부터 이탈될 때 상기 제 1 공정이 상기 초기 제 1 공정 변수를 사용하여 상기 다른 웨이퍼 상에서 수행되도록 구성된 반도체 웨이퍼 공정 제어 장치.
  30. 제 28항에 있어서, 상기 제 1 공정은 포토리소그래피 공정이며, 상기 제 1 공정 변수는 스텝퍼 포커스 및 노출 세팅을 포함하는 반도체 웨이퍼 공정 제어 장치.
  31. 제 23항에 있어서, 상기 이미저는 주사 전자 현미경(SEM)인 반도체 웨이퍼 공정 제어 장치.
  32. 제 23항에 있어서, 상기 저장 매체는 디지탈 저장 장치인 반도체 웨이퍼 공정 제어 장치.
  33. 제 5항에 있어서, 상기 웨이퍼는 하나가 상기 웨이퍼 상의 선택된 위치에 놓여 있는 다수의 미세구조물을 갖추고 있으며,
    다수의 타겟 미세구조물의 타겟 파형 및 임계치수를 얻기 위해 상기 선택된 위치에 놓인 다수의 미세구조물 중 하나 이상의 미세구조물을 이미지화하는 단계와,
    미리결정된 명목 임계치수와 상기 타겟 미세구조물의 임계치수를 비교하는 단계와, 그리고
    상기 타겟 미세구조물 중 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때, 상기 선택된 위치에 놓인 상기 미세구조물의 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형과 관련된 상기 제 1 공정 변수에 감응하여 상기 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하는 단계를 더 포함하는 방법.
  34. 제 34항에 있어서,
    평균 임계치수를 얻기 위해 상기 타겟 미세구조물의 임계치수를 평균화하는 단계와, 그리고
    상기 타겟 미세구조물 중 어느 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때 상기 제 2 공정을 수행하기 위한 상기 제 2 공정 변수를 얻도록 상기 평균 임계치수와 가장 근접하게 일치하는 임계치수와 관련된 상기 기준 파형을 확인하는 단계를 더 포함하는 방법.
  35. 제 16항에 있어서, 상기 웨이퍼는 하나가 상기 위에퍼 상의 선택된 위치에 놓이는 다수의 미세구조물을 갖추고 있고,
    상기 명령은 실행시, 다수의 타겟 미세구조물의 임계치수 및 타겟 파형을 수용하는 단계, 미리결정된 임계치과 상기 타겟 미세구조물의 임계치수를 비교하는 단계, 및 상기 타겟 미세구조물 중 어느 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때, 상기 선택된 위치에 놓인 상기 미세구조물의 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형과 관련된 상기 제 1 공정변수에 감응하여 상기 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  36. 제 35항에 있어서, 상기 명령은 실행시, 평균 임계치수를 얻기 위해 상기 타겟 미세구조물의 임계치수를 평균화하는 단계, 및 상기 타겟 미세구조물 중 어느 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때 상기 제 2 공정을 수행하기 위한 상기 제 2 공정 변수를 얻도록 상기 평균 임계치수와 가장 근접하게 일치하는 임계치수와 관련된 상기 기준 파형을 확인하는 단계를 상기 하나 이상의 프로세서가 수행할 수 있도록 배열되는 컴퓨터 판독가능한 매체.
  37. 제 27항에 있어서, 상기 웨이퍼는 하나가 상기 웨이퍼 상의 선택된 위치에 놓인 다수의 미세구조물을 갖추고 있으며,
    상기 이미저는 상기 선택된 위치에 놓인 미세구조물을 포함하는 상기 다수의 미세구조물의 중 하나 이상의 미세구조물에 대응하는 타겟 파형을 형성하고 상기 미세구조물의 임계치수를 얻도록 구성되며,
    상기 프로세서는 미리결정된 명목 임계치수와 상기 타겟 미세구조물의 임계치수를 비교하고, 상기 타겟 미세구조물 중 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때, 상기 선택된 위치에 놓인 상기 미세구조물의 상기 타겟 파형과 가장 근접하게 일치하는 상기 기준 파형과 관련된 상기 제 1 공정 변수에 감응하여 상기 다른 반도체 웨이퍼 상에서 상기 제 1 공정을 수행하도록 구성되는 반도체 웨이퍼 공정 제어 장치.
  38. 제 37항에 있어서, 상기 프로세서는, 평균 임계치수를 얻기 위해 상기 타겟 미세구조물의 임계치수를 평균화하고, 상기 타겟 미세구조물 중 어느 하나의 임계치수가 미리결정된 값 이상으로 상기 명목 임계치수로부터 이탈될 때 상기 제 2 공정을 수행하기 위한 상기 제 2 공정 변수를 얻도록 상기 평균 임계치수와 가장 근접하게 일치하는 임계치수와 관련된 상기 기준 파형을 확인하도록 구성된 반도체 웨이퍼 공정 장치.
KR1020000036438A 1999-06-29 2000-06-29 반도체 장치 제조를 위한 집적식 임계치수 제어 KR100702741B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US34280599A 1999-06-29 1999-06-29
US9/342,805 1999-06-29
US09/342,805 1999-06-29

Publications (2)

Publication Number Publication Date
KR20010029863A true KR20010029863A (ko) 2001-04-16
KR100702741B1 KR100702741B1 (ko) 2007-04-03

Family

ID=23343348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000036438A KR100702741B1 (ko) 1999-06-29 2000-06-29 반도체 장치 제조를 위한 집적식 임계치수 제어

Country Status (4)

Country Link
US (3) US6388253B1 (ko)
EP (1) EP1065567A3 (ko)
JP (1) JP2001143982A (ko)
KR (1) KR100702741B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127431B1 (ko) * 2003-08-06 2012-03-23 어플라이드 머티어리얼즈 인코포레이티드 통합형 계측 툴을 이용한 챔버 안정성 모니터링
KR101124186B1 (ko) * 2002-11-12 2012-03-27 어플라이드 머티어리얼즈 인코포레이티드 유전체 에칭 효율 개선을 위해 통합된 계측을 이용하는방법 및 장치

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7069101B1 (en) * 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6650957B1 (en) * 2000-01-03 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of deposition process
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6694275B1 (en) * 2000-06-02 2004-02-17 Timbre Technologies, Inc. Profiler business model
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
DE10037243C2 (de) 2000-07-31 2002-06-20 Infineon Technologies Ag Regelsystem für photolithographische Prozesse
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
EP1184725A1 (en) * 2000-09-04 2002-03-06 Infineon Technologies SC300 GmbH & Co. KG Method for adjusting a lithographic tool
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6891610B2 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US7029484B2 (en) * 2001-01-04 2006-04-18 Biocurv Medical Instruments, Inc. Tongue cleaning device
US6632692B1 (en) * 2001-01-11 2003-10-14 Advanced Micro Devices, Inc. Automated method of controlling critical dimensions of features by controlling stepper exposure dose, and system for accomplishing same
US6569692B1 (en) 2001-02-02 2003-05-27 Advanced Micro Devices, Inc. Automated method of controlling photoresist develop time to control critical dimensions, and system for accomplishing same
US20020128735A1 (en) * 2001-03-08 2002-09-12 Hawkins Parris C.M. Dynamic and extensible task guide
US6901340B1 (en) * 2001-04-02 2005-05-31 Advanced Micro Devices, Inc. Method and apparatus for distinguishing between sources of process variation
US20020152046A1 (en) * 2001-04-13 2002-10-17 Velichko Sergey A. Concurrent control of semiconductor parametric testing
US6501534B1 (en) * 2001-04-30 2002-12-31 Advanced Micro Devices, Inc. Automated periodic focus and exposure calibration of a lithography stepper
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6956659B2 (en) 2001-05-22 2005-10-18 Nikon Precision Inc. Measurement of critical dimensions of etched features
US7337088B2 (en) * 2001-05-23 2008-02-26 Micron Technology, Inc. Intelligent measurement modular semiconductor parametric test system
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6537833B1 (en) * 2001-06-19 2003-03-25 Advanced Micro Devices, Inc. Method and apparatus for characterizing an interconnect structure profile using scatterometry measurements
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6440759B1 (en) * 2001-06-29 2002-08-27 Infineon Technologies Ag Method of measuring combined critical dimension and overlay in single step
US7479633B2 (en) * 2001-07-10 2009-01-20 International Business Machines Corporation Methodology for critical dimension metrology using stepper focus monitor information
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030074098A1 (en) * 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
JP3817464B2 (ja) * 2001-11-13 2006-09-06 株式会社日立ハイテクノロジーズ 微細パターンの3次元形状測定システム、及び3次元形状測定方法
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6708129B1 (en) * 2001-12-13 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for wafer-to-wafer control with partial measurement data
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6792328B2 (en) * 2002-03-29 2004-09-14 Timbre Technologies, Inc. Metrology diffraction signal adaptation for tool-to-tool matching
US6974653B2 (en) 2002-04-19 2005-12-13 Nikon Precision Inc. Methods for critical dimension and focus mapping using critical dimension test marks
US7162386B2 (en) * 2002-04-25 2007-01-09 Micron Technology, Inc. Dynamically adaptable semiconductor parametric testing
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6708574B2 (en) * 2002-05-24 2004-03-23 Agere Systems, Inc. Abnormal photoresist line/space profile detection through signal processing of metrology waveform
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
DE10250893B4 (de) * 2002-10-31 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Vorrichtung zum Bestimmen der Abmessung eines Strukturelements durch Variieren eines die Auflösung bestimmenden Parameters
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
WO2004046835A2 (en) 2002-11-15 2004-06-03 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7081369B2 (en) * 2003-02-28 2006-07-25 Intel Corporation Forming a semiconductor device feature using acquired parameters
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7010451B2 (en) * 2003-04-17 2006-03-07 Micron Technology, Inc. Dynamic creation and modification of wafer test maps during wafer testing
US6931617B2 (en) * 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7537939B2 (en) 2003-04-29 2009-05-26 Nxp B.V. System and method for characterizing lithography effects on a wafer
US6808942B1 (en) 2003-05-23 2004-10-26 Texas Instruments Incorporated Method for controlling a critical dimension (CD) in an etch process
US7135259B2 (en) * 2003-05-28 2006-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
JP2005038976A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp 最適エッチングパラメタ自動設定システムおよびエッチング出来ばえ評価システム
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP4671594B2 (ja) * 2003-10-08 2011-04-20 株式会社日立ハイテクノロジーズ データ収集管理方法およびそのシステム
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
WO2005069082A1 (en) 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
KR101056142B1 (ko) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
US7198964B1 (en) 2004-02-03 2007-04-03 Advanced Micro Devices, Inc. Method and apparatus for detecting faults using principal component analysis parameter groupings
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7065423B2 (en) * 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
US7150673B2 (en) * 2004-07-09 2006-12-19 Ebara Corporation Method for estimating polishing profile or polishing amount, polishing method and polishing apparatus
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7301645B2 (en) * 2004-08-31 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ critical dimension measurement
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US20060135049A1 (en) * 2004-12-16 2006-06-22 Petersen John G Millwork sanding sponge
KR100588914B1 (ko) 2004-12-22 2006-06-09 동부일렉트로닉스 주식회사 웨이퍼 상의 트랜지스터의 균일한 전기적 특성을 위한노광방법
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
JP2006228843A (ja) 2005-02-16 2006-08-31 Renesas Technology Corp 半導体デバイスのプロセス制御方法および製造方法
US7856138B2 (en) * 2005-02-24 2010-12-21 Applied Materials Israel, Ltd. System, method and computer software product for inspecting charged particle responsive resist
US7142940B2 (en) * 2005-03-14 2006-11-28 Umci Ltd. Method of processing semiconductor wafer
JP4262690B2 (ja) * 2005-03-16 2009-05-13 株式会社日立ハイテクノロジーズ 形状測定装置および形状測定方法
US7588946B2 (en) * 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7305320B2 (en) * 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
US7474420B2 (en) * 2006-03-30 2009-01-06 Timbre Technologies, Inc. In-die optical metrology
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (ko) * 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 다수의 필드와 정렬 마크를 갖는 기판을 동시에 패턴화하는방법
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US7875851B1 (en) * 2006-05-01 2011-01-25 Advanced Micro Devices, Inc. Advanced process control framework using two-dimensional image analysis
US7774082B2 (en) 2006-10-05 2010-08-10 Tokyo Electron Limited Substrate processing method and storage medium having program stored therein
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP4981410B2 (ja) * 2006-10-31 2012-07-18 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡、走査型電子顕微鏡を用いたパターンの複合検査方法、および走査型電子顕微鏡の制御装置
US7875198B2 (en) * 2006-11-06 2011-01-25 Macronix International Co., Ltd. Method of deriving etching correction values for patterns of photomask and method of fabricating photomask
WO2008077100A2 (en) 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
CN101785009B (zh) 2007-08-20 2012-10-10 恪纳腾公司 确定实际缺陷是潜在系统性缺陷还是潜在随机缺陷的计算机实现的方法
US7671273B2 (en) 2007-10-09 2010-03-02 International Business Machines Corporation Method and apparatus for facilitating signal transmission using differential transmission lines
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
TW201025473A (en) * 2008-12-30 2010-07-01 Princeton Technology Corp Product verification system
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8221635B2 (en) * 2009-03-03 2012-07-17 Raytheon Company Process for multiple platings and fine etch accuracy on the same printed wiring board
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8767179B2 (en) * 2009-12-15 2014-07-01 Micron Technology, Inc. Imaging methods in scanning photolithography and a scanning photolithography device used in printing an image of a reticle onto a photosensitive substrate
CN102194668B (zh) * 2010-03-15 2013-05-01 中芯国际集成电路制造(上海)有限公司 一种在半导体器件中形成开口结构的方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
CN102593041B (zh) * 2011-01-07 2015-07-15 上海华虹宏力半导体制造有限公司 一种刻蚀方法
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8810785B2 (en) * 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
TWI557822B (zh) * 2011-08-29 2016-11-11 聯華電子股份有限公司 檢測光罩的方法
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
CN103035478B (zh) * 2011-09-30 2015-05-06 中芯国际集成电路制造(上海)有限公司 晶圆后段制程控制方法
CN103107115B (zh) * 2011-11-09 2015-08-19 中芯国际集成电路制造(上海)有限公司 一种刻蚀控制方法
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US8892237B2 (en) * 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10598722B1 (en) * 2016-12-23 2020-03-24 Advanced Testing Technologies, Inc. Automated waveform analysis methods using a parallel automated development system
US9739827B1 (en) * 2016-12-23 2017-08-22 Advanced Testing Technologies, Inc. Automated waveform analysis using a parallel automated development system
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) * 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
IL107549A (en) * 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5607800A (en) * 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
KR20000036438A (ko) * 2000-03-14 2000-07-05 선지운 환경친화성 식물조직 배양용 배지
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6891610B2 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6707562B1 (en) * 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101124186B1 (ko) * 2002-11-12 2012-03-27 어플라이드 머티어리얼즈 인코포레이티드 유전체 에칭 효율 개선을 위해 통합된 계측을 이용하는방법 및 장치
KR101127431B1 (ko) * 2003-08-06 2012-03-23 어플라이드 머티어리얼즈 인코포레이티드 통합형 계측 툴을 이용한 챔버 안정성 모니터링

Also Published As

Publication number Publication date
US20030015699A1 (en) 2003-01-23
US6486492B1 (en) 2002-11-26
JP2001143982A (ja) 2001-05-25
US6388253B1 (en) 2002-05-14
EP1065567A3 (en) 2001-05-16
EP1065567A2 (en) 2001-01-03
KR100702741B1 (ko) 2007-04-03

Similar Documents

Publication Publication Date Title
KR100702741B1 (ko) 반도체 장치 제조를 위한 집적식 임계치수 제어
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US6924088B2 (en) Method and system for realtime CD microloading control
KR100804284B1 (ko) 산란 측정을 이용하여 피드백 및 피드-포워드 제어를 수행하기 위한 방법 및 그 장치와, 그리고 상기 방법을 수행하기 위한 명령어들이 코드화된 컴퓨터 판독가능 프로그램 저장 매체
JP4990548B2 (ja) 半導体装置の製造方法
US20060222975A1 (en) Integrated optical metrology and lithographic process track for dynamic critical dimension control
US7440881B2 (en) Adaptive correlation of pattern resist structures using optical metrology
KR20010021417A (ko) 라인폭 계측을 이용하여 패턴화된 전달 처리를 모니터하는방법
US11300887B2 (en) Method to change an etch parameter
EP1480085B1 (en) A method for controlling a critical dimension (CD) in an etch process
US20020156594A1 (en) Three dimensional reconstruction metrology
US6838010B2 (en) System and method for wafer-based controlled patterning of features with critical dimensions
US6421457B1 (en) Process inspection using full and segment waveform matching
TWI758592B (zh) 度量衡方法及相關聯裝置
US6979522B2 (en) Method for exposing at least one or at least two semiconductor wafers
US6235440B1 (en) Method to control gate CD
US7205543B2 (en) Auto focusing apparatus and method
KR20020089595A (ko) 반도체장치의 제조공정에서 이미지 보정 방법
KR20030095746A (ko) 반도체 소자의 미세 패턴 임계치수 측정방법
KR20020024928A (ko) 감광막을 이용한 패턴 형성 방법
JP2002299222A (ja) 露光装置の検査方法及び露光方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110225

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee