KR102436237B1 - 에칭 방법 및 에칭 장치 - Google Patents

에칭 방법 및 에칭 장치 Download PDF

Info

Publication number
KR102436237B1
KR102436237B1 KR1020160052950A KR20160052950A KR102436237B1 KR 102436237 B1 KR102436237 B1 KR 102436237B1 KR 1020160052950 A KR1020160052950 A KR 1020160052950A KR 20160052950 A KR20160052950 A KR 20160052950A KR 102436237 B1 KR102436237 B1 KR 102436237B1
Authority
KR
South Korea
Prior art keywords
gas
etching
flow rate
oxygen
high frequency
Prior art date
Application number
KR1020160052950A
Other languages
English (en)
Other versions
KR20160129769A (ko
Inventor
가쿠 시모다
호타카 마루야마
타카노리 사토
마사후미 우라카와
마사히로 오가사와라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2015140232A external-priority patent/JP6516603B2/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160129769A publication Critical patent/KR20160129769A/ko
Application granted granted Critical
Publication of KR102436237B1 publication Critical patent/KR102436237B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 형상을 양호하게 하는 것을 목적으로 한다. 기판 상에 형성된 실리콘막을 에칭하는 에칭 방법으로서, 취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소(O2) 가스를 포함하는 가스를 챔버 내로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 실리콘막을 에칭하는 복수의 공정을 가지고, 상기 복수의 공정에서 상기 취화 수소 가스의 유량을 단계적으로 감소시키고, 상기 산소 가스의 유량을 상기 취화 수소 가스의 감소에 따라 조정하는 에칭 방법이 제공된다.

Description

에칭 방법 및 에칭 장치{ETCHING METHOD AND ETCHING APPARATUS}
본 발명은 에칭 방법 및 에칭 장치에 관한 것이다.
취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소(O2) 가스를 공급하고, 이들 가스로부터 생성된 플라즈마에 의해 다결정 실리콘을 포함하는 피에칭층을 에칭하는 에칭 방법이 제안되고 있다(예를 들면, 특허 문헌 1을 참조).
일본특허공개공보 2013-258244호
그러나, 에칭에 의해 실리콘막에 홀을 형성하는 경우, 애스펙트비가 예를 들면 15 이상으로 높아지면, 에칭된 홀의 끝이 꼬이는 현상(이하, '트위스팅(Twisting)'이라고 함)이 발생하여, 에칭 형상이 나빠진다. 최근, 특히 디바이스의 미세화 및 고애스펙트비의 에칭에 대한 수요에 의해 트위스팅의 과제가 더욱 더 문제시되고 있다.
상기 과제에 대하여 일측면에서는, 본 발명은 에칭 형상을 양호하게 하는 것을 목적으로 한다.
상기 과제를 해결하기 위하여, 하나의 태양에 따르면, 기판 상에 형성된 실리콘막을 에칭하는 에칭 방법으로서, 취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소(O2) 가스를 포함하는 가스를 챔버 내로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 실리콘막을 에칭하는 복수의 공정을 가지고, 상기 복수의 공정에서 상기 취화 수소 가스의 유량을 단계적으로 감소시키고, 상기 산소 가스의 유량을 상기 취화 수소 가스의 감소에 따라 조정하는 에칭 방법이 제공된다.
하나의 측면에 따르면, 에칭 형상을 양호하게 할 수 있다.
도 1은 일실시 형태에 따른 에칭 장치의 종단면을 나타내는 도이다.
도 2는 이상적인 에칭에 대한 트위스팅을 설명하기 위한 도이다.
도 3은 일실시 형태와 비교예에 있어서의 에칭 시의 가스의 공급을 나타내는 타임 차트이다.
도 4는 일실시 형태와 비교예에 있어서의 애스펙트비와 트위스팅과의 관계를 나타내는 도이다.
도 5는 일실시 형태와 비교예에 있어서의 에칭 형상을 나타내는 도이다.
도 6은 일실시 형태에 따른 에칭 방법의 일례를 나타내는 도이다.
도 7은 일실시 형태의 변형예에 따른 LF의 보텀 CD값과 트위스팅값과의 관계의 일례를 나타내는 도이다.
도 8은 일실시 형태의 변형예에 따른 트위스팅값의 일례를 나타내는 도이다.
도 9는 일실시 형태의 변형예에 따른 에칭 방법의 효과의 일례를 나타내는 도이다.
이하, 본 발명을 실시하기 위한 형태에 대하여 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에서 실질적으로 동일한 구성에 대해서는, 동일한 부호를 부여함으로써 중복된 설명을 생략한다.
[에칭 장치의 전체 구성]
먼저, 본 발명의 일실시 형태에 따른 에칭 장치(1)의 일례에 대하여, 도 1을 참조하여 설명한다. 도 1은 본 실시 형태에 따른 에칭 장치(1)의 종단면의 일례를 나타낸다. 본 실시 형태에 따른 에칭 장치(1)는, 챔버(10) 내에 배치대(20)와 가스 샤워 헤드(25)를 대향 배치한 평행 평판형의 플라즈마 처리 장치(용량 결합형 플라즈마 처리 장치)이다. 배치대(20)는 반도체 웨이퍼 등의 피처리 기판(이하, 단순히 '웨이퍼(W)'라고 함)을 유지하는 기능을 가지고 또한 하부 전극으로서 기능한다. 가스 샤워 헤드(25)는 가스를 챔버(10) 내에 샤워 형상으로 공급하는 기능을 가지고 또한 상부 전극으로서 기능한다.
챔버(10)는 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지며, 원통 형상이다. 챔버(10)는 전기적으로 접지되어 있다. 배치대(20)는 챔버(10)의 저부에 설치되고, 웨이퍼(W)를 배치한다. 웨이퍼(W)는 에칭 대상인 기판의 일례이며, 웨이퍼(W)에는 폴리 실리콘막 상에 마스크가 형성되어 있다.
배치대(20)는 예를 들면 알루미늄(Al) 또는 티탄(Ti), 탄화 규소(SiC) 등으로 형성되어 있는 지지체(104)와, 배치대(20)의 상면을 형성하고 웨이퍼를 정전 흡착하기 위한 정전 척(106)이 마련된 구성을 하고 있다. 정전 척(106)은, 예를 들면 알루미나(Al2O3) 등의 유전체로 이루어지는 절연체(106b)의 사이에 척 전극(106a)을 개재한 구조로 되어 있다.
척 전극(106a)에는 직류 전압원(112)이 접속되고, 직류 전압원(112)으로부터 척 전극(106a)에 직류 전류가 공급된다. 이에 의해, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)의 표면에 흡착된다.
지지체(104)의 내부에는 냉매 유로(104a)가 형성되어 있다. 냉매 유로(104a)에는 냉매 입구 배관(104b) 및 냉매 출구 배관(104c)이 접속되어 있다. 칠러(107)로부터 공급된 예를 들면 냉각수 또는 브라인 등의 냉각 매체는 냉매 입구 배관(104b), 냉매 유로(104a) 및 냉매 출구 배관(104c)을 순환한다. 이에 의해, 배치대(20) 및 정전 척(106)은 냉각된다.
전열 가스 공급원(85)은 헬륨 가스(He) 또는 아르곤 가스(Ar) 등의 전열 가스를 가스 공급 라인(130)에 통과시켜 정전 척(106) 상의 웨이퍼(W)의 이면으로 공급한다. 이러한 구성에 의해, 정전 척(106)은 냉매 유로(104a)에 순환시키는 냉각 매체와, 웨이퍼(W)의 이면에 공급하는 전열 가스에 의해 온도 제어된다. 그 결과, 웨이퍼를 원하는 온도로 제어할 수 있다. 또한, 가열원을 사용함으로써 웨이퍼(W)를 가열하는 구성으로 해도 된다.
배치대(20)에는 2 주파 중첩 전력을 공급하는 전력 공급 장치(30)가 접속되어 있다. 전력 공급 장치(30)는 제 1 주파수의 플라즈마 여기용의 고주파 전력(HF(High Frequency))을 공급하는 제 1 고주파 전원(32)과, 제 1 주파수보다 낮은 제 2 주파수의 바이어스용의 고주파 전력(LF(Low Frequency))을 공급하는 제 2 고주파 전원(34)을 가진다. 제 1 고주파 전원(32)은 제 1 정합기(33)를 개재하여 배치대(20)에 전기적으로 접속된다. 제 2 고주파 전원(34)은 제 2 정합기(35)를 개재하여 배치대(20)에 전기적으로 접속된다. 제 1 고주파 전원(32)은 예를 들면 100 MHz의 플라즈마 여기용의 고주파 전력(HF)을 배치대(20)에 인가한다. 제 2 고주파 전원(34)은 예를 들면 13.56 MHz의 바이어스용의 고주파 전력(LF)을 배치대(20)에 인가한다. 또한 본 실시 형태에서는, 고주파 전력(HF)은 배치대(20)에 인가되지만, 가스 샤워 헤드(25)에 인가해도 된다.
제 1 정합기(33)는 제 1 고주파 전원(32)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 2 정합기(35)는 제 2 고주파 전원(34)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 1 정합기(33)는 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 1 고주파 전원(32)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다. 제 2 정합기(35)는 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 2 고주파 전원(34)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다.
가스 샤워 헤드(25)는 그 주연부를 절연하는 절연 부재를 개재하여 챔버(10)의 천장부의 개구를 폐색하도록 장착되어 있다. 가스 샤워 헤드(25)는 도 1에 나타내는 바와 같이 전기적으로 접지해도 된다. 또한, 가변 직류 전원을 접속하여 가스 샤워 헤드(25)에 원하는 직류(DC) 전압이 인가되도록 해도 된다.
가스 샤워 헤드(25)에는 가스를 도입하는 가스 도입구(45)가 형성되어 있다. 가스 샤워 헤드(25)의 내부에는 가스 도입구(45)로부터 분기된 센터측의 확산실(50a) 및 엣지측의 확산실(50b)이 마련되어 있다. 가스 공급원(15)으로부터 출력된 가스는 가스 도입구(45)를 거쳐 확산실(50a, 50b)로 공급되고, 각각의 확산실(50a, 50b)에서 확산되어 다수의 가스 공급 홀(55)로부터 배치대(20)를 향해 도입된다.
챔버(10)의 저면에는 배기구(60)가 형성되어 있고, 배기구(60)에 배기관을 개재하여 접속된 배기 장치(65)에 의해 챔버(10) 내가 배기된다. 이에 의해, 챔버(10) 내를 원하는 진공도로 유지할 수 있다. 챔버(10)의 측벽에는 게이트 밸브(G)가 마련되어 있다. 게이트 밸브(G)의 개폐에 의해 챔버(10)로부터 웨이퍼(W)의 반입 및 반출이 행해진다.
에칭 장치(1)에는 장치 전체의 동작을 제어하는 제어부(100)가 마련되어 있다. 제어부(100)는 CPU(Central Processing Unit)(105), ROM(Read Only Memory)(110) 및 RAM(Random Access Memory)(115)을 가지고 있다. CPU(105)는 이들 기억 영역에 저장된 각종 레시피에 따라, 후술되는 에칭 등의 원하는 처리를 실행한다. 레시피에는 프로세스 조건에 대한 장치의 제어 정보인 프로세스 시간, 압력(가스의 배기), 고주파 전력 또는 전압, 각종 가스 유량, 챔버 내 온도(상부 전극 온도, 챔버의 측벽 온도, 정전 척 온도 등), 칠러(107)의 온도 등이 기재되어 있다. 또한, 이들 프로그램 또는 처리 조건을 나타내는 레시피는, 하드 디스크 또는 반도체 메모리에 기억되어도 된다.
또한 레시피는, CD-ROM, DVD 등의 가반성의 컴퓨터에 의해 판독 가능한 기억 매체에 저장된 상태로 기억 영역의 정해진 위치에 세팅되도록 해도 된다.
에칭 처리 시에는, 게이트 밸브(G)의 개폐가 제어되고, 웨이퍼(W)가 챔버(10)로 반입되어, 배치대(20)에 배치된다. 직류 전압원(112)으로부터 척 전극(106a)에 직류 전류가 공급됨으로써, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)에 흡착되어 유지된다.
이어서, 에칭 가스, 플라즈마 여기용의 고주파 전력(HF) 및 바이어스용의 고주파 전력(LF)이 챔버(10) 내로 공급되어, 플라즈마가 생성된다. 생성된 플라즈마에 의해 웨이퍼(W)에 플라즈마 에칭 처리가 실시된다.
에칭 처리 후, 직류 전압원(112)으로부터 척 전극(106a)에 웨이퍼(W)의 흡착 시와는 양극/음극이 반대인 직류 전압(HV)을 인가하여 웨이퍼(W)의 전하를 제전하고, 웨이퍼(W)를 정전 척(106)으로부터 떼어낸다. 게이트 밸브(G)의 개폐가 제어되어, 웨이퍼(W)가 챔버(10)로부터 반출된다.
[에칭 방법]
본 발명의 일태양의 에칭 방법에 대하여 설명한다. 예를 들면, 도 2의 (a)에 나타내는 바와 같이, 실리콘 산화막(SiO2)을 마스크(11)로 하여 피에칭 대상막인 폴리(다결정) 실리콘막(12)을 에칭한다. 단, 피에칭 대상막은 폴리 실리콘막(12)에 한정되지 않고, 예를 들면 아몰퍼스 실리콘막, 단결정층이어도 된다. 피에칭 대상막은 실리콘 산화막 또는 실리콘 질화막(SiN)이어도 된다. 마스크(11)는 산화막이어도 되고, 질화막이어도 된다. 폴리 실리콘막(12)의 하지막(13)으로서는, 예를 들면 실리콘 산화막, 실리콘 질화막 등을 들 수 있다.
도 2의 (a)는 에칭 전의 기판 상에 형성된 막의 구성의 일례를 나타내고, 도 2의 (b)는 에칭 후에 폴리 실리콘막(12)에 형성된 홀의 에칭 형상의 단면의 일례를 나타낸다.
애스펙트비는 폴리 실리콘막(12)의 탑 CD(top CD)와 폴리 실리콘막(12)의 깊이(D)와의 비로서 정의된다. 예를 들면, 애스펙트비가 15 ~ 20 정도에서는, 도 2의 (b)와 같이 양호한 에칭 형상이 얻어지는 경우라도, 최근 요구되는 애스펙트비 25 ~ 30에서는, 양호한 에칭 형상이 얻어지지 않는 경우가 있다. 특히 30 이상에서는 현저하다. 그 결과, 도 2의 (c)에 나타내는 바와 같이, 에칭한 홀의 끝(홀의 저측)이 꼬이는(굴곡, 꺾이는) 현상인 트위스팅(Twisting)이 발생한다. 이하에, 비교예와 본 실시 형태의 프로세스 조건을 비교하면서, 트위스팅의 과제를 해결하기 위한 프로세스 조건과 이 프로세스 조건에 기초하는 본 발명의 일태양의 에칭 방법에 대하여 설명한다.
도 2의 (a)의 막 구성의 에칭에서는, 폴리 실리콘막(12)을 에칭하는 메인 에칭 및 하지막(13)을 에칭하는 오버 에칭이 행해진다. 에칭 가스에는 예를 들면 취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소 가스(O2)가 이용된다(제 1 프로세스 조건). 제 1 프로세스 조건의 이들 가스로부터 생성된 플라즈마에 의해 마스크(11)를 개재하여 폴리 실리콘막(12)이 에칭된다. 이어서, 제 1 프로세스 조건으로 하지막(13)을 에칭하는 오버 에칭을 행한다. 본 실시 형태에 따른 에칭 방법은, 예를 들면 3D NAND 플래시 메모리 등의 삼차원 적층 반도체 메모리의 제조에 있어서 적합하다.
또한 본 실시 형태에 따른 에칭 방법은, CF4 가스 및 O2 가스로부터 생성된 플라즈마에 의해, 마스크(11)를 개재하여 폴리 실리콘막(12) 상의 자연 산화막을 제거하는 공정을 10 초 정도 실행한 후, 계속하여 폴리 실리콘막(12)을 에칭한다.
[비교예에 따른 에칭 방법]
이하에, 비교예에 따른 에칭 방법에 대하여 설명한다. 비교예에서 폴리 실리콘막(12)을 에칭할 시의 프로세스 조건의 일례를 이하에 나타낸다.
· 압력 80 mT(10.7 Pa)
· 고주파 전력(HF) 400 W
· 고주파 전력(LF) 2350 W 펄스파(주파수 0.1 kHz, Duty비 30%)
· 가스 HBr / NF3 / O2
· 에칭 시간 90 초
· 배치대(20)의 온도 65℃
비교예에 따른 에칭에서는, 폴리 실리콘막(12)이 메인 에칭된 후, 하지막(13)이 예를 들면 30% 정도 오버 에칭된다. 비교예에서는, 도 3의 (a)에 나타내는 바와 같이 메인 에칭 및 오버 에칭에서 HBr 가스, NF3 가스 및 O2 가스는 모두 일정한 유량으로 공급된다.
상기 프로세스 조건에 의한 플라즈마 에칭에서는, 애스펙트비가 15 정도인 경우, 도 2의 (b)에 나타내는 바와 같이, 폴리 실리콘막(12)의 에칭 형상은 대략 수직으로 가공된다. 그런데, 애스펙트비가 예를 들면 20으로 높아지면, 도 2의 (c)에 나타내는 것과 같은 트위스팅이 발생하여, 에칭 형상이 나빠진다.
도 4의 (a)는 상기 프로세스 조건에 있어서의 비교예에 따른 에칭 결과의 일례를 나타낸다. 도 4의 (a)에 나타내는 바와 같이, 애스펙트비가 15 이하에서는 트위스팅은 문제가 되지 않는 정도이지만, 애스펙트비가 15를 초과하면 트위스팅이 발생하기 시작하여, 애스펙트비가 25 이상이 되면 트위스팅이 현저해진다. 특히 최근의 디바이스의 미세화에 수반하여, 애스펙트비가 25 이상인 에칭에 있어서의 트위스팅의 문제는 허용할 수 없는 정도가 되고 있다.
트위스팅의 원인 중 하나는, 이하의 반응식 (1)에서 나타나는 실리콘(Si)과 에칭 공정 중에 생성되는 반응 생성물인 SiBrxOy 또는 SiOFx 등이 과잉으로 홀의 측벽에 부착함으로써 이온의 방향성이 방해를 받아, 변화되는 것에 따른 것이라고 상정된다.
Si + HBr + O2 + NF3 → SiFxBry↑ + SiF4↑ + NH3↑ + SiBrxOy↓ +SiOFx↓ ··· (1)
반응식 (1)에 의하면, SiFxBry, SiF4, NH3는 휘발성의 물질로, 챔버(10) 밖으로 배기되지만, SiBrxOy, SiOFx는 퇴적성의 물질로, 홀의 측부 등에 부착한다.
상기 프로세스 조건에서는 배치대(20)의 온도가 65℃였다. 이에 대하여, 배치대(20)의 온도를 100℃의 고온으로 제어하고, 상기 프로세스 조건 중 다른 조건은 바꾸지 않고 메인 에칭 → 오버 에칭을 실행한 바, 홀의 벽부 등에 부착하는 퇴적물의 양은 적어지고, 홀의 에칭이 진행되어, 홀의 측부가 넓어지는 보잉(Bowing)이 발생하여, 양호한 에칭 형상이 얻어지지 않았다.
[본 실시 형태에 따른 에칭 방법]
따라서, 본 실시 형태에 따른 에칭 방법에서는, 상기 프로세스 조건 중 배치대(20)의 온도를 100℃로 제어함과 더불어, 도 3의 (b)에 나타내는 바와 같이, 에칭 공정 중에 가스의 유량을 변동시킨다. 구체적으로, NF3 가스의 유량을 일정하게 제어하면서, HBr 가스 및 O2 가스의 유량을 변동시킨다.
본 실시 형태의 에칭 방법에서는, 도 2의 (b)에 나타내는 바와 같이, 폴리 실리콘막(12)의 메인 에칭을 대략 삼등분한 제 1 ~ 제 3 단계와, 하지막(13)의 오버 에칭인 제 4 단계의 4 단계로 나누어 각 가스 유량이 제어된다. 가스의 유량 제어는 제어부(100)에 의해 행해진다.
구체적으로, 도 3의 (b)의 제 1 단계에서는, HBr 가스, NF3 가스 및 O2 가스의 유량은 초기값으로 설정된다. HBr 가스는 주로 에칭을 촉진하기 위한 가스로, 메인의 에칭 가스이다. NF3 가스는 주로 마스크(11)에 부착하는 퇴적물을 제거하기 위한 가스이다. O2 가스는 주로 마스크(11) 또는 폴리 실리콘막(12)의 홀의 벽부를 보호하기 위한 가스이다.
보잉은 HBr 가스의 유량이 증가하면 발생하기 쉽고, 또한 O2 가스에 대한 HBr 가스의 유량비가 높아지면 발생하기 쉽다. 따라서, 폴리 실리콘막(12)의 에칭에서 보잉을 억제하기 위하여, HBr 가스의 유량을 줄일 뿐 아니라, HBr 가스에 대한 O2 가스의 유량비를 높이는 것이 바람직하다.
구체적으로, 도 3의 (b)에 나타내는 바와 같이, 제 1 및 제 2 단계에서의 HBr 가스의 유량은, 제 3 및 제 4 단계에서의 HBr 가스의 유량보다 많아지도록 제어한다. 이에 의해, 제 1 및 제 2 단계에서 에칭을 촉진시킨다. 또한, HBr 가스의 유량은 제 2 단계~ 제 4 단계에서 단계적으로 감소하도록 제어한다. 이에 의해, 단계적으로 에칭을 억제하여, 홀에 형성되는 보잉을 억제한다. 제 1 및 제 2 단계에서의 HBr 가스의 유량은 동일해도 되고, 단계적으로 감소 및 증가하도록 해도 된다.
또한, 제 2 단계에서의 O2 가스의 유량은, 제 1 단계에서의 O2 가스의 유량보다 증가하도록 제어함으로써, HBr 가스에 대한 O2 가스의 유량비를 높여, 폴리 실리콘막(12)에 형성된 홀의 벽부를 보호하도록 한다.
또한, 제 3 및 제 4 단계에서의 O2 가스의 유량은 제 2 단계에서의 O2 가스의 유량보다 약간 적게 한다. 또한, 제 3 및 제 4 단계에서의 O2 가스의 유량은 제 2 단계에서의 O2 가스의 유량과 동일하게 해도 되고, 단계적으로 감소하도록 해도 되고, 증가하도록 해도 된다. 또한 여기서, 제 2 단계 ~ 제 4 단계에서 HBr 가스의 유량이 단계적으로 감소하고 있다. 이에 의해, 제 2 단계~ 제 4 단계에서 HBr 가스에 대한 O2 가스의 유량비는 단계적으로 높아진다. 이에 의해, 보잉을 보다 효과적으로 억제할 수 있다.
이와 같이 본 실시 형태에서는, O2 가스의 유량을 HBr의 유량에 따라 변동시킨다. 구체적으로, 보잉을 억제하기 위하여 HBr 가스에 대한 O2 가스의 유량비가 서서히 높아지도록 제어한다. 또한 도 3의 (b)에서는, O2 가스는 제 3 및 제 4 단계에서 동일한 유량으로 제어되고 있지만, 이에 한정되지 않는다. 예를 들면, 도 3의 (c)에 나타내는 바와 같이, 제 1 단계~ 제 4 단계까지 HBr 가스에 대한 O2 가스의 유량비를 단계적으로 높게 함으로써 트위스팅의 발생을 억제하면서, 보잉도 억제할 수 있다. 에칭 단계는 적어도 2 단계 이상으로 에칭하는 것이 바람직하며, 3 단계 이상이 보다 바람직하다. 이 HBr 가스와 O2 가스의 유량비의 제어는 배치대(20)의 온도 및 샘플의 구조에 따라 변화한다.
또한, NF3 가스의 유량은, 도 3의 (b)에 나타내는 바와 같이 전체 단계에서 일정하게 제어해도 된다. 또한, 이에 한정되지 않고, 예를 들면 제 1 및 제 2 단계에서 일정하게 제어하고, 제 3 및 제 4 단계에서 서서히 증가하도록 제어해도 된다. 또한, NF3 가스의 유량이 증가함에 따라 O2 가스의 유량이 증가하도록 제어해도 된다. 이에 의해, 마스크(11)에 부착하는 퇴적물을 제거하면서, 홀의 측벽을 보호하는 보호막의 형성을 촉진할 수 있다. 또한, NF3 가스 대신에 SF6(육불화 유황) 가스를 공급해도 된다.
본 실시 형태에 따른 에칭 결과의 일례를 도 4의 (b)에 나타낸다. 도 3의 (a)에 나타내는 바와 같이, 각 가스의 유량을 일정하게 제어하고, 또한 배치대(20)의 온도를 100℃로 제어한 비교예의 결과인 도 4의 (a)의 경우와 비교하여, 트위스팅의 발생이 억제되고 있는 것을 알 수 있다. 특히, 도 4의 (b)에서는, 애스펙트비가 25이어도 트위스팅의 발생이 방지되고 있다.
이상에 설명한 바와 같이, 본 실시 형태에 따른 에칭 방법에 의하면, 배치대(20)의 온도를 예를 들면 100℃의 고온으로 제어하고, 복수의 에칭 단계(제 1 ~ 제 4 단계)에서 에칭 가스의 유량을 변동시킨다. 즉, 챔버(10) 내로 공급하는 가스 중 HBr 가스를 단계적으로 감소시킨다. 또한 본 에칭 방법에서는, 에칭이 진행됨에 따라, HBr 가스에 대한 O2 가스의 유량비가 높아지도록 O2 가스의 유량이 제어된다. 또한, NF3 가스의 유량은 전체 단계에서 일정하게 제어되거나, O2 가스의 유량의 증가에 수반하여 증가시킨다. 이에 의해, 에칭에 있어서의 트위스팅의 발생(도 2의 (c) 참조)과 보잉의 발생(도 5의 (a) 참조)을 억제하여, 도 5의 (b)에 나타내는 바와 같이 폴리 실리콘막(12)의 홀의 에칭 형상을 대략 수직으로 형성할 수 있다.
본 실시 형태에 따른 에칭 방법의 흐름을 도 6을 참조하여 간단히 설명한다. 본 처리가 개시되면, 제어부(100)는 CF4 가스 및 O2 가스를 챔버(10) 내로 공급하고, CF4 가스 및 O2 가스로부터 생성된 플라즈마에 의해 기판 상의 마스크(11)의 자연 산화막을 제거한다(단계(S10)).
이어서, 제어부(100)는 HBr 가스, NF3 가스 및 O2 가스를 챔버(10) 내로 공급하고, HBr 가스, NF3 가스 및 O2 가스로부터 생성된 플라즈마에 의해 폴리 실리콘막(12)을 에칭한다(단계(S12)). 단, HBr 가스, NF3 가스 및 O2 가스에 불활성 가스 등의 다른 가스를 추가해도 된다.
이어서, 제어부(100)는 에칭의 제 1 단계가 종료되었는지를 판정한다(단계(S14)). 제어부(100)는 제 1 단계가 종료되었다고 판정한 경우, 제 2 ~ 제 4 단계에서 HBr 가스의 유량을 단계적으로 감소시킨다(단계(S16)). 이어서, 제어부(100)는 제 2 ~ 제 4 단계에서 HBr 가스에 대한 O2 가스의 유량을 단계적으로 높게 하고(단계(S18)), 본 처리를 종료한다. 이에 의해, 폴리 실리콘막(12)에 형성되는 홀의 에칭 형상을 양호하게 할 수 있다.
[변형예]
이어서, 상기 실시 형태의 변형예에 따른 에칭 방법에 대하여 설명한다. 본 변형예에서는, 트위스팅을 개선하기 위하여 바이어스용의 고주파 전력(LF)의 제어 영역을 적정화한다.
구체적으로, 예를 들면, 종래의 바이어스용의 고주파 전력(LF)의 제어 영역의 상한값은 1500 W 미만이었다. 이에 대하여, 본 변형예에서는, 제어부(100)는 바이어스용의 고주파 전력(LF)을 종래보다 높은 4000 W ~ 10000 W의 범위로 제어한다. 예를 들면, 도 7에는 본 실시 형태의 변형예에 따른 에칭 방법과 트위스팅 상태의 일례를 나타낸다. 본 변형예의 에칭 방법에서 사용되는 프로세스 조건은 이하이다.
· 압력 30 mT(4.00 Pa) ~ 90 mT(12.0 Pa)
· 고주파 전력(HF) 300 ~ 700 W
· 고주파 전력(LF) 3000 W, 4500 W, 7000 W(펄스파(주파수 0.1 kHz, Duty비 20%))
· 가스 HBr / NF3 / O2
· 에칭 시간 90 초
· 배치대(20)의 온도 65℃ ~ 100℃
또한, 바이어스용의 고주파 전력(LF)의 펄스파의 주파수는 0.1 kHz ~ 50 kHz의 범위여도 된다. 또한, Duty비는 5% ~ 30%의 범위여도 된다.
도 7은 바이어스용의 고주파 전력(LF)의 펄스파를 3000 W, 4500 W, 7000 W의 각 파워로 인가한 경우의 결과를 나타낸다. 도 7의 횡축은 보텀 CD이다. 도 8에 나타내는 바와 같이, 보텀 CD는 폴리 실리콘막(12)에 형성된 홀의 저부의 직경이다. 도 7의 횡축에 나타내는 라지(Large)에 비해 미들은 12%, 스몰(Small)은 25% 작다.
도 7의 종축은 트위스팅값이다. 트위스팅값은, 도 8에 일례를 나타내는 홀의 보텀의 형상(풋프린트)으로부터 홀 간의 거리의 불균일을 편차(3σ)에 의해 나타낸 것이다. 도 8의 예에서는, 바이어스용의 고주파 전력(LF)이 낮은 경우(Low Power)는, 그것보다 바이어스용의 고주파 전력(LF)이 높은 경우(High Power)와 비교하여 트위스팅값이 높아져 있다.
도 7의 결과에 따르면, 3000 W의 바이어스용의 고주파 전력(LF)의 펄스파를 인가한 경우, 보텀 CD가 'Small'에 가까워질수록, 트위스팅값은 나빠진다. 이는, 보텀 CD가 작아질수록 플라즈마 중의 이온이 좁은 홀 내를 이동할 시, 도 9의 (a)의 (1)에 나타내는 바와 같이 홀의 저부까지 도달하기 어려워져, 홀의 저부에 도달하기 전에 만곡하여, 트위스팅이 발생하기 때문이다.
이에 대하여, 4500 W 및 7000 W의 바이어스용의 고주파 전력(LF)의 펄스파를 인가한 경우, 3000 W의 바이어스용의 고주파 전력(LF)의 펄스파를 인가한 경우와 비교하여, 보텀 CD가 'Small'이 되어도 트위스팅값은 악화되기 어렵게 되어 있다. 즉, 이온이, 홀의 저부 부근에서 만곡함으로써 발생하는 트위스팅이 개선되어 있다. 이는, 바이어스용의 고주파 전력(LF)의 값을 크게 함으로써, 도 9의 (b)에 나타내는 바와 같이, 이온 에너지가 높아져, 이온의 직진성을 높이고, 홀의 저부 부근에 도달하는 이온 수를 증가시킬 수 있었기 때문이다.
또한 바이어스용의 고주파 전력(LF)은 펄스파이며, 바이어스용의 고주파 전력(LF)이 인가되는 온의 기간과, 인가되지 않은 오프의 기간이 반복된다. 이에 의해, 바이어스용의 고주파 전력(LF)이 온인 기간 동안 에칭을 촉진하고, 바이어스용의 고주파 전력(LF)이 오프인 기간 동안, 홀 내의 가스를 홀 밖으로 배기할 수 있다. 이에 의해, 도 9의 (a)의 (2)에 나타내는 마스크막(11)의 폭이 에칭 시의 반응 생성물로 좁아지는 마스크 클로깅을 방지할 수 있다. 또한, 도 9의 (a)의 (3)에 나타내는 홀의 측면에 반응 생성물이 부착하여 홀 내의 일부가 좁아지는 네킹을 방지할 수 있다. 이에 의해, 이온이 보다 홀의 저부에 도착하기 쉬워진다.
이상에 설명한 바와 같이, 본 변형예에 따른 에칭 방법에 의하면, 4000 W 이상의 바이어스용의 고주파 전력(LF)의 펄스파를 인가함으로써, 플라즈마 중의 이온 에너지를 높여 이온을 홀의 저부에 도달하기 쉽게 한다. 이에 의해, 트위스팅을 개선하고, 에칭 형상을 양호하게 하여, 홀의 에칭을 촉진시킬 수 있다. 그 결과, 애스펙트비가 20 ~ 25, 바람직하게는 25 이상의 홀 또는 홈에 양호한 에칭을 실시할 수 있다.
또한 본 변형예에 따른 에칭 방법은, 도 3의 (b)에 나타내는 바와 같이, 상기 실시 형태의 HBr 가스, NF3 가스, O2 가스의 제어를 행하면서, 바이어스용의 고주파 전력(LF)의 제어를 행해도 된다. 혹은, 도 3의 (a)에 나타내는 바와 같이, 상기 실시 형태의 HBr 가스, NF3 가스, O2 가스는 일정하게 제어하면서, 바이어스용의 고주파 전력(LF)의 제어를 행해도 된다.
이상, 에칭 방법 및 에칭 장치를 상기 실시 형태에 의해 설명했지만, 본 발명에 따른 에칭 방법 및 에칭 장치는 상기 실시 형태에 한정되지 않고, 본 발명의 범위 내에서 각종 변형 및 개량이 가능하다. 상기 복수의 실시 형태에 기재된 사항은, 모순되지 않는 범위에서 조합할 수 있다.
예를 들면, 기판의 온도는 100℃ 이상인 것이 바람직하며, 100℃ ~ 200℃의 범위가 더 바람직하다. 기판의 온도는 배치대(20)의 온도(표면 온도) 또는 정전 척(106)의 온도여도 된다.
또한, 본 발명에 따른 에칭 방법을 사용하는 에칭 장치는 용량 결합형 플라즈마(CCP : Capacitively Coupled Plasma) 장치뿐 아니라, 그 외의 에칭 장치에 적용 가능하다. 그 외의 에칭 장치로서는, 유도 결합형 플라즈마(ICP : Inductively Coupled Plasma), 래디얼 라인 슬롯 안테나를 이용한 플라즈마 처리 장치, 헬리콘파 여기형 플라즈마(HWP : Helicon Wave Plasma) 장치, 전자 사이클로트론 공명 플라즈마(ECR : Electron Cyclotron Resonance Plasma) 장치 등이어도 된다.
또한, 본 발명에 따른 에칭 장치에 의해 처리되는 기판은, 웨이퍼에 한정되지 않고, 예를 들면 플랫 패널 디스플레이(Flat Panel Display)용의 대형 기판, EL 소자 또는 태양 전지용의 기판이어도 된다.
1 : 에칭 장치
10 : 챔버
11 : 마스크
12 : 폴리 실리콘막
13 : 하지막
15 : 가스 공급원
20 : 배치대(하부 전극)
25 : 가스 샤워 헤드(상부 전극)
30 : 전력 공급 장치
100 : 제어부
106 : 정전 척

Claims (12)

  1. 기판 상에 형성된 실리콘막을 에칭하는 에칭 방법으로서,
    취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소(O2) 가스를 포함하는 가스를 챔버 내로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 실리콘막의 제 1 에칭 공정을 수행하는 제 1 공정과,
    상기 취화 수소 가스, 상기 삼불화 질소 가스 및 상기 산소 가스를 포함하는 가스를 상기 챔버 내로 연속적으로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 하지막의 제 2 에칭 공정을 수행하는 제 2 공정을 가지고,
    상기 제 1 에칭 공정 및 상기 제 2 에칭 공정에서 상기 취화 수소 가스의 유량을 단계적으로 감소시키고,
    상기 취화 수소 가스에 대한 상기 산소 가스의 유량비가 단계적으로 높아지도록, 상기 산소 가스의 유량을 상기 취화 수소 가스의 감소에 따라 조정하는
    에칭 방법.
  2. 제 1 항에 있어서,
    상기 취화 수소 가스의 유량을, 복수의 상기 에칭 공정 중 마지막 공정을 포함하는 에칭 공정에서 단계적으로 감소시키는
    에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 산소 가스의 유량을 단계적으로 높게 하는
    에칭 방법.
  4. 삭제
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 삼불화 질소 가스의 유량을 일정하게 하거나 또는 증가시키고,
    상기 삼불화 질소 가스의 유량을 증가시킨 경우, 상기 산소 가스의 유량을 상기 삼불화 질소 가스의 증가에 따라 증가시키는
    에칭 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 기판의 온도를 100℃ ~ 200℃로 조정하는
    에칭 방법.
  7. 제어부를 가지고, 기판 상에 형성된 실리콘막을 에칭하는 에칭 장치로서,
    상기 제어부는,
    취화 수소(HBr) 가스, 삼불화 질소(NF3) 가스 및 산소(O2) 가스를 포함하는 가스를 챔버 내로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 실리콘막의 제 1 에칭 공정을 수행하는 제 1 공정과,
    상기 취화 수소 가스, 상기 삼불화 질소 가스 및 상기 산소 가스를 포함하는 가스를 상기 챔버 내로 연속적으로 공급하고, 공급된 가스로부터 생성된 플라즈마에 의해 하지막의 제 2 에칭 공정을 수행하는 제 2 공정과,
    상기 제 1 에칭 공정 및 상기 제 2 에칭 공정에서 상기 취화 수소 가스의 유량을 단계적으로 감소시키는 공정과,
    상기 취화 수소 가스에 대한 상기 산소 가스의 유량비가 단계적으로 높아지도록, 상기 산소 가스의 유량을 상기 취화 수소 가스의 감소에 따라 조정하는 공정
    을 실행하도록 구성된, 에칭 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    복수의 상기 에칭 공정에서 4000 W 이상의 바이어스용의 고주파 전력의 펄스파를 인가하는
    에칭 방법.
  9. 제 8 항에 있어서,
    상기 바이어스용의 고주파 전력의 펄스파의 주파수는 0.1 kHz ~ 50 kHz이며, Duty비는 5% ~ 30%인
    에칭 방법.
  10. 제 7 항에 있어서,
    상기 제어부는 4000 W 이상의 바이어스용의 고주파 전력의 펄스파를 인가하는, 에칭 장치.
  11. 제 1 항에 있어서,
    상기 산소 가스의 유량을 조정하는 것은, 상기 취화 수소 가스의 유량이 단계적으로 감소할 때 상기 산소 가스의 유량을 단계적으로 증가시키는 것을 포함하는, 에칭 방법.
  12. 제 1 항에 있어서,
    상기 하지막은 적어도 하나의 산화 실리콘막 또는 질화 실리콘막을 포함하는, 에칭 방법.
KR1020160052950A 2015-04-30 2016-04-29 에칭 방법 및 에칭 장치 KR102436237B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2015093509 2015-04-30
JPJP-P-2015-093509 2015-04-30
JP2015140232A JP6516603B2 (ja) 2015-04-30 2015-07-14 エッチング方法及びエッチング装置
JPJP-P-2015-140232 2015-07-14

Publications (2)

Publication Number Publication Date
KR20160129769A KR20160129769A (ko) 2016-11-09
KR102436237B1 true KR102436237B1 (ko) 2022-08-25

Family

ID=57205569

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160052950A KR102436237B1 (ko) 2015-04-30 2016-04-29 에칭 방법 및 에칭 장치

Country Status (2)

Country Link
US (1) US9865471B2 (ko)
KR (1) KR102436237B1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6449674B2 (ja) * 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102356741B1 (ko) 2017-05-31 2022-01-28 삼성전자주식회사 절연층들을 갖는 반도체 소자 및 그 제조 방법
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102281826B1 (ko) 2019-07-08 2021-07-23 세메스 주식회사 기판 처리 장치 및 방법
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022096326A (ja) * 2020-12-17 2022-06-29 東京エレクトロン株式会社 基板処理方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19940120A1 (de) 1999-08-24 2001-03-01 Mosel Vitelic Inc Verfahren zur Bildung einer flaschenförmigen Einkerbung
JP2004304029A (ja) * 2003-03-31 2004-10-28 Tokyo Electron Ltd プラズマ処理方法
JP2010153702A (ja) 2008-12-26 2010-07-08 Tokyo Electron Ltd 基板処理方法
US20100248488A1 (en) 2009-03-26 2010-09-30 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2013258244A (ja) 2012-06-12 2013-12-26 Tokyo Electron Ltd エッチング方法及びプラズマ処理装置
US20140235062A1 (en) 2013-02-18 2014-08-21 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP2015012249A (ja) * 2013-07-02 2015-01-19 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW297919B (ko) * 1995-03-06 1997-02-11 Motorola Inc
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP5305973B2 (ja) * 2009-02-20 2013-10-02 ラピスセミコンダクタ株式会社 トレンチ形成方法
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
JP5823160B2 (ja) * 2011-05-11 2015-11-25 東京エレクトロン株式会社 堆積物除去方法
JP2014120661A (ja) * 2012-12-18 2014-06-30 Tokyo Electron Ltd ダミーゲートを形成する方法
JP6255187B2 (ja) * 2013-08-20 2017-12-27 東京エレクトロン株式会社 シリコン酸化膜をエッチングする方法
JP6173889B2 (ja) * 2013-11-28 2017-08-02 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラム、加工制御システム、シミュレータ、プロセス設計方法およびマスク設計方法
JP6449674B2 (ja) * 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19940120A1 (de) 1999-08-24 2001-03-01 Mosel Vitelic Inc Verfahren zur Bildung einer flaschenförmigen Einkerbung
JP2004304029A (ja) * 2003-03-31 2004-10-28 Tokyo Electron Ltd プラズマ処理方法
JP2010153702A (ja) 2008-12-26 2010-07-08 Tokyo Electron Ltd 基板処理方法
US20100248488A1 (en) 2009-03-26 2010-09-30 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2013258244A (ja) 2012-06-12 2013-12-26 Tokyo Electron Ltd エッチング方法及びプラズマ処理装置
US20140235062A1 (en) 2013-02-18 2014-08-21 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP2015012249A (ja) * 2013-07-02 2015-01-19 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置

Also Published As

Publication number Publication date
US9865471B2 (en) 2018-01-09
KR20160129769A (ko) 2016-11-09
US20160322230A1 (en) 2016-11-03

Similar Documents

Publication Publication Date Title
KR102436237B1 (ko) 에칭 방법 및 에칭 장치
KR102035890B1 (ko) 에칭 처리 방법
JP6663457B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR102584336B1 (ko) 에칭 처리 방법
KR102033979B1 (ko) 에칭 처리 방법 및 에칭 처리 장치
CN100521105C (zh) 等离子体蚀刻方法
JP6516603B2 (ja) エッチング方法及びエッチング装置
TW201705428A (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
JP6180824B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US10854470B2 (en) Plasma etching method
KR102304163B1 (ko) 에칭 방법
US20040048487A1 (en) Method and apparatus for etching Si
US20090065479A1 (en) Dry etching method of high-k film
CN112185812A (zh) 蚀刻处理方法及基板处理装置
US11996294B2 (en) Cryogenic atomic layer etch with noble gases
JP5041696B2 (ja) ドライエッチング方法
US20220051899A1 (en) Etching method and etching apparatus
TWI602243B (zh) Etching method
US20230238226A1 (en) Substrate treatment apparatus and semiconductor device manufacturing method using the same
KR20080088295A (ko) 플라즈마 에칭 방법,플라즈마 처리장치, 제어프로그램 및컴퓨터 판독가능한 기억매체
JPH09129600A (ja) 半導体ウエハのエッチング方法および半導体ウエハのエッチング装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant