KR102400945B1 - 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법 - Google Patents

퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법 Download PDF

Info

Publication number
KR102400945B1
KR102400945B1 KR1020207026405A KR20207026405A KR102400945B1 KR 102400945 B1 KR102400945 B1 KR 102400945B1 KR 1020207026405 A KR1020207026405 A KR 1020207026405A KR 20207026405 A KR20207026405 A KR 20207026405A KR 102400945 B1 KR102400945 B1 KR 102400945B1
Authority
KR
South Korea
Prior art keywords
delete delete
phps
free
catalyst
sih
Prior art date
Application number
KR1020207026405A
Other languages
English (en)
Other versions
KR20200120714A (ko
Inventor
안토니오 산체즈
젠나다이 이토브
매니쉬 칸델왈
콜 리터
펭 장
진-마크 지라드
지웬 완
글렌 쿠첸베이저
데이비드 오르반
션 케리간
레노 페사레시
매튜 다미엔 스테펜스
양 왕
길라움 후손
그리고리 니키포로브
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레??드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레??드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레??드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority to KR1020227015930A priority Critical patent/KR102414008B1/ko
Publication of KR20200120714A publication Critical patent/KR20200120714A/ko
Application granted granted Critical
Publication of KR102400945B1 publication Critical patent/KR102400945B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/068Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with silicon
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/584Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
    • C04B35/589Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride obtained from Si-containing polymer precursors or organosilicon monomers
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62218Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic films, e.g. by using temporary supports
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/46Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with organic materials
    • C04B41/49Compounds having one or more carbon-to-metal or carbon-to-silicon linkages ; Organo-clay compounds; Organo-silicates, i.e. ortho- or polysilicic acid esters ; Organo-phosphorus compounds; Organo-inorganic complexes
    • C04B41/4905Compounds having one or more carbon-to-metal or carbon-to-silicon linkages ; Organo-clay compounds; Organo-silicates, i.e. ortho- or polysilicic acid esters ; Organo-phosphorus compounds; Organo-inorganic complexes containing silicon
    • C04B41/495Compounds having one or more carbon-to-metal or carbon-to-silicon linkages ; Organo-clay compounds; Organo-silicates, i.e. ortho- or polysilicic acid esters ; Organo-phosphorus compounds; Organo-inorganic complexes containing silicon applied to the substrate as oligomers or polymers
    • C04B41/4955Polyorganosilanes, i.e. polymers with a Si-Si-Si- chain
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F4/00Polymerisation catalysts
    • C08F4/42Metals; Metal hydrides; Metallo-organic compounds; Use thereof as catalyst precursors
    • C08F4/72Metals; Metal hydrides; Metallo-organic compounds; Use thereof as catalyst precursors selected from metals not provided for in group C08F4/44
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/50Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/16Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1295Process of deposition of the inorganic material with after-treatment of the deposited inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Structural Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Silicon Polymers (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)
  • Catalysts (AREA)

Abstract

Si-함유 막 형성 조성물은, 촉매 및/또는 폴리실란, 및 N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔(상기 퍼하이드로폴리실라잔은 대략 332 달톤 내지 대략 100,000 달톤 범위의 분자량을 갖고 화학식 [-N(SiH3)x(SiH2-)y](여기서, x+y=2일 때 x=0, 1, 또는 2, 및 y=0, 1, 또는 2; 및 x+y=3일 때 x=0, 1 또는 2, 및 y=1, 2, 또는 3)를 갖는 N-H 부재 반복 단위를 포함함)을 포함한다. 또한, 합성 방법 및 이를 사용하기 위한 응용 분야가 개시된다.

Description

퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법
Si-함유 막 형성 조성물은, 촉매 및/또는 폴리실란, 및 N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔(상기 퍼하이드로폴리실라잔은 대략 332 달톤 내지 대략 100,000 달톤 범위의 분자량을 갖고 화학식 [-N(SiH3)x(SiH2-)y](여기서, x+y=2일 때 x=0, 1, 또는 2, 및 y=0, 1, 또는 2; 및 x+y=3일 때 x=0, 1 또는 2, 및 y=1, 2, 또는 3)를 갖는 N-H 부재 반복 단위를 포함함)을 포함한다. 또한, 합성 방법 및 이를 사용하기 위한 응용 분야가 개시된다.
퍼하이드로폴리실라잔(PHPS)을 실리콘 산화물 및 실리콘 질화물 막으로 변환시키는 것에 관한 문헌이 많다.
통상적인 PHPS 합성은, H3Si-N(-)-SiH3 단위를 포함한 사슬을 형성하기 위해 실란의 가암모니아 분해(ammonolysis)를 포함한다. 가암모니아 분해 방법은 하기와 같이, NH3과 할로실란, 바람직하게, 디할로실란의 반응을 포함한다:
n H2SiX2 + 2n NH3 → (-SiH2-NH-)n + n NH4Cl
아민, 보란, 및 유기 금속을 포함한 다양한 촉매 계열이, 또한 분자 전구체로부터 PHPS 폴리머를 합성하고 가교 결합에 영향을 미치기 위해 사용되었다. 예를 들어, 1) Scantlin et al. Chemical Communications, 1971, p. 1246; 2) Okamura의 US 2016/0379817; 3) Clark의 US4746480 A; 4) Nakahara의 US5905130A를 참조하기 바란다.
PHPS로부터 생성된 산화물 막 또는 질화물 막의 수축은, 최종 경화된 막에 응력을 유발하기 때문에 일반적으로 반도체 응용 분야에 유해하다. 예를 들어, Bae et al., Decreasing the Curing Temperature of Spin-On Dielectrics by Using Additives, Advances in Patterning Materials and Processes XXXI, Proc. Of SPIE Vol. 9051 (2014)을 참고하기 바란다. 이 응력은 공극, 핀홀, 및 크랙을 유발할 수 있다. [동일 문헌]
Gunthner 등은 디부틸 에테르 중 20% PHP 용액의 질량 변화(무게 손실)가 최대 700℃의 열분해 온도에서 일어남을 보고하였다. Journal of the European Ceramic Society, 32 (2012) pp. 1883-1889, 1885 페이지. PHPS는 SiH2Cl2의 가암모니아 분해에 의해 합성되었다. [동일 문헌 1884 페이지] 막 수축은 N2 및 공기 하에서 1000℃의 온도까지 계속되었다(도 6). [동일 문헌 1888 페이지] 최종 막은 공기에서 약 55%, N2에서 약 70% 수축되었다. [동일 문헌] Gunthner 등은 공기에서의 수축 감소는 산소의 결합 때문이라 여긴다. [동일 문헌 1887 페이지]
Schwab 등은, 디클로로실란과 트리클로로실란의 가암모니아 분해에 의해 형성된 PHPS가, 750℃의 온도로 건조 N2 하에서 열분해되는 경우에 밀도가 대략 2.3배 증가하고 질량이 20% 감소하는 것으로 개시한다. Ceramics International 24 (1998) pp. 411-414, 412 페이지.
Shinde 등은 스핀-온 PHPS가 기존 CVD 공정에 대해 흥미로운 대안이 될 수 있다고 보고했다. 그러나, (-SiH2-NH-)x를 기반으로 한 PHPS 스핀-온 폴리머는, 이들 막 두께가 30nm 미만인 경우에 35%, 그리고 VUV 노출 하에서 25% 수축한다. 더욱이, 이들의 SIMS 분석은 PHPS 막이 SiN 막으로 완전히 변환되지 않았음을 보여주었고, 그 이유는 UV 경화 후에도 여전히 많은 양의 H 원자가 있었기 때문이다. 이들 H 원자를 제거한 후에 더 높은 수축을 기대하는 것이 합리적이다. Journal of Photopolymer Science and Technology, Vol. 23, No. 2 (2010) pp. 225-230.
Park 등이 출원한 미국 특허 공개 번호 제2013/0017662호는, 화학식 SiaNbOcHd(여기서, 1.96<a<2.68, 1.78<b<3.21, 0≤c<0.19 및 4<d<10)을 갖는 화합물을 포함한 갭 충진용 필러를 개시한다. 요약. 상기 충진제는, 수소화된 폴리실라잔 또는 수소화된 폴리실록산을 피리딘 중 트리실릴아민과 반응시킴으로써 합성된다. [동일 문헌 0064-0065 문단] 상기 출원은 막 수축을 감소시키기 위해 약 0.7 내지 약 0.95 사이의 N:Si 몰 비율을 갖는 화합물을 목표로 한다. [동일 문헌 0051 문단]
Okamura 등이 출원한 미국 특허 출원 공개 번호 제2016/0379817호는, 실리콘 산화물 관련 막을 최소 결함으로 형성하는, 특정 퍼하이드로폴리실라잔과 상기 퍼하이드로폴리실라잔을 포함한 경화 조성물을 개시한다. 이렇게 하기 위해, Okamura 등은 PHPS를 추가 처리하여 특정 퍼하이드로폴리실라잔을 제조한다. 예를 들어, 실시예 1 내지 4를 참조하기 바란다.
Shinde 등의, 2010, Journal of Photopolymer Science and Technology, Vol. 23, P. 225 문헌은 스핀-온 PHPS가 종래의 CVD 공정에 대해, 흥미있는 대안일 수 있음을 보고했다. 그러나, 스핀-온 PHPS 막의 수축은 실온에서 UV 조사를 이용해 경화한 후에도 여전히 25 내지 35%였다. 더욱이, 이들의 SIMS 분석은 PHPS 막이 SiN 막으로 완전히 변환되지 않았음을 보여주었고, 그 이유는 UV 경화 후에도 여전히 많은 양의 H 원자가 있었기 때문이다. 이들 H 원자를 제거한 후에 더 높은 수축을 기대하는 것이 합리적이다.
촉매를 포함한 여러 계열의 첨가제가, 기존 PHPS 제형과 혼합되어 코팅 제형을 형성하기 위해 문헌에서 사용되었다. 촉매는 PHPS의 산화 온도를 이상적인 실온까지 낮출 수 있고, 이때 가스 배리어 막, 자가 세정 코팅, 반사 방지 코팅, 세라믹 섬유 응용을 위해 이것을 실리콘 산화물로 변환시킨다. 예를 들어, 1) Mitsubishi의 JP2016159561; 2) Morlier et al. Thin Solid Films 524:62-66; 3) Brand의 US 20070196672A1; 4) Rode의 US8563129 B2; 5) Joo의 US20160308184 A1를 참조하기 바란다.
클라리언트 사는, Si-H 결합을 갖는 폴리실라잔, 희석 용매, 및 촉매(상기 촉매는 N- 헤테로고리 화합물, 유기산, 무기산, 금속 카르복실레이트, 아세틸아세토네이트 착물, 미세 금속 입자, 과산화물, 금속 염화물, 유기 금속 화합물 및 이들의 혼합물로 이루어진 군으로부터 선택됨)를 포함한 코팅 용액을 특허 청구하였다. 미국 특허 출원 번호 제2005/0279255A호. 상기 폴리실라잔은 N-H 기를 포함한다. [동일 문헌 0026 문단]
다우코닝 사는, Si-H 또는 N-H 결합과 반응할 수 있는 적어도 2개의 붕소 작용기를 갖는 실라잔 가교제와 폴리실라잔을 혼합하여, Si-H 또는 N-H 결합을 갖는 폴리실라잔 폴리머를 가교 결합하는 방법을 설명했다. 미국 특허 제5364920호). 고온에서 경화 후에 얻은 재료의 강성이 증가하여 폴리머의 가교 결합이 더 좋아짐을 표시하면서도, 경화 중 질량 손실 또는 수축에 대한 표시는 없다. 또한, 촉매제를 제형에 첨가하면 가스가 발생하는데, 이는 휘발성 실란의 방출로 설명될 수 있다. 이 효과는 폴리머를 제조하는 동안에 문제가 되지 않지만, 막 수축을 제한하는 것이 주요 목표인 경우에 경화 단계 동안 유해할 것으로 예상된다.
Aoki 등의, Mat. Res. Soc. Symp. Proc. 1999, p. 41 문헌은, 주변 기압에서 PHPS가 저-유전율 HSiON 막으로 산화하는 것을 촉진하기 위해, 촉매로서 알루미늄 에틸아세토아세테이트를 사용한 것을 보고했다. Al 촉매는 PHPS에서 N-H 결합의 산화를 선택적으로 촉매한 다음 Si-OH 기 및 NH3를 형성할 수 있다고 가정했다. Si-OH 기는 그런 다음 Si-O-Si 가교를 형성하기 위해 축합한다. 그러나, 수축 데이터는 보고되지 않았다. 막의 유전 상수가 낮다는 사실은, 낮은 막 밀도 및/또는 막에 Si-H 결합 및 N-H의 양이 많이 남아 있음을 나타낸다. 이러한 막은 일반적으로 묽은 HF 용액에서 매우 빠르게 에칭되며 첨단 반도체 소자의 사전 금속 유전체 또는 얕은 트렌치 분리와 같은 갭필 스핀 온 응용에 적합하지 않고, 여기서 습식 에칭 속도가 열 산화물(즉, 높은 온도, 일반적으로 > 800℃에서 O2/H2O 증기 하에서 Si의 열 산화에 의해 형성된 SiO2) 막에 최대한 근접한 고품질 실리콘 산화물이 필요하다.
Bae 등의 Proc. of SPIE, 2014, p. 90511 문헌은 저온(400 내지 600℃)에서 실리콘 산화물 막으로의 PHPS 산화를 촉진하기 위한 첨가제로써 청구된 아민을 사용하는 것을 보고했다. 그러나, 상기 아민은 경화 공정 동안 PHPS와 상호 작용하고 반응하여 폴리머에 화학적으로 결합하여 C로 오염된 막을 생성하는 것으로 예상된다. 반도체 응용 분야의 경우, C 오염이 없는 것이 대단히 바람직하다(일반적으로 < 5 원자%, 그리고 더 바람직하게는 < 1 원자%).
미국 특허 출원 공개 번호 제2010/0184268 A1호는 다음을 포함한 반도체 소자의 제조 방법을 청구한다: 기판 상에 폴리실라잔과 폴리실란을 포함한 산화물 막을 형성하기 위한 코팅 조성물을 코팅하는 단계: 및 산화 분위기에서 열처리하여 홈 내부에 상기 산화물 막을 형성하는 단계. 폴리실라잔 (SiH2NH)n(n-양의 정수) 및 폴리실란 SinR2n+2과 SinR2n(n ≥ 3, R-수소)의 화학식은 구현예에서만 언급된다.
a) 폴리실라잔[H2Si-NH]n, b) 폴리실록산, c) 화학식 (R1R2Si)n의 폴리실란(여기서, n은 1 초과, R1, R2 - 유기기임), 및 d) 유기 용제를 포함하는 실리콘 기반 코팅 조성물이 US 특허 제9,567,488 B2호에 청구된다. 경화된 코팅은 0.1 μm 내지 3 μm의 두께를 가지며, 우수한 몰드 이형 특성을 위해 약 4H 내지 약 9H의 경도를 갖는다.
PHPS 막 수축을 추가로 줄이기 위한 새로운 조성물, 제형 및 방법을 개발할 필요성이 남아 있고, 첨가제 화학과 수축 사이의 이해를 확립하는 것이 마찬가지로 중요하다.
표기법 및 명명법
특정 약어, 기호, 및 용어는 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다.
본원에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 바와 같이, 용어 "대략" 또는 "약"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 바와 같이, 용어 "포함하는"은 포괄적이거나 개방형이고, 추가적이고 인용되지 않은 물질 또는 방법 단계를 배제하지 않는다. 용어 "필수적으로 포함하는"은 청구범위를 특정된 물질 또는 단계, 및 청구된 발명의 기본적이고 신규한 특징에 실질적으로 영향을 주지 않는 추가적인 물질 또는 단계로 제한한다. 용어 "...로 이루어진"은 청구범위에서 특정되지 않은 임의의 추가적인 물질 또는 방법 단계를 배제한다.
본원에서 사용되는 바와 같이, “Si가 풍부한” PHPS는 Si:N 비율이 2.5:1 내지 1.5:1 범위를 갖는 PHPS를 의미한다. Si:N 비율은 PHPS 제품의 굴절률을 측정함으로 보통 계산될 수 있고, 공식 [N]/[Si] = [4(na-Si:H - n)]/[3(n+na-Si:H - 2na-Si3N4)] = 4(3.3-n)/3(n-0.5)을 사용하여 계산되고, 여기서 na-Si:H=3.3과 na-Si3N4=1.9는 a-Si:H와 준 화학량론적 a-Si3N4의 굴절률이다. 예를 들어, Section 3.1 of Longjuan et al., Journal of Semiconductors, Vol. 30, No. 9 (Sept 2009)을 참조하기 바란다.
본원에서 사용되는 바와 같이, 약어 "RT"는 실온 또는 약 18℃ 내지 약 25℃ 범위의 온도를 의미한다.
본원에서 사용되는 바와 같이, "N-H 부재"는 물질에서 통상적으로 모든 N 원자의 1% 미만이 N-H 결합을 가지며 N 원자의 대략 99% 내지 대략 100%가 3개의 실리콘 원자에 결합된 것을 의미한다. 당업자는, 공지된 농도에 대해 피크/높이 면적을 측정하고 이로부터 보정 곡선을 도출함으로써, 샘플에 존재하는 N-H 결합의 몰 퍼센트를 정량적으로 결정하도록 FTIR 및/또는 1HNMR이 이용될 수 있음을 인지할 것이다.
본원에서 사용되는 바와 같이, "C-부재"는 N-H 부재 반복 단위가 Si-C 결합 또는 N-C 결합을 갖지 않음을 의미한다. 당업자는, 공지된 농도에 대해 피크/높이 면적을 측정하고 이로부터 보정 곡선을 도출함으로써, 샘플에 존재하는 Si-C 결합의 몰 퍼센트를 정량적으로 결정하도록 FTIR 및/또는 29Si-NMR이 이용될 수 있음을 인지할 것이다.
본원에서 사용되는 바와 같이, 약어 Mn은 수치 평균 분자량, 또는 샘플 내 모든 폴리머 분자의 총 중량을 샘플 내 모든 폴리머 분자의 총 갯수로 나눈 값 (즉, Mn=ΣNiMi/ΣNi, 여기서 Ni 는 질량 Mi의 분자 갯수임)을 나타내고, 약어 Mw는 질량 평균 분자량 또는 분자 각 유형의 총 질량을 곱한 분자 각 유형의 무게 분율의 총합(즉, Mw=Σ [(NiMi/ΣNiMi)*NiMi]을 나타내고, 용어 “다분산도 지수” 또는 PDI는 Mw:Mn의 비율을 나타내고, 용어 “휘발성 PHPS” 는 107 내지 450 범위의 Mn을 갖는 분자 복합체를 의미하고, 용어 “올리고머”는 통상 450 내지 20,000 범위의 Mn을 갖는 액체 분자 복합체를 의미하고, 용어 "폴리머"는 통상 10,000 내지 2,000,000 범위의 Mn을 갖는 고체 분자 복합체를 의미한다.
본원에서 사용되는 바와 같이, "촉매"는 반응에서 전체 표준 깁스 에너지 변화를 변경하지 않고 반응 속도를 증가시키는 물질을 의미하고(출처: IUPAC. Compendium of Chemical Terminology, Version 2.3.3, 2014-02-24), “탈실릴화 결합(DSC) 촉매”는 새로운 결합을 생성하기 위해 SiH4를 제거하는 촉매를 의미한다. 일반적으로 탈실릴화 결합 촉매는 두 개의 =N-SiH3 기 사이에서 =N-SiH2-N=의 가교 결합의 형성과 SiH4의 해리를 용이하게 한다. “탈수소 결합(DHC) 촉매”는 Si-H와 H-E 기(E는 N, O 또는 Si임) 사이의 반응을 촉진하여 Si-E 결합을 생성하고 H2를 해리하는, 촉매를 의미한다. 일부 촉매는 두 반응을 모두 촉진할 수 있지만 다른 촉매는 하나의 반응에 특정적이다.
본원에서 사용되는 바와 같이, 폴리실란은 적어도 하나의 Si-Si 결합을 갖는 화합물 또는 화합물의 혼합물을 의미한다. 퍼-하이드리도 폴리실란은 적어도 하나의 Si-Si 결합을 가지며, 실리콘 원자에 연결된 모든 비-Si 원자는 수소이다. 퍼하이드리도 폴리실란은 선형 또는 분지형 화합물의 경우 일반 화학식 SinH2n+2을, 그리고 m개의 고리를 갖는 화합물의 경우 SinH2n+2-2m 화학식을 갖는다. 예를 들어, 시클로헥사실란은 화학식 Si6H12을 갖는다.
본원에서 사용되는 바와 같이, "임계 치수"는 트렌치/갭/비아의 개시부에서 단부까지의 거리 또는 종횡비의 폭을 의미한다.
본원에서 사용되는 바와 같이, 용어 "독립적으로"는 R 기를 설명하는 문맥에서 사용될 때 대상 R 기가 동일하거나 상이한 하첨자 또는 상첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 종에 대해 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, x가 2 또는 3인 화학식 MR1 x (NR2R3)(4-x)에서, 2개 또는 3개의 R1기는 서로 또는 R2 또는 R3와 동일할 수 있지만 그럴 필요는 없다. 또한, 특별히 다르게 명시하지 않는 한, 상이한 화학식에서 사용되는 경우 R 기의 값은 서로 독립적인 것으로 이해해야 한다.
본원에서 사용되는 바와 같이, 용어 "히드로카빌 기"는 탄소 및 수소를 함유하는 작용기를 의미하고, 용어 "알킬 기"는 탄소 및 수소 원자만을 배타적으로 함유하는 포화 작용기를 의미한다. 히드로카빌 기는 포화되거나 포화되지 않을 수 있다. 두 용어 모두 선형, 분지형, 또는 환형 기를 의미한다. 선형 알킬 기의 예는 메틸 기, 에틸 기, 프로필 기, 부틸 기, 등을 포함하지만, 이로 제한되지 않는다. 분지형 알킬 기의 예는 t-부틸을 포함하지만, 이로 제한되지 않는다. 환형 알킬 기의 예는 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 제한 없이 포함한다.
본원에서 사용되는 바와 같이, 약어 "Me"는 메틸 기를 지칭하며; 약어 "Et"는 에틸 기를 지칭하며; 약어 "Pr"은 프로필 기를 지칭하며; 약어 "nPr"은 "노르말" 또는 선형 프로필 기를 지칭하며; 약어 "iPr"은 이소프로필 기를 지칭하며; 약어 "Bu"는 부틸 기를 지칭하며; 약어 "nBu"는 "노르말" 또는 선형 부틸 기를 지칭하며; 약어 "tBu"는 1,1-디메틸에틸로도 공지된 3차-부틸 기를 지칭하며; 약어 "sBu"는 1-메틸프로필로도 공지된 2차-부틸 기를 지칭하며; 약어 "iBu"는 2-메틸프로필로도 공지된 이소-부틸 기를 지칭하며; 용어 "아밀"은 아밀 또는 펜틸 기(즉, C5 알킬 기)를 지칭하며; 용어 "t아밀"은 1,1-디메틸프로필로도 공지된 3차-아밀 기를 지칭한다.
본원에서 사용되는 바와 같이, 약어 “Cp”는 시클로펜타디에닐 기를 지칭하며; 약어 “Cp*”는 펜타메틸시클로펜타디에닐 기를 지칭하며; 약어 “TMS”는 트리메틸실릴(Me3Si-)를 지칭하며; 약어 “TMSA”는 비스(트리메틸실릴)아민[-N(SiMe3)2]을 지칭한다.
본원에서 사용되는 바와 같이, 약어 "NR,R' R”-amd" 또는 NR R”-amd는 R이 R’와 동일할 때, 아미디네이트 리간드[R-N-C(R”)=N-R’]를 지칭하며, 여기서, R, R’ 및 R”는 알킬 기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu로 정의되고; 약어 "NR,R'-fmd" 또는 NR-fmd는 R이 R’와 동일할 때, 포르미디네이트 리간드[R-N-C(H)=N-R’]를 지칭하며, 여기서, R 및 R’는 알킬 기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu로 정의되고; 약어 "NR,R', NR”, R’’’-gnd" 또는 NR, NR”-gnd는 R이 R’와 동일하고 R”가 R’’’와 동일할 때, 구아니디네이트 리간드[R-N-C(NR”R’’’)=NR’]를 지칭하며, 여기서, R, R’, R” 및 R’’’는 알킬 기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu로 정의된다. 본원에서 리간드 주쇄의 C와 N 사이에 이중 결합을 갖는 것으로 기술되었지만, 당업자는 아미디네이트, 포르미디네이트 및 구아니디네이트 리간드가 고정된 이중 결합을 함유하지 않는다는 것을 인지할 것이다. 그 대신, 하나의 전자가 N-C-N 사슬 사이에서 비편재화된다.
Figure 112020096914263-pct00001
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 이들 약어는 원소를 의미할 수 있음을 이해해야 한다(예를 들어, Mn은 망간을 의미하고, Si는 규소를 의미하고, C는 탄소를 의미한다). 또한, 3족은 주기율표의 3족(즉, Sc, Y, La, 또는 Ac)을 의미한다. 마찬가지로, 4족은 주기율표의 4족(즉, Ti, Zr, 또는 Hf)을 의미하고, 5족은 주기율표의 5족(즉, V, Nb, 또는 Ta)을 의미한다.
본원에 언급된 임의의 그리고 모든 범위는 용어 "포괄적으로"의 사용 여부와 상관없이 그의 종점을 포함한다(즉, x = 1 내지 4, 또는 1부터 4까지의 x 범위는 x = 1, x = 4 및 x = 그 사이의 임의의 수를 포함함).
실리콘 산화물 또는 실리콘 질화물과 같이, 증착된 막 또는 층은 적절한 화학량론(즉, SiO2)의 참조 없이 명세서 및 청구범위 전체에 걸쳐 열거될 수 있음에 유의해야 한다. 이들 막은 일반적으로 0 원자% 내지 15 원자%의 수소를 함유할 수도 있다. 그러나, 통상적으로 측정되지 않기 때문에, 달리 명시되지 않는 한, 주어진 임의의 막 조성은 H 함량을 무시한다.
기판은, 그 위에 막이 증착되는 주요 고체 물질로서 이해된다. 막은, 그 자체가 기판 상에 있는 층의 스택 상에 증착될 수 있는 것으로 이해된다. 기판은 통상적으로, 실리콘, 유리, 석영, 사파이어, GaN, AsGa, Ge의 웨이퍼이지만, 이로 제한되지 않는다. 기판은 통상적으로, 금속, 유리, 유기 물질, 예컨대 폴리카보네이트, PET, ABS, PP, HDPE, PMMA, 등의 시트일 수 있다. 기판은 유사한 물질, 예컨대 입자의 3차원(3D) 물체일 수 있다. 실리콘 웨이퍼 상에서, 기판 위의 층은 통상적으로 Ge, SiGe, 실리콘 산화물, 실리콘 질화물, 금속(예컨대, Cu, Co, Al, W, Ru, Ta, Ti, Ni), 금속 실리사이드 및 합금, 금속 질화물, 예컨대 TaN, TiN, VN, NbN, HfN, VN; 탄소 도핑된 실리카 막(조밀하거나 다공성), 실리콘 탄질화물, 비정질 탄소, 붕소 질화물, 붕소 탄질화물, 유기 물질, 예컨대 스핀-온-카본, 폴리이미드, 포토레지스트 및 반사-방지층; 금속 산화물, 예컨대 Ti, Hf, Zr, Ta, Nb, V, Mo, W, Al, 및 란타나이드의 산화물일 수 있다. 기판은 홀 또는 트랜치와 같은 토포그래피를 가질 수 있고, 통상적으로 5 nm 내지 100 ㎛, 및 대개 10 nm 내지 1 ㎛ 범위의 개구, 및 1:1000 이하, 더욱 일반적으로, 1:1 내지 1:100 범위의 종횡비를 갖는다.
본 발명의 본질 및 목적에 대한 추가적인 이해를 위해, 첨부 도면과 함께 다음의 상세한 설명이 참조될 것이다.
도 1은 PHPS 조성물에 첨가된 트리실릴아민 반응물의 갯수에 대한 Si:N 비율의 그래프이다.
도 2는 Si-함유 막 형성 조성물의 제조, 실리콘 기판의 제조, 및 스핀-코팅 공정의 단계에 대해 예시적인 공정을 나타내는 흐름도이다.
도 3은 부분적으로 수소화된 실리콘 표면 상에 증착된 실리콘 산화물에 대한 반응 공정의 개략도이다.
도 4는 수소화되지 않은 실리콘 표면 상에 증착된 실리콘 산화물에 대한 반응 공정의 개략도이다.
도 5는 부분적으로 수소화된 실리콘 표면 상에 증착된 실리콘 질화물에 대한 반응 공정의 개략도이다.
도 6은 수소화되지 않은 실리콘 표면 상에 증착된 실리콘 질화물에 대한 반응 공정의 개략도이다.
도 7은, 톨루엔에 희석된 사전 실시예 1의 N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔 오일의 GC 스펙트럼이다.
도 8은, 휘발 성분이 제거된 후, 사전 실시예 1의 N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔 오일의 FTIR 스펙트럼이다.
도 9는 실시예 1의 4개 실리콘 산화물 막의 비교 푸리에 변형 적외선(FTIR) 스펙트럼이다.
도 10은 실시예 2의 4개 실리콘 산화물 막의 비교 푸리에 변형 적외선(FTIR) 스펙트럼이다.
도 11은 실시예 3의 4개 실리콘 산화물 막의 비교 푸리에 변형 적외선(FTIR) 스펙트럼이다.
도 12는 실시예 7의 조성물의 비교 FTIR 스펙트럼이다.
도 13은 실시예 9의 실리콘 질화물 막의 비교 FTIR 스펙트럼이다.
Si-함유 막 형성 조성물이 개시된다. Si-함유 막 형성 조성물은 N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔(PHPS)(상기 퍼하이드로폴리실라잔은 대략 332 달톤 내지 대략 100,000 달톤 범위의 분자량을 갖고 화학식 [-N(SiH3)x(SiH2-)y](여기서, x+y=2일 때 x=0, 1, 또는 2, 및 y=0, 1, 또는 2; 및 x+y=3일 때 x=0, 1 또는 2, 및 y=1, 2, 또는 3)를 갖는 N-H 부재 반복 단위를 포함함)과 결합된 폴리실란 및/또는 용해된 촉매를 포함한다. Si-함유 막 형성 조성물은, 또한 일반적으로 상기 조성물의 다른 성분에 대해 화학적으로 불활성인 하나 이상의 용매를 포함한다.
Si-함유 막 형성 조성물은, 용매 내에 약 0.5% wt/wt 내지 약 20% w/w의 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS를 포함하고, 바람직하게는 약 1% wt/wt 내지 약 10% wt/wt이다.
예시적인 용매는 탄화수소, 예컨대 펜탄, 헥산, 헵탄, 벤젠, 톨루엔, 크실렌, 메시틸렌, 기타 알칸, 또는 알칸 혼합물을 포함한다. 다른 적절한 용매는 할로탄화수소, 예컨대 디클로로메탄 또는 클로로포름; 에테르, 예컨대 테트라하이드로푸란(THF), 또는 3차-부틸에테르, 및 더욱 일반적으로 비양성자성 용매, 예컨대 아세토니트릴, 벤젠, 디메틸포름아미드, 헥사메틸포스포라미드, 디메틸 술폭시드, 또는 이들의 조합을 포함한다. 3차 아민은 2차 용매로도 사용될 수 있다. 용매는 일반적으로 30℃ 내지 200℃, 보다 바람직하게는 70℃ 내지 150℃로 구성된 비등점을 가져야 한다. 고밀도 막을 생성하기 위해, 용매는 일반적으로 40℃ 내지 200℃, 바람직하게는 80℃ 내지 150℃ 범위의 온도에서 일반적으로 수행되는 사전 베이킹 단계 중에 증발하도록 선택된다. 용매 또는 용매 혼합물의 선택은 또한 촉매 용해의 필요성에 따라 결정된다. 이와 같이, 용매는 극성 또는 비극성 용매, 또는 극성 및 비극성 용매의 혼합물일 수 있다. 탄화수소, 톨루엔, 크실렌, 메시틸렌은 전형적인 비극성 용매이고 3차 아민, 에테르 및 할로카본은 극성 용매이다.
Si-함유 막 형성 조성물은, 또한 0.01% wt/wt 내지 10% wt/wt의 촉매, 바람직하게는 0.1% wt/wt 내지 5% wt/wt, 더 바람직하게는 0.5% wt/wt 내지 3% wt/wt를 포함할 수 있다.
대안적으로, Si-함유 막 형성 조성물은, 또한 약 0.5% wt/wt 내지 약 50% w/w의 폴리실란, 바람직하게는 약 1% wt/wt 내지 약 20% wt/wt를 포함할 수 있다.
또 다른 대안으로, Si-함유 막 형성 조성물은 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS, 촉매, 및 폴리실란을 포함한다.
개시된 Si-함유 막 형성 조성물은, 종래 기술의 PHPS 막을 고체 물질로 경화시키는 것과 연관된 수축을 감소시킨다. 개시된 Si-함유 막 형성 조성물은, 경화 단계 중에 가교 결합 수준을 증가시킬 수 있다. 개시된 Si-함유 막 형성 조성물은, 또한 경화 분위기를 이용해 PHPS 및 선택적인 폴리실란의 반응을 촉진할 수 있다.
탈실릴화 결합(DSC) 촉매는 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS의 가교 결합을 촉진하여, 휘발성을 줄이고, 질량 손실과 막 수축에 기여하는 단편을 해리하는 경향이 있다.
탈수소 결합(DHC) 촉매는, NH-부재 PHPS 또는/및 폴리실란에 포함된 Si-H 결합 사이의 반응을, 경화 중에 기상에 존재하는 화합물에서 나오는 H-E 결합(E는 N 및 O)을 이용해 촉진시킨다. 이러한 기상 화합물은 하나 이상의 E-H 결합을 포함하고, 전형적으로 H2O, H2O2, NH3, 히드라진, 2차 아민, 에탄올아민, 디아민, 폴리올 및/또는 폴리아민이다. DHC 촉매는 O2 또는 O3와 같이, O-H 결합이 없는 다른 기상 화합물을 이용해 폴리머의 가교 결합을 여전히 촉진할 수 있다. 그러나 O2와 Si-H 결합의 DHC 반응은. H2O 및 OH 라디칼을 생성하며, 이는 E-H 결합으로서 역할을 하고 Si 함유 폴리머와 추가 반응한다.
개시된 Si-함유 막 형성 조성물은, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS를 함유하고, N-H 결합이 전혀 없다. N-H 결합은 많은 촉매에, 예컨대 전이 금속 또는 준금속 화합물(알콕시 또는 알킬아미노-함유 전이 금속 화합물 또는 준금속 유도체)에 자주 반응적이다. 이와 같이, 종래 기술의 NH-함유 PHPS를 함유한 제형은, 이러한 촉매가 존재하면 불안정할 것이다. 이러한 불안정성은 고체, 불용성 올리고머 및 폴리머의 형성 및 침전을 유도한다. 사전 실시예 2를 참조하기 바란다. 반도체 응용 분야의 경우, 이러한 고체 입자가 존재하면 산업 용도로부터 이들을 배제시킨다.
개시된 Si-함유 막 제형은, 희생막이거나 뒤에 남는 막이거나 상관 없이 반도체 소자의 홀 및 트렌치에 갭필을 적용하기 위해 특히 적합하다. 개시된 Si-함유 막 제형은, 갭필 응용 분야가 요구하는 바와 같이, 공극 없이, 작은 개구(일반적으로 10 내지 1000 nm)를 갖는 구조체를 충진할 수 있다. 추가적으로, 개시된 Si-함유 막 형성 조성물은, 가능한 최저 온도에서, 조밀하고 응력이 낮고 에칭 속도가 낮게 설정된 실리콘 산화물 또는 실리콘 질화물로 변환될 수 있다. 최종 막은 특징부 깊이를 따라 균일한 조성을 가질 수 있다. 특허 청구된 막 형성 조성물로 달성된 저 수축성, NH-부재 PHPS의 낮은 반응성에 기인한 불용성 생성물 및 입자의 부재, 그리고 촉매 존재로 인해 고체 및 조밀한 막으로 쉽게 변환시키는 능력은, 이러한 제형을 반도체 갭 필 응용 분야에 특히 적합하도록 만든다.
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 공동 계류중인 PCT 출원 제PCT/US17/65581호에 개시되어 있다. 이들 PHPS 조성물은 화학식 [-N(SiH3)x(SiH2-)y](여기서, x+y=2일 때 x=0, 1, 또는 2, 및 y=0, 1, 또는 2; 및 x+y=3일 때 x=0, 1 또는 2, 및 y=1, 2, 또는 3)를 갖는 N-H 부재 반복 단위를 포함한다. 이들 PHPS 조성물은 모든 N이 Si에 직접 결합되기 때문에, N-H 결합을 거의 또는 전혀 함유하지 않는다. 사전 실시예 2에 나타난 바와 같이, N-H 부재, C-부재, 그리고 Si가 풍부한 퍼하이드로폴리실라잔은 종래 기술의 NH-함유 PHPS보다 더 나은 공기 안정성을 제공한다.
개시된 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS 조성물은 트리실릴아민[N(SiH3)3 또는 "TSA"]의 촉매화된 탈실릴화 결합에 의해 또는 유사한 무기 (SiH3)2N로 종결되고 N-H가 없으며 낮은 MW의 실라잔(MW<450 amu)(본원에서 "휘발성 PHPS"로서 지칭됨), 예컨대 비스(디실릴아미노)실란 (H3Si)2-N-SiH2-N-(SiH3)2로부터 합성된다. 대안적으로, TSA 또는 휘발성 PHPS는 일부 치환된 NR1R2 기를 포함할 수 있으며, 여기서, R1 및 R2는 선형 또는 분지형 C1 내지 C4 알킬로부터 독립적으로 선택되며, 단, 휘발성 PHPS는 적어도 2개의 -SiH3 실릴 기를 함유한다.
예를 들어, 휘발성 PHPS는 Sanchez 등의 PCT 공개 제WO2015/047914호에 개시된 화합물을 포함할 수 있고, 이는 (R4-SiH2-)(R3-SiH2-)-N-SiHR5-NR1R2를 포함하며, 상기 식에서, R1 및 R2는 독립적으로, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 선택되며; R3, R4, 및 R5는 독립적으로, H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴로부터 선택된다. 보다 구체적으로, 휘발성 PHPS는 (H3Si)2-N-SiH2-NR1R2를 포함할 수 있고, 여기서 R1 및 R2는 독립적으로, 선형 또는 분지형 C1 내지 C4 알킬이다.
TSA는 상업적으로 입수 가능하다. 휘발성 PHPS 반응물은 Sanchez 등의 PCT 출원 번호 제PCT/US17/65581호 또는 PCT 공개 번호 제WO2015/047914호에 개시된 방법을 사용하여 합성될 수 있다.
반응물은 Si-X 부재(여기서, X는 Cl, I, 또는 Br임)로서, 이에 의해 얻어진 최종 N-H 부재 PHPS 조성물에서 임의의 할로겐 오염을 제한할 뿐만 아니라, 임의의 부식성 부산물 또는 아민/암모늄 염의 형성을 방지한다.
시작 반응물, 바람직하게 트리실릴아민은, 반응물에 대해 불활성인 분위기, 예를 들어, Ar, N2, H2 또는 He 하에서 탈실릴화 결합 촉매와 혼합된다. 탈실릴화 결합 촉매의 양은, 시작 반응물 및 선택된 탈실릴화 결합 촉매에 따라 달라질 것이다. 반응에 요구되는 탈실릴화 결합 촉매의 양은 1 ppm 몰% 내지 50 몰%, 바람직하게는 5 ppm 몰% 내지 5 몰%, 및 보다 바람직하게는 10 ppm 몰% 내지 0.1 몰%의 범위일 수 있다.
예시적인 탈실릴화 결합 촉매는, 상업적으로 입수 가능한 루이스 산 또는 루이스 염기를 포함한다. 루이스 산은 전이 금속 및 이의 화합물, 예컨대 금속 카르보닐, 붕소 할라이드, 및 유기보란, 알루미늄 할라이드, 알칼리 금속 및 알칼리 토금속 및 이의 화합물 등을 포함한다. 루이스 산은 이의 균일 또는 불균일 상일 수 있고, 지지체(예, 탄소, Al2O3, 폴리머, 수지 등)에 부착될 수 있다. 특정 루이스 산은, B(C6F5)3, B(C6FH4)3 또는 BPh3을 포함하지만 이에 제한되지 않는 화학식 BR3(여기서, R은 6 내지 12개의 탄소 원자를 갖는 아릴 또는 치환된 아릴 기임)을 갖는 트리아릴보란을 포함한다. 루이스 염기는 아민, 포스핀, 에테르, 티오에테르, 할라이드, 알킨, 아렌 등을 포함한다. 특정 루이스 염기는 Ph2PCl 1,4-디아자바이시클로[2.2.2]옥탄(DABCO), 에틸디메틸아민(EtMe2N), 트리에틸아민(Et3N), 디에틸아민(Et2NH), 디-이소프로필 아민(iPr2NH), 이소프로필 아민(iPrNH2), 불균일 탈실릴화 결합 촉매, 예컨대 탄소 상 팔라듐(Pd/C), 탄소 상 백금(Pt/C), 알루미늄 상 백금(Pt/Al), 또는 균일 탈실릴화 결합 촉매, 예컨대 Co2(CO)8, Ru3(CO)12, 및 다른 Co 또는 Ru 카르보닐 함유 화합물, 1,4-비스(디페닐포스피노)부탄 루테늄(II) 클로라이드, (2-아미노메틸)피리딘[RuCl2((AMPY(DPPB))], Rh(PPh3)3, 클로로[(R,R)-1,2-디페닐-N1-(3-페닐프로필)-N2-(p-톨루엔술포닐)-1,2-에탄디아민] 루테늄 [(R,R)-teth-TsDpenRuCl], PdCl2, 메틸 요오드(MeI), 테트라부틸포스포늄 클로라이드(TBPC), 또는 이들의 조합을 포함한다.
바람직하게는, 탈실릴화 결합 촉매는, 최종 N-H 부재 PHPS 조성물에서 클로라이드 오염을 방지하기 위해 클로라이드가 없다. 예시적인 클로라이드 부재 탈실릴화 결합 촉매는, B(C6F5)3, B(C6FH4)3, BPh3, 1,4-디아자바이시클로[2.2.2]옥탄(DABCO), 탄소 상 팔라듐(Pd/C), 탄소 상 백금(Pt/C), 알루미늄 상 백금(Pt/Al), Co2(CO)8, Ru2(CO)8, (2-아미노메틸)피리딘, 또는 이들의 조합을 포함한다.
선택된 탈실릴화 결합 촉매는, 시작 반응물 및 N-H 부재 PHPS 조성물의 희망 용도에 따라 달라질 것이다. 예를 들어, TSA 및 0.2 몰% B(C6F5)3 자체는 실온에서 5분 내에 고체 PHPS(MW >>1000)를 형성한다. 펜탄 용매의 첨가는 동일한 온도에서 반응 시간을 17 시간으로 늦춘다. 시작 반응물을 TSA에서 (H3Si)2-N-SiH2-N-(SiH3)2로 변경시키면, 1주 후에 PHPS 오일이 형성된다. (H3Si)2-N-SiH2-N-(SiH3)2 시작 물질로부터 1주 내에 형성된 PHPS 오일은, 펜탄 중 TSA로부터 생성된 고체 PHPS보다 더 낮은 분자량을 갖는다. 3개의 모든 반응에서, 가스 크로마토그래피에 의해 측정된 바와 같이 시작 반응물을 100% 소비하였다. 그러나, 0.2 몰%의 B(C6F5)3 루이스 산 촉매에서 2 내지 5 몰%의 BPh3 루이스 산 촉매로 변경시키면, 단지 (H3Si)2-N-SiH2-N-(SiH3)2를 형성하며, 대략 1% 미만의 TSA 시작 반응물이 실온에서 1주 후에 변환된다. 루이스 염기, 예컨대 P(톨릴)3, P(Ph)3, 지지된 P(Ph)3, 및 Et3N은 덜 성공적이었고, 진행하기 위해 더 긴 반응 시간 또는 더 높은 온도가 요구된다.
본 출원인은 또한, 탈실릴화 결합 촉매의 활성이 루이스 염기, 예컨대 3차 아민의 첨가에 의해 향상될 수 있음을 발견하였다. 루이스 염기는, 시작 물질(TSA 또는 다른 휘발성 PHPS) 및/또는 촉매를 적어도 부분적으로 용해시키는 용매의 존재에 의해 반응하지 않도록 선택된다. 루이스 염기는 용매로서 역할을 하고, 동시에 촉매 활성을 향상시킬 수 있다.
반응물 및 탈실릴화 결합 촉매는 그 상태로 또는 용매 중에서 혼합될 수 있다. 예시적인 용매는 탄화수소, 예컨대 펜탄, 헥산, 헵탄, 벤젠, 톨루엔, 기타 알칸, 또는 알칸 혼합물을 포함한다. 다른 용매는, 할로탄화수소, 예컨대 디클로로메탄 또는 클로로포름; 에테르, 예컨대 테트라하이드로푸란(THF), 또는 3차 부틸에테르, 및 더욱 일반적으로 비양성자성 용매, 예컨대 아세토니트릴, 벤젠, 디메틸포름아미드, 헥사메틸포스포라미드, 디메틸 술폭시드, 또는 이들의 조합을 포함한다. 하기 실시예에서 나타내는 바와 같이, 용매는 반응 공정을 늦추기 위해 사용될 수 있다. 대안적으로, 탈실릴화 결합 촉매 및/또는 시작 반응물은 용매에서 용해될 수 있다. 탈실릴화 결합 촉매는, 용매에서 용해 가능한 경우에 더욱 효율적이 되고 반응은 더욱 빨리 진행될 수 있다. 용매는 또한, 분자내 대 분자간 탈실릴화 결합의 속도에 영향을 미칠 수도 있고, 이에 따라 생성물의 SiH2:SiH3 및 Si:N 비에 영향을 미칠 수 있다. 예를 들어, PHPS 반응 생성물은 일부 알칸, 예컨대 펜탄에서 제한된 용해도를 갖는다. 결과적으로, 펜탄에서의 반응은 저 분자량의 PHPS 반응 생성물을 형성한다. 대조적으로, PHPS는 방향족 탄화수소, 예컨대 톨루엔에서 더 용해 가능하다. 따라서, 톨루엔에서의 반응은 고 분자량의 PHPS 반응 생성물을 형성한다. 당업자는, 원하는 PHPS 반응 생성물에 도달하기 위해 적절한 용매를 선택할 수 있을 것이다.
탈실릴화 결합 촉매는, 반응물을 함유한 용기에 첨가될 수 있다. 대안적으로, 반응물은 탈실릴화 결합 촉매를 함유한 용기에 첨가될 수 있다(역첨가). 다른 대안으로, 반응물 및 탈실릴화 결합 촉매는 동시에 용기에 첨가될 수 있다. 또 다른 대안으로, 탈실릴화 결합 촉매는 반응물의 일부를 함유한 용기에 첨가될 수 있으며, 반응물의 나머지 부분은 용기 내의 탈실릴화 결합 촉매/반응물 혼합물에 첨가된다. 4개의 모든 구현예에서, 첨가 속도는 원하는 PHPS 반응 생성물에 따라 달라진다.
개시된 N-H 부재 PHPS 조성물의 합성은 임의의 적합한 온도에서 일어날 수 있으며, 단, 상기 온도는 PHPS 반응 생성물이 분해되거나 임의의 Si-N 또는 Si-H 결합의 열적 파괴를 일으키는 온도 미만으로 유지된다. 현실적인 이유로, TSA의 비등점(52℃) 또는 (SiH3)2-N-SiH2-N-(SiH3)2(이하, "BDSASi")의 비등점(103℃)보다 낮은 온도에서 반응을 수행하는 것이 바람직하다. 예를 들어, 실온에서 5분 이내에 TSA 및 0.2 몰% B(C6F5)3 자체로부터 생성된 고체 PHPS 조성물의 경우에, 실온보다 더 차가운 온도, 예를 들어 대략 -78℃ 내지 대략 0℃ 범위의 온도를 이용함으로써 반응을 늦추는 것이 바람직할 수 있다. 대조적으로, 열은 일부 더 느린 반응의 속도를 높이기 위해 요구될 수 있다. 예를 들어, 온도는 일부 합성 반응을 위해 대략 28℃ 내지 대략 50℃의 범위일 수 있다. 다른 반응에 있어서, 실온(즉, 대략 18℃ 내지 대략 24℃)이 적합할 수 있다. 다른 대안으로, 반응은 대략 -10℃ 내지 대략 27℃ 범위의 온도에서 진행될 수 있다. 당업자는, 반응 온도가 높을수록, PHPS 합성의 반응 속도가 증가할 수 있음을 인지할 것이다. 반응 온도가 높을수록, 또한 (올리고머 사이의) 분자간 탈실릴화에 의해 가교 결합을 유도함으로써 더 큰 분자량의 생성물을 생성할 수 있고, 더 많이 가교 결합되고 SiH2:SiH3 비가 더 큰 올리고머 또는 분지형 생성물을 생성한다.
하기 실시예에서 나타내는 바와 같이, BDSASI로의 TSA의 초기 탈실릴화 중합 반응은 빠르게 일어난다. 대조적으로, 더 큰 PHPS 조성물로의 BDSASI의 후속 탈실릴화 중합은 더욱 서서히 일어난다. 본 출원인은, 상기 폴리머가 말단 SiH3 단위에서 순차적인 반응에 의해 생성될 수 있을 것으로 생각한다:
Figure 112020096914263-pct00002
반응이 계속됨에 따라, PHPS 조성물의 사슬 길이는 증가한다:
Figure 112020096914263-pct00003
반응은 하기와 같이 선형으로 진행할 수 있다:
Figure 112020096914263-pct00004
또는 하기 분지된 방식으로 진행할 수 있다:
Figure 112020096914263-pct00005
하기 분자간 반응이 또한 일어날 수 있다:
Figure 112020096914263-pct00006
또는 하기 분자내 반응이 또한 일어날 수 있다:
Figure 112020096914263-pct00007
알 수 있는 바와 같이, 이들 반응은 SiH4 부산물을 발생시키며, 이는 냉동트랩핑되고 필요한 경우에 추가로 사용될 수 있거나, 반응기로부터 배기되고 폐기될 수 있다.
또한, 볼 수 있는 바와 같이, 이들 반응은 -SiH2- 및 -SiH3 기(-SiH- 기가 아님)만을 갖는 반응 생성물을 유도한다.
원하는 경우, 반응은 시작 반응물을 100% 소비하기 이전에 또는 -SiH3 모이어티 간의 분자내 또는 분자간 탈실릴화 결합 반응을 중지시키기 위해 선택적으로 ??칭(종결)될 수 있다. 예를 들어, 적당한 분자량(MW) 또는 MW 분포가 달성되는 경우, 탈실릴화 결합 촉매 활성은 배위 화합물, 예컨대 XNR4(X = F, Cl, Br, I; R = 알킬), R-CN, R2S, PR3 등의 첨가에 의해 ??칭될 수 있다. 대안적으로, 3차 아민, 예컨대 NR3(여기서, R=C1-C6 탄화수소)이 사용될 수 있다. 바람직한 3차 아민은 NEt3 및 NBu3을 포함한다. 본 출원인은, 더 무거운 아민(즉, R=C3-C6일 때)이 더욱 안정한 PHPS 조성물을 제공할 수 있을 것으로 생각한다.
NMR, IR, 및/또는 라만 분광계는, ??칭제가 언제 필요한지 결정하도록 반응의 진행을 인시츄로 모니터링하기 위해 사용될 수 있다. 대안적으로, ??칭제는 이전 실험에서 결정된 시간을 기초로 하여 반응을 중지시킬 수 있다. 다른 대안으로, 시작 물질의 양 및 유형은, 반응을 완결하여 원하는 생성물을 생성하도록 선택될 수 있다. 반응에 ??칭제를 더 일찍 첨가하면, PHPS 생성물의 MW 분포가 더 낮아진다.
생성물의 의도된 용도에 따라, PHPS 조성물은, [-N(SiH3)x(SiH2-)y] 단위, 시작 반응물, 탈실릴화 결합 촉매, 용매, ??칭제, 및/또는 의도된 용도를 위해 요구되는 임의의 다른 성분의 조합을 포함할 수 있다.
대안적으로, PHPS 조성물은 [-N(SiH3)x(SiH2-)y] 단위로 필수적으로 이루어질 수 있다. 이 문맥에서, 용어 "...로 필수적으로 이루어진다"는 PHPS 조성물이 대략 90% w/w 내지 대략 98% w/w의 [-N(SiH3)x(SiH2-)y] 단위를 함유하고, 반응 혼합물의 임의의 나머지 성분이 단지 총 대략 2% w/w 내지 대략 10% w/w임을 의미한다.
다른 대안으로, PHPS 조성물은 오직 [-N(SiH3)x(SiH2-)y] 단위로만, 또는 대략 98% w/w 내지 100% w/w의 [-N(SiH3)x(SiH2-)y] 단위 단독으로 이루어질 수 있다.
[-N(SiH3)x(SiH2-)y] 단위가 액체를 형성하는 경우, 상기 액체는, 휘발성 성분(용매, 저 MW 화합물)의 제거 및/또는 탈실릴화 결합 촉매(불균일 촉매의 경우) 또는 임의의 비-용해성 ??칭된 탈실릴화 결합 촉매의 여과에 의해, 반응 혼합물로부터 분리될 수 있다. 추가 처리는 탈실릴화 결합 촉매 함량을 감소시키는 것을 더 보조할 수 있고, 이는 최종 제형을 포함한 PHPS의 장기적인 안정성에 바람직하다. 예를 들어, 액체 조성물은 흡착제, 예컨대 비정질 탄소, 또는 이온 교환 수지, 예컨대 Rohm&Haas에 의해 상표명 AmberlystTM으로 시판되는 제품을 통과할 수 있다. [-N(SiH3)x(SiH2-)y] 단위가 고체를 형성하는 경우, 고체는 여과에 의해 반응 혼합물로부터 분리될 수 있다. 이러한 경우에, 액체 탈실릴화 결합 촉매의 사용은 고체 PHPS의 합성을 위해 바람직한데, 그 이유는 이러한 촉매가 여과에 의해 (용매가 또한 사용되면, 용매와 함께 동시에) 제거될 수 있기 때문이다.
합성 방법은 당 분야에 공지된 장비 구성 요소를 이용하여 수행될 수 있다. 원하는 온도 범위, 압력 범위, 현지 규정 등에 따라 구성 요소의 일부 주문 제작을 필요로 할 수 있다. 예시적인 장비 공급 업체는 Buchi Glass Uster AG, Shandong ChemSta Machinery Manufacturing Co. Ltd., Jiangsu Shajabang Chemical Equipment Co. Ltd 등을 포함한다.
코팅 방법에 적합하도록, PHPS 조성물은 약 500 내지 약 1,000,000, 바람직하게는 약 1,000 내지 약 200,000, 보다 바람직하게는 약 3,000 내지 약 100,000 범위의 분자량을 가져야 한다.
N-H 부재 PHPS
공동 계류 중인 PCT 출원 제PCT/US17/65581호에 입증되는 바와 같이, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는, N-H 결합이 전혀 없는데, 그 이유는 이러한 것이 가암모니아 분해에 의해 형성되지 않고 시작 물질(TSA, BDSASi, 또는 다른 휘발성 PHPS 반응물)이 또한 N-H-부재라는 사실에 기인한다. 즉, 이들 반응은 암모니아(NH3) 반응물을 필요로 하거나 사용하지 않는다. 출원인은, NH3 반응물이 종래 기술의 PHPS 조성물에 함유된 N-H 결합의 기원으로서 역할을 할 수 있다고 생각한다. TSA 반응물의 사용 및 개시된 합성 공정에서 NH3 반응물의 결여는, 임의의 할라이드 부산물을 제거하고/제거하거나 추가 공정에 의해 H의 양을 감소시킬 필요성을 제거한다.
본 출원인은, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에서 N-H의 부재가 종래 기술의 N-H 함유 PHPS 조성물보다 저온에서 더 용이하게 PHPS를 SiO2로 변환시킬 수 있는 것으로 생각한다.
본 출원인은, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에서 N-H의 부재가, 특허 청구된 PHPS를 종래 기술의 퍼하이드로폴리실라잔보다 공기 및 물에 대해 반응성이 덜하게 만드는 것으로 생각한다. 이는 사전 실시예 2에 부분적으로 입증되어 있다. 이렇게 낮은 반응성은, 불활성 분위기에서보다 오히려 공기 중에서 스핀 온 산화물 증착을 수행할 수 있게 한다. 이것 단독으로도 제조 비용이 크게 감소될 것이다. 추가적으로 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 종래 기술의 퍼하이드로폴리실라잔보다 더욱 안정적이다. 종래 기술의 N-H 함유 퍼하이드로폴리실라잔은 N-H와 Si-H 간에 가교 결합이 발생해서, H2의 해리를 초래하고, 이에 따라 저온 저장을 필요로 하게 된다. 결과적으로, 개시된 Si-함유 막 형성 조성물의 저장은, 종래 기술의 N-H 함유 퍼하이드로폴리실라잔보다 더 용이하고 더 안전할 것이다. 반응성이 더 낮을수록, 제어되지 않은 산화에서 비롯된 결함의 수를 또한 감소시킬 수 있다. 사전 실시예 2에 나타낸 바와 같이, 종래 기술의 퍼하이드로폴리실라잔은 공기에 노출되는 경우에 혼탁해진다. 혼탁은 입자의 콜로이드성 현탁액으로부터 초래되며, 입자는 반도체 산업에서 유해한 것으로 널리 알려져 있다.
Si:N 비율
선형, 분지형 또는 두 가지의 혼합 여부에 관계없이, Si:N 비율은, TSA 반응물의 경우 최대 3:1(즉, 3 Si:1 N)내지 BDSASI 의 경우 2.5:1(즉, 5 Si: 2 N)에서 최소 1.5:1(하기 구조를 참조하기 바라며, 여기서 모든 N이 3 SiH2에 부착되고 모든 SiH2가 2 N에 부착되어 최소 3 Si: 2 N 또는 1.5 Si : N 비율을 생성함)로 감소하며, 이 때 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS의 크기는 증가한다.
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 동일한 분자에 속하는 2 SiH3의 분자 내 결합없이 연속적인 탈실릴화 결합에 의해서만 형성되는 경우, Si:N 비율은 2.5:1(BDSASi) 내지 2:1(즉, (-SiH2-N(SiH3)-)n 구조를 갖는 무한 선형 폴리머, 또는 중심에 SiH2만 있고 사슬의 끝에 SiH3가 있는 완전 분지형 구조의 경우)이다.
모든 -SiH3 기 사이에서의 분자내 탈실릴화 결합(예를 들어, 아래의 무한 사다리 예시로 이상적으로 나타냄)을 거쳐 완전히 탈실릴화된 NH 부재, C-부재, 그리고 Si가 풍부한 PHPS는, Si:N 비율이 1.5:1이고, 이때 2 N에 결합된 각각의 -SiH2- 그리고 각각의 N은 3 Si에 결합된다.
다른 대안으로, 폴리머 또는 올리고머는 3개 이상의 (-N(SiH2 또는 3)SiH2-) 단위로부터 형성된 환형 단위를 함유할 수 있다. 이러한 올리고머는, 하기 사다리 구조(즉, Si:N > 1.5:1), 그러나 동일한 수의 N 원자를 갖는 폴리머의 경우 순수 선형 사례 이하(즉, Si:N ≤ 2:1) 사이의 Si:N 비율을 갖는다.
이 현상은 도 1에 도시되고, 여기서 Si:N 비율을 y 축에, 트리실릴아민 반응물 첨가 개수를 x 축에 나타낸다. 도 1에서 볼 수 있는 바와 같이, 곡선은 선형 PHPS 반응 생성물의 경우 Si:N 비율이 2:1, 가교 결합된 PHPS 반응 생성물의 경우 1:5:1에 근접하는 점근선이 된다.
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 2.5:1 내지 1.5:1, 바람직하게는 2.5:1 내지 1.75:1, 그러나 1.5:1 이상 범위의 Si:N 비율을 갖는다.
개시된 Si-함유 막 형성 조성물은 반도체 응용 분야에 사용되는 실리콘 산화물 막을 형성하기 위해 사용될 수 있다. Fujiwara 등의 미국 특허 출원 공개 번호 제2015/004421호는 Si가 풍부한 PHPS(즉, 1:1보다 높은 Si:N 비율을 갖음)를 사용하면 스핀 온 및 산화 어닐링으로 얻은 막의 저 수축성을 달성하는 데 유리함을 입증했다. Fujiwara등은 할로실란이 과량인 상태에서 PHPS를 형성하여 (PHPS가 여전히 Si-Cl 결합을 포함하도록) 1:1 이상의 Si:N 비율을 얻는다. Fujiwara 등은, Si-Cl을 폴리머의 N-H 모이어티와 추가로 반응시키고 이에 따라 폴리머에서 -(SiH2)2NSiH2- 구조를 생성시키기 위해, 40 내지 200℃, 및 바람직하게는 100 내지 200℃ 범위의 온도에서 일부 염소화된 PHPS 올리고머를 추가로 공정 처리한다. [동일 문헌 0036-0037 및 0043 문단] 대안적으로, Fujiwara 등은 유사한 결과를 산출하기 위해 NH-함유 PHPS에 할로실란을 첨가한다. [동일 문헌 0038 문단] 또한, Fujiwara의 방법은 염소화된 실란(이에 따라, 실시예 3에서 NH4Cl 고체의 형성)을 처리할 필요성의 문제가 있고, 유효 Si:N 비가 1.4:1로 제한된다. [동일 문헌 표 1] PHPS는 또한, N-H 모이어티를 여전히 함유하고, 이에 따라 Si-H/N-H 제거로 인한 불안정성이 나타나, 추가 가교 결합 및 분자량 분포의 진행이 이루어진다.
개시된 Si-함유 막 형성 조성물은 또한, 실리콘 질화물 막을 형성하기 위해 사용될 수 있다. 반도체 산업에서 HF 기반 솔루션에 의해 사용된 실리콘 질화물 막의 습식 에칭 속도는, Si:N 비율과 실리콘 질화물 막의 H 농도에 따라 다르다(Longjuan et al., Journal of Semiconductors, Vol. 30, No. 9, September 2009). Longjuan 등은, (a) 증착 매개 변수의 최적화(즉, SiH4 가스 유량 증가 및/또는 NH3 및 N2 가스 유량 감소)를 통해 막의 Si:N 비율을 증가시키고, (b) 고온 급속 열적 어닐링(RTA)을 사용하여 막을 형성한 후 H를 해리시킴으로써, 실리콘 질화물의 에칭 속도를 감소시켰다. [동일 문헌] 그러나, Hirao 등은, 실리콘 질화물 막을 어닐링하면 N-H 결합이 아닌, N-N 및 Si-H 결합으로부터 H의 손실을 통해 H 농도가 감소된다는 것을 개시한다. Japanese Journal of Applied Physics, Vol. 27, Part 1, Number 1. 개시된 Si-함유 막 형성 조성물은, N-H 결합을 거의 또는 전혀 갖지 않는 실리콘 질화물 막을 생성하기 위해 사용되어, 어닐링을 통해 막 내의 임의의 잔류 H의 후속 제거를 허용할 수 있다. 본 출원인은, 실리콘 질화물에서 N-H 결합의 결여가, N-H 결합을 함유한 막에 대해 요구되는 것보다 더 낮은 온도의 어닐링 및/또는 더 빠른 UV 경화를 허용할 수 있을 것으로 생각한다. 보다 구체적으로, 개시된 Si-함유 막 형성 조성물은, 묽은 HF 용액(0.5 내지 1% HF)을 사용하여 열적으로 성장된 실리콘 산화물의 에칭 속도의 절반 이하, 바람직하게는 1/10 미만의 습식 에칭 속도를 갖는 실리콘 질화물 막을 형성한다.
이와 같이, 개시된 Si-X 부재 공정은, 수축성이 적은 실리콘 산화물 또는 실리콘 질화물, 및 응력이 적은 실리콘 산화물을 생성하기 위해, 높은 Si:N 비를 갖고 N-H 모이어티가 없는, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS 조성물을 생성한다.
SiH 2 :SiH 3 비율
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 1:4(BDSASi) 내지 1:0 범위, 바람직하게는 1:2.5 내지 1:0 범위, 및 보다 바람직하게는 1:2 내지 1:0 범위의 SiH2:SiH3 비를 갖는다. N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에서 최소 SiH2:SiH3 비는 BDSASI의 경우 1:4이다. NH-부재 PHPS 폴리머를 합성하는 동안, TSA 반응물과의 연속적인 탈실릴화 결합이 일어나고, 상기 비율은 1:1 (-SiH2-N(SiH3)-) 반복 단위를 향해 수렴한다. 결국, 올리고머 분자 내의 -SiH3 기 사이에서 또는 2개의 올리고머 분자 사이에서의 분자간 또는 분자내 탈실릴화 결합은 SiH2:SiH3 비를 1:1 미만까지, 잠재적으로는 모든 N이 3개의 -SiH2-에 결합되는 무한 폴리머의 경우의 1:0에 이르기까지, 더 감소시켜, N(SiH2-)3의 평균 조성을 갖는 폴리머를 생산한다. 이러한 올리고머 구조의 예는 하기에 제공된다:
Figure 112020096914263-pct00008
N-H 부재, C 부재, 그리고 Si가 풍부한 PHPS 조성물이 이러한 사다리 구조를 갖는 경우, SiH2:SiH3 비는, 올리고머 또는 폴리머의 길이가 증가함에 따라 1:0에 접근한다(임의의 말단 SiH3 기에 의해서만 제한됨). 동시에, Si:N 비는 1.5:1 쪽으로 수렴하지만, 결코 1.5:1 미만이 아닌 경향을 나타낸다. 결과적으로, SiH2:SiH3 비는, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 나타낸 가교 결합의 양을 결정하는 것을 보조한다. 실제로는, N-H 부재, C-부재, 그리고 Si가 풍부한 액체 PHPS를 유지하는 최대 SiH2:SiH3 비는 통상적으로 5:1이며, 원하는 범위는 2.5:1 내지 4.5:1이다.
추가적으로, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는, Si-H 절단을 유도하는 온도까지 가열되지 않는 한, 단일 H 원자에 부착된 임의의 실리콘 원자(즉, -Si(-)(H)-)를 함유하지 않는다. 즉, PHPS에서 모든 Si 원자는 최소 2개의 H 원자에 결합된다(즉, SiHx(N-)4-x, 여기서, x는 2-3임).
산화성 경화 동안, PHPS 막의 수축은 PHPS 폴리머 가교 결합 정도와 밀접하게 관련이 있다. PHPS 폴리머 가교 결합 정도는 (SiH1 + SiH2)/SiH3 몰 비율로 나타낸다. (SiH1 + SiH2)/SiH3 비가 더 클수록, PHPS 폴리머는 더욱 가교 결합되고, 따라서 막의 수축성은 더 낮아진다. Okamura 등의 미국 특허 출원 공개 제2016/0379817호의 표 1 및 표 4를 참조하기 바란다.
당업자는 1H 및/또는 29Si NMR 분광학적 적분값이 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS 조성물에서 -Si(-)(H)-, -SiH2-, 및 -SiH3의 양을 결정하기 위해 이용될 수 있다는 것을 인지할 것이다.
촉매
개시된 Si-함유 막 형성 조성물은 하나 이상의 촉매를 포함할 수 있다. 전술한 바와 같이, Si-함유 막 형성 조성물은 또한 0.01% wt /wt 내지 10% wt/wt의 촉매, 바람직하게는 0.1% wt/wt 내지 5% wt/wt, 보다 바람직하게는 0.5% wt/wt 내지 3% wt/wt를 포함할 수 있다.
촉매는 Si-함유 막 형성 조성물의 적용에 따라 다른 목적으로 선택될 수 있다. 촉매는, 증착 과정 동안에 막 수축성을 줄이는 것을 보조하기 위해 활성화될 수 있다:
- 경화하는 동안에 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS를 추가로 가교 결합하기 위해 탈실릴화 결합 촉매를 추가할 수 있다. Si-함유 막 형성 조성물에 사용하기에 적합한 탈실릴화 결합 촉매는, NH 부재, C-부재, 그리고 Si가 풍부한 PHPS를 합성(즉, SiH2-N-SiH2 결합 생성 및 SiH4의 방출)하는 동안 사용되는 것과 동일한 방식으로 기능한다. 그러나, Si-함유 막 형성 조성물에서 탈실릴화 결합 촉매는, 저장하는 동안에 반응 및 위험한 SiH4 방출을 피하기 위해, 정상 저장시 활성이 거의 또는 전혀 없도록 선택되어야 한다. 이와 같이, 개시된 Si-함유 막 형성 조성물에 포함시키기에 적합한 탈실릴화 결합 촉매는, 약 50℃ 내지 약 200℃ 범위의 온도 및/또는 포톤과 같은 다른 활성화 수단 하에서 시작하는 중요 촉매 활성만을 갖도록 선택되어야 한다. 이러한 촉매는 실리콘 산화물 및 실리콘 질화물 적용 모두에서 수축을 줄이는 데 유용할 수 있다.
- 탈수소 결합(DHC) 촉매는, 경화 분위기에 존재하는 EH 함유 종과 NH 부재, C-부재, 그리고 Si가 풍부한 PHPS(E = O, N)로부터의 Si-H와의 반응에 의해, H2의 생성을 유리하게 하기 위해 첨가될 수 있다. 이들 촉매는 실리콘 산화물 및 실리콘 질화물 막의 형성에 모두 유용하다. 이들 촉매는 경화하는 동안, 막에 ("N"또는 "O"의 첨가 및 H2의 손실에 의해) 질량을 추가하여 막의 수축을 상쇄하거나 제한하는 데 기여한다. O2, O3, NO 또는 N2O와 같이 OH가 없는 산화성 분위기에서, 이러한 촉매는 막의 실리콘 산화물로의 변환을 또한 향상시키고, 이때 가스 종과 막 형성 조성물 사이의 반응 부산물은 OH 함유 종을 생성한다.
질화물 막에 대한 DSC 및 DHC 촉매 메커니즘은 다음과 같다:
Figure 112020096914263-pct00009
당업자는, 일부 촉매가 DHC 및 DSC 촉매 작용을 모두 수행할 수 있음을 인지할 것이다.
볼 수 있듯이, DSC는 Si 함유 막 형성 조성물의 "더 큰"부분을 제거한다(즉, DSC는 SiH4를 제거하는 반면, DHC는 H2만 제거함). 결과적으로, 불활성 경화 분위기에서, 본 출원인은 Si-함유 막 형성 조성물에 DHC 촉매를 첨가시키면 DSC 촉매를 첨가시키는 경우보다 막의 수축이 더 적을 것이라고 생각한다.
그러나, 경화는 산화 또는 질화 분위기에서 자주 발생한다. DHC 및 DSC 촉매 모두는 산화 또는 질화 분위기에서 산화물 또는 질화물 막 형성에 각각 적합하다. 전술한 바와 같이, DHC 촉매는 산화 또는 질화 분위기와 또한 반응하여 최종 막에 O 또는 NH를 삽입할 수 있다:
Figure 112020096914263-pct00010
촉매는, 촉매 활성화 이전에 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS와 거의 또는 전혀 반응하지 않는다. 대조적으로, 종래 기술의 NH-함유 PHPS의 반응은 촉매 첨가시 시작되어 겔이 될 때까지 캐스케이드될 수 있다. 결과적으로 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 NH 함유의 PHPS보다 더 넓은 촉매 호환성을 제공한다.
본 출원인은 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에 NH를 포함하는 것을 피했지만, 경화하는 동안에 질화물 막에 NH를 첨가하는 것이 필요할 수 있다. 이상적인 화학량론적 실리콘 질화물 막은 Si3N4(즉, Si:N 비율이 3:4 또는 0.75:1)이다. 전술한 바와 같이, 개시된 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 1.5:1의 최소 Si:N 비를 갖는다. 따라서, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에서 Si의 양을 줄이거나, 경화 공정에서 N의 양을 늘려, 이상적인 화학량론적 실리콘 질화물 막을 형성해야 한다.
N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS를 열분해(즉, 불활성 분위기에서 경화)하면, H, 및 H가 풍부한 단편을 제거하여 실리콘이 풍부한 비화학량론적 실리콘 질화물 막을 형성한다. 경화 환경에서 물질을 추가하지 않고 열분해하면, 막 두께가 적어도 50% 정도 수축하고, 이는 NH 부재, C-부재, 그리고 Si가 풍부한 PHPS와 실리콘이 풍부한 질화물 사이의 밀도 비율이다(즉, NH 부재, C-부재, 그리고 Si가 풍부한 PHPS는 초기 밀도가 약 1.5 g/mL이고 부분적으로 수소화된 실리콘 질화물은 밀도가 약 3 g/mL임).
DSC 촉매를 사용하여 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS에서 SiH4를 제거하여 Si:N 비를 1.5:1에서 3:4로 이동시킬 수 있지만, 이는 또한 질량 손실과 막 수축성을 초래한다.
수축을 피하고 Si:N이 이상적인 3:4에 가까운 막을 생성하려면, 경화 가스로부터의 N을 막에 삽입해야 한다. DHC 촉매는, N 함유 분위기에서 실리콘 질화물 막에 N을 삽입하기 위해 사용될 수 있다. 위와 같이, DHC는 막의 Si-H와 분위기의 N-H 사이의 반응을 촉매하여 Si-N과 H2를 생성한다. 경화 가스가 NH3일 경우, Si-H 결합은 먼저 Si-NH2로 대체된다. 추가 촉매 작용은, 인접한 두 Si-NH2를 축합시켜 Si-NH-Si 및 NH3를 형성한다. 추가적으로 또는 대안적으로, SiNH2는 인접한 Si-H와 반응하여 Si-NH-Si 및 H2를 형성할 수 있다.
이들 이유 모두 때문에, 실리콘 질화물 막 형성 조성물의 DHC 촉매와 경화 가스의 -NH 함유 종의 존재는, 실리콘 질화물 막의 수축을 방지하는 데 중요하다.
원하는 반응 촉진에 따라 상업적으로 입수 가능한 촉매 예시는 아래의 비 제한적인 표로부터 선택될 수 있다:
Figure 112020096914263-pct00011
상기 촉매는 화학식 ML4(여기서 M은 4족 또는 5족 원소이고, 각각의 L은 독립적으로 NR2, OR, R'5Cp, R-N-C(R”)=N-R’, 베타-디케토네이트, 이미노케토네이트, 디이미네이트, 및 이들의 조합으로 이루어진 군으로부터 선택되고, R, R’ 및 R”는 독립적으로 H, C1-C4 탄화수소, 또는 트리알킬실릴기임)를 갖는다.
예시적인 ML4(M=Ti, Zr, Hf, W) 촉매는 M(NR2)4를 포함하고, 각각의 R은 독립적으로 C1 내지 C4 탄화수소이다. 보다 구체적으로, 촉매는 Zr(NMe2)4, Zr(NMeEt)4, Zr(NEt2)4, Ti(NMe2)4, Ti(NMeEt)4, Ti(NEt2)4, Hf(NMe2)4, Hf(NMeEt)4, Hf(NEt2)4, 또는 이들의 조합일 수 있다. 본 출원인은, 아민 리간드로 인해 이들 촉매가 질화물 막의 형성에 특히 유용할 수 있다고 생각한다.
예시적인 ML4(M=Ti, Zr, Hf, W) 촉매는 또한 (R’5Cp)M(NR2)3를 포함하고, 여기서 각각의 R은 독립적으로 C1 내지 C4 탄화수소이고 각각의 R’은 독립적으로 H 또는 C1 내지 C4 탄화수소이다. 보다 구체적으로, 촉매는 CpZr(NMe2)3, CpZr(NMeEt)3, CpZr(NEt2)3, (MeCp)Zr(NMe2)3, (MeCp)Zr(NMeEt)3, (MeCp)Zr(NEt2)3, CpTi(NMe2)3, CpTi(NMeEt)3, CpTi(NEt2)3, (MeCp)Ti(NMe2)3, (MeCp)Ti(NMeEt)3, (MeCp)Ti(NEt2)3, CpHf(NMe2)3, CpHf(NMeEt)3, CpHf(NEt2)3, (MeCp)Hf(NMe2)3, (MeCp)Hf(NMeEt)3, (MeCp)Hf(NEt2)3, 또는 이들의 조합일 수 있다. 본 출원인은, 아민 리간드로 인해 이들 촉매가 질화물 막의 형성에 특히 유용할 수 있다고 생각한다.
예시적인 ML4(M=Ti, Zr, Hf, W) 촉매는 또한 (R’5Cp)MR2를 포함하고 각각의 R은 독립적으로 C1 내지 C4 탄화수소이고 각각의 R’은 독립적으로 H 또는 C1 내지 C4 탄화수소이다. 보다 구체적으로, 촉매는 Cp2ZrMe2, (MeCp)2ZrMe2, (EtCp)2ZrMe2, Cp2TiMe2, (MeCp)2TiMe2, (EtCp)2TiMe2, Cp2HfMe2, (MeCp)2HfMe2, (EtCp)2HfMe2, 및 이들의 조합일 수 있다.
예시적인 ML4(M=Ti, Zr, Hf, W) 촉매는 또한 (R’5Cp)WR2를 포함하고, 여기서 각각의 R은 독립적으로 C1 내지 C4 탄화수소이고 각각의 R’은 독립적으로 H 또는 C1 내지 C4 탄화수소이다. 보다 구체적으로, 촉매는 Cp2WEt2, Cp2WiPr2, Cp2WtBu2, (iPrCp)2WEt2, (iPrCp)2WiPr2, (iPrCp)2WtBu2, (iPrCp)2WH2, (iPrCp)2WMe2, 및 이들의 조합, 바람직하게는 (iPrCp)2WH2 및 (iPrCp)2WMe2일 수 있다.
예시적인 BR3 촉매는 B(페닐)3, B(C6FH4)3, 또는 매우 작은 농도의 B(C6F5)3, 및 이들의 조합, 그리고 바람직하게는 B(페닐)3 또는 B(C6FH4)3일 수 있다.
예시적인 PR3 촉매는 P(톨릴)3, P(Ph)3, 및 이들의 조합을 포함한다.
예시적인 Mx(CO)yLz 촉매는 Co2(CO)8 및 Ru3(CO)12을 포함한다. 다음 예시에 나타낸 바와 같이, Co2(CO)8는 특히 바람직한 촉매이다.
촉매는, 증착 공정과 호환되는 낮은 활성화 온도에서 활성화되도록 선택된다. 본 출원인은, 촉매 활성이 사전 베이킹 과정에서처럼 빨리 시작될 수 있다고 생각한다. 촉매 자체는, 고온(일반적으로 > 200℃)에 도달하면 경화 분위기와의 반응, 열분해 또는/및 막 형성 조성물과의 반응에 의해 경화 공정 중에 결국 파괴된다. 그 결과, 촉매 주 원소의 미량이, 산화물, 질화물 또는 탄화물 형태로 막에 남을 수 있다. 따라서, 주 원소가 타겟 막의 특성에 해가 되지 않는 촉매를 선택하는 데에도 주의를 기울여야 한다. 이러한 이유로, 본 출원인은 알칼리, 알칼라인 및 후기 전이 금속 촉매(예, Na, K, Cu)를 의도적으로 피했다. 4족 촉매는 Si-함유 막 형성 조성물에서 특히 바람직한데, 그 이유는 어떠한 미량 원소도 Si-함유 막 전체에 확산되지 않기 때문이다.
반도체 제조는, 일반적으로 소자의 전기적 성능에 영향을 주지 않도록, SiN 및 SiO와 같은 유전체 막이 금속성 불순물을 특히 트랜지스터 부위 근처에 포함하지 않도록 요구한다. 이와 같이, 촉매는, 산화물 또는 질화물 형태로 실리콘 함유 막에 포함되는 동안에 이동하지 않는 원소를 함유하도록 선택되는 것이 바람직하다.
이러한 목적을 위해, 소자에 남도록 의도된 막(즉, 비희생 막)용 촉매는 바람직하게는 4족, 5족, 6족 원소, 붕소 또는 알루미늄을 함유하도록 선택된다. 하드 마스크, 톤 반전 층, 반사 방지 코팅 등과 같은 희생 막과 금속성 불순물로부터의 막 품질 영향이 적은 비 반도체 응용 분야는 더 다양한 촉매를 선택 사용할 수 있다.
막 형성 조성물에 사용되는 촉매는, 일반적으로 경화 단계(들) 동안에 열에 의해 제공되는 활성화 및 필요한 막을 유도하는 특정 분위기의 조합을 필요로 할 수 있다. 산화물 막의 경우, 분위기는 O2, O3, H2O, H2O2, NO, N2O 중 적어도 하나를 포함해야 한다. 질화물 막의 경우, 분위기는 NH3, 히드라진, 치환된 히드라진, 일차 아민 중 적어도 하나를 포함해야 한다.
산질화물 막은 산화 분위기 하에서 부분 경화(즉, 막에서 Si-N-Si를 Si-O-Si로 부분적으로 변환)하거나 다양한 산화 및 질화 분위기에서 순차적으로 경화하여 얻을 수 있다. 활성화는 또한 UV 경화와 같이 포톤에 의해 제공될 수도 있다.
폴리실란
개시된 Si 함유 막 형성 조성물에 하나 이상의 폴리실란을 포함시킬 수 있다. Si-함유 막 형성 조성물은 약 0.5% wt/wt 내지 약 50% w/w의 폴리실란, 바람직하게는 약 1% wt/wt 내지 약 20% wt/wt를 포함할 수 있다.
폴리실란은, 선형 또는 분지형 화합물의 경우 SinH2n+2와 같은 퍼-하이드리도 폴리실란, 및 m개의 고리를 갖는 화합물의 경우 SinH2n+2-2m 화학식일 수 있고, n≥2이고 m≥1이다. 보다 구체적으로, n은 약 4 내지 약 50, 바람직하게는 약 10 내지 약 40, 보다 바람직하게는 약 15 내지 약 30의 범위일 수 있다.
대안적으로, 폴리실란은 SinH2n+1-m(NR2)m과 같이 치환된 폴리실란일 수 있고, n≥2, m≥1이고, 각각의 R은 독립적으로 H 또는 C1-C4 탄화수소이다. 예를 들어, 폴리실란은, 미국 특허 제9,382,269호에 개시된 Si3H7-NiPr2일 수 있다.
폴리실란은 Si-함유 막 형성 조성물에서 (SiH1 + SiH2)/SiH3의 비율 및 Si/N의 비율을 증가시키는 데 도움이 된다.
Figure 112020096914263-pct00012
퍼-하이드리도 폴리실란은 Hazeltine의 미국 특허 제8,163,261호 또는 Wieber등의 미국 특허 출원 공개 제2012/291665호에 개시된 바와 같이 합성될 수 있다. 치환된 폴리실란은 Sanchez등의 PCT 공개 제WO2015/048237호에 개시된 바와 같이 합성될 수 있다.
Si-함유 막 형성 조성물에 폴리실란을 첨가하면, 사전 베이킹된 막의 단위 부피당 실리콘 원자의 평균 밀도가 증가된다. 막이 반응성(산화 또는 질화) 분위기에서 경화되는 경우, 이론적인 최종 Si 원자 밀도는 실리콘 산화물 또는 실리콘 질화물의 밀도이며, 이는 사전 베이킹된 막의 Si 원자 밀도보다 낮다. 이처럼, 일체의 실리콘 손실 없이 진행되는 이상적인 경화 공정은, 실제로 O 또는 N을 포함하기 때문에 음의 수축(확장)을 갖는다. 이 현상은 실시예 4 및 5에서 확인되었으며, 여기서 Si-함유 막 형성 조성물에 폴리실란을 첨가하면 일부 질량 손실을 부분적으로 상쇄하고 실제로 막의 수축을 감소시킴이 보여진다.
DHC 촉매의 존재는, PHPS와 폴리실란 모두에서 Si-H와 함께 작동하기 때문에 시너지 효과가 있다.
이론에 구속되지 않지만, 본 출원인은 알킬아미노 기와 같은 반응성 기에 의한 퍼-하이드리도 폴리실란의 부분 관능화(즉, SinH2n+2를 SinH2n+2-m(NR2)m으로 대체)가 스핀 코팅 과정에서 막에 폴리실란을 유지하는 데 도움이 되고 용매에 의한 혼입을 방지할 수 있다고 생각한다. 보다 구체적으로, NR2 작용기는 폴리실란을 NH-부재 PHPS 근처에 남도록 하고 용매 스핀 코팅 공정 중에 웨이퍼로부터의 손실을 최소화하는 데 도움이 될 수 있다.
저장
Si-함유 막 형성 조성물은, 약 0℃ 내지 약 실온 범위의 온도에서 건조된 유리 또는 스테인레스 강 캐니스터에서 불활성 분위기 하에 저장될 수 있다. 필요한 경우, 스테인리스 강 캐니스터는 Si-함유 막 형성 조성물과의 임의의 반응을 최소화하기 위해 코팅 및/또는 부동태 처리될 수 있다. Si-함유 막 형성 조성물은 촉매를 포함하기 때문에, H2 또는 SiH4가 부주의로 새는 것을 방지하기 위해 안전 밸브 어셈블리가 필요할 수 있다.
코팅 적용
개시된 Si-함유 막 형성 조성물은 또한, 전자기기 및 광학 산업에서 사용되는 실리콘 질화물, 실리콘 산화물, 또는 실리콘 산질화물 막을 형성하기 위해 코팅 증착 공정에서 사용될 수 있다. 실리콘 산화물 막은 O2, O3, H2O, H2O2, NO, N2O, 및 이들의 조합 중 적어도 하나를 함유한 산화성 분위기 하에서 증착된 막의 열 처리로부터 얻어진다. 개시된 Si-함유 막 형성 조성물은 또한, 항공기, 자동차, 군용, 또는 철강 산업, 또는 고온을 견딜 수 있는 강력한 물질을 필요로 하는 임의의 다른 산업에서 사용하기 위한 보호 코팅 또는 사전 세라믹 물질(즉, 질화물 및 산질화물)을 형성하기 위해 사용될 수 있다.
Si-함유 막은 당해 분야에 공지된 임의의 코팅 방법을 이용하여 증착될 수 있다. 적합한 코팅 방법의 예는 스핀 코팅, 딥 코팅, 스프레이 코팅, 섬유 스피닝, 압출, 몰딩, 주조, 함침, 롤 코팅, 전사 코팅, 슬릿 코팅 등을 포함한다. 비 반도체 응용 분야에 사용하기 위해, 개시된 Si-함유 막 형성 조성물은 또한, 세라믹 충진제, 예컨대 BN, SiN, SiCN, SiC, Al2O3, ZrO2, Y2O3, 및/또는 Li2O 분말을 함유할 수 있다. 코팅 방법은, 적합한 막 두께 조절과 갭필 성능을 제공하기 위해, 바람직하게는 스핀 코팅이다.
개시된 Si-함유 막 형성 조성물은, 기판의 중심에 직접적으로 도포된 다음, 회전에 의해 전체 기판으로 퍼질 수 있거나, 분무에 의해 전체 기판에 적용될 수 있다. 기판의 중심에 직접적으로 도포되는 경우, 기판은 기판 위에 조성물을 균일하게 분포시키기 위해 원심력을 이용하도록 회전될 수 있다. 당업자는, Si-함유 막 형성 조성물의 점도가 기판의 회전 필요성 여부를 결정하는 데 기여할 것이라는 것을 인지할 것이다. 대안적으로, 기판은 개시된 Si-함유 막 형성 조성물에 침지될 수 있다. 최종 막은 실온에서, 막의 용매 또는 휘발성 성분을 증발시키기 위한 시간 동안 건조될 수 있거나, 강제 건조 또는 베이킹에 의해, 또는 열 경화 및 조사, 예컨대 이온 자극, 전자 조사, UV 및/또는 가시광 조사 등을 포함하는 임의의 적합한 공정 중 하나 또는 조합의 이용에 의해 건조될 수 있다.
스핀-온 Si-함유 막 형성 조성물은 또한, 광학 응용 분야에 적합한 실리콘 산질화물 투명막의 형성을 위해 사용될 수 있다.
스핀 코팅, 딥 코팅 또는 스프레이 코팅용으로 사용되는 경우, 개시된 Si-함유 막 형성 조성물은 수분 또는 산소 배리어로서, 또는 디스플레이, 발광 소자 및 광전지 소자에서 패시배이션 층으로서 유용한, 실리콘 산화물 또는 실리콘 질화물 배리어 층의 형성을 위해 사용될 수 있다.
반도체 응용 분야에서, Si-함유 막 형성 조성물은, 희생층, 예컨대 에칭 하드 마스크, 이온 주입 마스크, 반사 방지 코팅, 톤 역전 층을 형성하기 위해 사용될 수 있다. 대안적으로, Si-함유 막 형성 조성물은, 비 희생층("남겨지는" 막), 예컨대 갭필 산화물층, 예비 금속 유전체층, 트랜지스터 응력층, 에칭 정지층, 층간 유전체층을 형성하기 위해 사용될 수 있다,
갭필 응용 분야에서, 트렌치 또는 홀은 대략 3:1 내지 대략 100:1 범위의 종횡비를 가질 수 있다. Si-함유 막 형성 조성물은 통상적으로, 기판 상에 회전되고, 50℃ 내지 200℃에서 용매(들)를 증발시키기 위해 사전 베이킹되고, 결국, 300 내지 900℃ 범위의 온도에서, 통상적으로 O2, O3, H2O, H2O2, N2O, NO를 함유한 산화 분위기에서 기판을 어닐링함으로써 실리콘 산화물로 변환된다. 상기 산화물 품질은 다양한 분위기(산화성 또는 불활성)에서 다단계 어닐링 공정에 의해 개선될 수 있다.
Si-함유 막 형성 조성물의 제조
도 2는 Si-함유 막 형성 조성물의 제조, 실리콘 기판의 제조, 및 스핀 코팅 공정의 단계에 대한 예시적인 공정을 다이어그램으로 나타낸 흐름도이다. 당업자는, 도 2에 제공된 단계보다 적거나 추가적인 단계를, 본원의 교시를 벗어나지 않고 수행할 수 있음을 인지할 것이다. 예를 들어, R&D 환경에서 사용되는 특징 분석 단계는 상업적 작업에서 요구되지 않을 수 있다. 당업자는, 본 공정이 바람직하게는, 원하지 않는 막의 산화를 방지하기 위해 불활성 분위기 하에서 및/또는 막의 입자 오염을 방지하도록 오염 방지를 보조하기 위해, 클린룸에서 수행됨을 추가로 인지할 것이다.
단계 A에서, N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS는 7-10 중량% 혼합물을 형성하기 위해 용매와 혼합된다. 당업계에 공지된 혼합 메커니즘을 사용하여 이들 두 성분을 혼합할 수 있다(예, 기계적 교반, 기계적 진탕 등). 성분에 따라, 혼합물을 27℃에서 약 100℃ 범위의 온도까지 가열할 수 있다. 가열 온도는 항상 사전 베이킹 온도보다 낮게 유지되어야 한다. 특정 성분에 따라, 혼합은 1 분 내지 1 시간 동안 일어날 수 있다.
단계 B에서, 선택적 촉매, 선택적 폴리실란, 또는 둘 모두가 혼합물에 첨가되고 동일한 방식으로 기계적으로 교반될 수 있다. 성분에 따라, 혼합물을 27℃에서 약 100℃ 범위의 온도까지 가열할 수 있다. 특정 성분에 따라, 혼합은 1 분에서 1 시간 동안 일어날 수 있다.
선택적 단계 C에서, 혼합물은, 첨가제와 PHPS 사이의 모든 반응을 평형에 도달시키도록 에이징될 수 있다. 혼합 후, 혼합물은 사용하기 전에 1시간 내지 2 주 동안 에이징될 수 있다. 성분에 따라, 혼합물은 27℃ 내지 약 100℃의 온도 범위에서 에이징될 수 있다. 촉매 함유 조성물의 경우, 촉매와 PHPS는 짧은 시간 동안 부분적으로 반응할 수 있다. 따라서, 조성물을 안정화하기 위해 사용하기 전에 에이징이 권장된다. 초기 에이징 테스트 결과는, 최종 산화물 막의 수축이 더 발생하지 않는 평형에 도달했음을 나타낸다. 당업자는 적절한 에이징 지속 기간을 결정하기 위해 필요한 에이징 테스트를 수행할 수 있다.
단계 B 또는 선택적 단계 C 후에, 혼합물을 여과하여 임의의 입자 또는 기타 고체 함량을 제거할 수 있다. 당업자는, 필터가 Si-함유 막 형성 조성물의 성분과 호환될 수 있어야 함을 인지할 것이다. 폴리테트라플루오로에틸렌(PTFE)은 일반적으로 적합한 여과 재료이다. 필터 크기는 약 0.02 마이크론 내지 약 1 마이크론 범위이다.
당업자는, 혼합을 용이하게 하고 NH 부재, C 부재의 PHPS와 보다 균질한 혼합물을 가능하게 하도록, 용매 또는 용매 중 하나에서 촉매의 사전 블렌딩과 같이, 다른 첨가 순서가 가능함을 또한 인지할 것이다.
기판의 제조
스핀 코팅 공정용 기판을 제조하기 위한 예시적인 공정은, 도 2에 또한 제공된다.
Si-함유 막이 그 위에 증착될 평면 또는 패터닝된 기판은, 단계 1 및 단계 2 그리고 선택적 단계 3a 및 3b에서의 증착 공정을 위해 제조될 수 있다. 고순도 가스 및 용매는 상기 제조 공정에서 사용된다. 가스는 통상적으로 반도체 등급이고, 입자 오염이 없다. 반도체 사용을 위하여, 용매는 입자 부재, 통상적으로 100개 입자/mL 미만(0.5 ㎛ 입자, 보다 바람직하게는 10개 입자/mL 미만)이고 표면 오염을 초래하는 비 휘발성 잔류물이 존재하지 않아야 한다. 50 ppb 미만의 금속 오염(각 원소에 대해, 그리고 바람직하게는 5 ppb 미만)을 갖는 반도체 등급 용매를 권고한다.
단계 1에서, 기판은 실온(대략 20℃ 내지 대략 25℃)에서 대략 60 초 내지 대략 120 초 동안, 그리고 바람직하게는 대략 90 초 동안 아세톤 중에서 초음파 처리된다. 평면 또는 패터닝된 기판은 실온에서 대략 60 초 내지 대략 120 초 동안, 그리고 바람직하게는 대략 90 초 동안 이소프로필 알코올(IPA) 중에서 초음파 처리된다. 당업자는, 이들 단계가 동일한 또는 상이한 초음파 처리기에서 수행될 수 있음을 인지할 것이다. 상이한 초음파 처리기는 더 많은 장치를 필요로 하지만, 더 용이한 공정을 제공한다. 초음파 처리기는, 두 단계 모두에서 기판의 임의의 오염을 방지하기 위해 사용되는 경우, 단계 1과 단계 2 사이에 철저히 세정되어야 한다. 개시된 방법을 위해 적합한 예시적인 초음파 처리기는, Leela Electronics Leela Sonic Models 50, 60, 100, 150, 200, 250, 또는 500, 또는 Branson's B Series를 포함한다.
단계 2에서, 기판은 IPA 초음파 처리기로부터 제거되고 새로운 IPA로 헹궈진다. 단계 4에서, 헹궈진 기판은 불활성 가스, 예컨대 N2 또는 Ar을 사용하여 건조된다.
선택적 단계 3a에서, 단계 2의 기판은, 친수성 표면이 요구되는 경우, 생성된 OH-종결 친수성 표면에 대해 25℃ 및 대기압에서 1 시간 동안 UV-오존으로 처리될 수 있다. 단계 3a는 유기물 오염을 또한 제거한다.
선택적 단계 3b에서, 단계 2의 기판을 25℃에서 1% HF 수용액에 1 내지 2 분 동안 담가 자연적인 산화물 상부층을 에칭하고 소수성 표면이 필요한 경우에 H로 종결된 소수성 표면을 생성한다.
당업자는, 단계 1 및 2 그리고 선택적 단계 3a 및 3B가 예시적인 웨이퍼 제조 공정을 제공하는 것을 인지할 것이다. 다수의 웨이퍼 제조 공정이 있고, 본원의 교시에서 벗어나지 않는다면 이를 이용할 수 있다. 예를 들어, Handbook of Silicon Wafer Cleaning Technology, 3rd Edition, 2017 (William Andrew)를 참조하기 바란다. 당업자는, 적어도 기판 물질 및 요구되는 청결 정도를 기반으로 하여 적절한 웨이퍼 제조 공정을 결정할 수 있다.
기판은, 단계 2, 3a 또는 3b 중 어느 하나 이후에 스핀 코팅 공정으로 진행될 수 있다.
스핀-코팅 공정 예시
도 2의 흐름도는 또한 예시적인 스핀-코팅 공정을 다이어그램으로 나타낸다.
위에서 제조된 기판은 스핀 코터로 이송된다. 적합한 스핀 코팅기 예시는 Brewer Science의 Cee® Precision 스핀 코팅기, Laurell의 650 series 스핀 코팅기, Specialty Coating System의 G3 스핀 코팅기, 또는 Tokyo Electron의 CLEAN TRACK ACT 장비 계열을 포함한다. 단계 4에서, 단계 B 또는 C의 Si-함유 막 형성 조성물은 단계 2, 3a 또는 3b의 기판 상에 분배된다. 웨이퍼 기판은 단계 5에서 회전된다. 당업자는 단계 4 및 단계 5가 순차적으로(정적 모드) 또는 동시에(동적 모드) 수행될 수 있음을 인지할 것이다. 단계 4는 수동 또는 자동 분배 장치(예컨대, 피펫, 시린지, 또는 액체 유량계)를 이용하여 수행된다. 단계 4 및 5가 동시에 수행되는 경우, 초기 스핀 속도는 느리다(즉, 대략 5 rpm 내지 대략 999 rpm, 바람직하게는 대략 5 rpm 내지 대략 300 rpm). 모든 Si-함유 막 형성 조성물이 분배된 후(즉, 단계 4가 정적 또는 동적 모드 중 어느 하나에서 완료되는 경우), 스핀 속도는 대략 1000 rpm 내지 대략 4000 rpm의 범위이다. 웨이퍼는 기판 전체에 걸쳐 균일한 코팅이 달성될 때까지 회전되며, 이는 통상적으로, 대략 10 초 내지 대략 3 분이 소요된다. 단계 4 및 단계 5는 웨이퍼 상에 Si-함유 막을 생성한다. 당업자는, 스핀 코팅 공정의 필수 지속 시간, 가속도 비율, 용매 증발 비율 등이, 타겟 막 두께 및 균일성을 얻기 위해 각각의 새로운 제형에 대한 최적화를 필요로 하는 조절 가능한 파라미터임을 인지할 것이다(예를 들어, University of Louisville, Micro/Nano Technology Center - Spin Coating Theory, October 2013의 문헌을 참조하기 바람).
Si-함유 막이 형성된 후에, 웨이퍼는 단계 6에서 사전 베이킹되거나 소프트 베이킹되어, 스핀-코팅 공정으로부터 PHPS 조성물의 임의의 휘발성 유기 잔류 성분 및/또는 부산물을 제거한다. 촉매의 활성화 온도에 따라, 촉매화는 단계 6에서 또한 시작할 수 있다. 단계 6은 써멀 챔버에서 또는 핫 플레이트 상에서 대략 30℃ 내지 대략 200℃, 바람직하게는 80℃ 내지 150℃ 범위의 온도에서 대략 1 분 내지 대략 120 분 범위의 시간 동안 일어날 수 있다. 예시적인 핫 플레이트는 Brewer Science의 Cee® Model 10 또는 11, 또는 Polos의 정밀 베이크 플레이트를 포함한다.
단계 7에서, 기판은 경화되어 원하는 물질을 생성한다. 3개의 비제한적인 옵션이 도 2에 나타나 있다. 임의의 3개 옵션은 불활성 또는 반응성 가스를 사용하여 수행될 수 있다. 예시적인 불활성 가스는 N2, Ar, He, Kr, Xe 등을 포함한다. 반응성 가스는 막 내에 산소, 질소, 또는 탄소를 도입하기 위해 사용될 수 있다.
막 내에 산소를 도입하는 반응성 가스 예시는 O2, O3, 공기, H2O, H2O2, N2O, NO 등의 산소 함유 가스를 포함한다. O2/Ar 하에서, 경화 온도는 약 400℃ 내지 약 800℃ 범위일 수 있다. Si-함유 막 형성 조성물의 PHPS는 NH가 없고 따라서 O2와 빠르게 반응하여 입자를 형성하지 않기 때문에 O2를 경화 가스로 사용할 수 있다(사전 실시예 2 참조). 대안적으로, 경화는 H2O2에서 약 300℃ 내지 약 500℃ 범위의 온도에서 일어날 수 있다. H2O2는 강력한 산화제이며 트렌치 내로 일관된 Si 산화물 막의 일관성을 허용할 수 있다.
막에 탄소를 도입하는 반응성 가스 예시는, 탄소 함유 가스, 특히 알켄 및 알킨(에틸렌, 아세틸렌, 프로필렌 등)과 같은 불포화 탄소 함유 가스를 포함한다.
막 내에 질소를 도입하는 반응성 가스 예시는, DHC 반응이 진행될 수 있도록 적어도 하나의 N-H 결합을 가져야 한다. C가 완전히 없는 막의 경우, 이는, 경화 가스가 NH3 또는 N2H4를 포함할 수 있음을 의미한다. 대안적으로, C-함유 N-공급원을 사용할 수 있으나, 막 내에 일부 C를 생성할 수 있다. C-함유 N 공급원 예시는, 치환된 히드라진(즉, N2R4, 여기서 각각의 R은 독립적으로 H 또는 C1 내지 C4 탄화수소이고, 단 적어도 하나의 R은 H임)(예, MeHNNH2, Me2NNH2, MeHNNHMe, 페닐 히드라진, t-부틸 히드라진, 2-시클로헥실-1,1-디메틸히드라진, 1-3차-부틸-1,2,2-트리메틸히드라진, 1,2-디에틸히드라진, 1-(1-페닐에틸)히드라진, 1-(2-메틸페닐)히드라진, 1,2-비스(4-메틸페닐)히드라진, 1,2-비스(트리틸)히드라진, 1-(1-메틸-2-페닐에틸)히드라진, 1-이소프로필히드라진, 1,2-디메틸히드라진, N,N-디메틸히드라진, 1-Boc-1-메틸히드라진, 테트라메틸히드라진, 에틸히드라진, 2-벤질리덴-1,1-디메틸히드라진, 1-벤질-2-메틸히드라진, 2-히드라지노피라진), 일차 또는 이차 아민(즉, HxNR3-x, 여기서 각각의 R은 독립적으로 C1 내지 C4 탄화수소이고, x는 1 또는 2임)(예, NMeH2, NEtH2, NMe2H, NEt2H, (SiMe3)2NH, n-부틸아민, 이차-부틸아민, 삼차-부틸아민, 디부틸아민, 디이소프로필아민, N,N-디이소프로필에틸아민, N,N-디메틸에틸아민, 디프로필아민, 에틸메틸아민, 헥실아민, 이소부틸아민, 이소프로필아민, 메틸헥산아민, 펜틸아민, 프로필아민, 피롤리딘 또는 피리미딘과 같은 환형 아민), 에틸렌 디아민(즉, R2N-C2H4-NR2, 여기서 각각의 R은 독립적으로 H이고, C1-C4 탄화수소이고, 단 적어도 하나의 R은 H임)(예, 에틸렌 디아민, N,N’-디메틸렌에틸렌 디아민, 테트라메틸에틸렌 디아민), 피라졸린, 피리딘, 이들의 라디칼, 또는 이들의 혼합물을 포함한다. 원하는 Si-함유 막이 산소를 또한 포함하면, C-함유 N 공급원은 H2N-CxH2x-OH를 포함할 수 있고, 여기서 x=1-4 탄화수소이고 예컨대 에탄올아민이다. 바람직하게, 반응물은 NH3, 이들의 라디칼, 또는 이들의 혼합물이다.
단계 7a에서, 기판은 불활성 또는 반응성 가스 하에, 대략 101℃ 내지 대략 1,000℃, 바람직하게는 대략 200℃ 내지 대략 800℃ 범위의 온도에서 열 경화된다. 퍼니스 또는 급속 열 프로세서가 열 경화 공정을 수행하기 위해 이용될 수 있다. 예시적인 퍼니스는, ThermoFisher Lindberg/Blue MTM 튜브 퍼니스, Thermo Scientific Thermolyne™ 벤치톱 튜브 퍼니스 또는 머플 퍼니스, Inseto 테이블톱 석영 튜브 퍼니스, NeyTech Vulcan 벤치톱 퍼니스, Tokyo Electron TELINDYTM 열 가공 장비, 또는 ASM International ADVANCE® 수직 퍼니스를 포함한다. 예시적인 급속 열 프로세서는 Solaris 100, ULVAC RTP-6, 또는 Annealsys As-one 100을 포함한다.
대안적으로, 단계 7b에서, 기판은 단색 또는 다색 광원을 이용하여 대략 190 nm 내지 대략 400 nm 범위의 파장에서 UV 경화된다. 단계 8b를 수행하기 위해 적합한 예시적인 VUV- 또는 UV-경화 시스템은, Nordson Coolwaves® 2 UV 경화 시스템, Heraeus Noblelight Light Hammer® 10 제품 플랫폼, 또는 Radium Xeradex® 램프를 포함하지만, 이로 제한되지 않는다.
단계 7c의 다른 대안으로, 열 공정 및 UV 공정 둘 모두는, 단계 7a 및 7b에 대해 특정된 동일한 온도 및 파장 기준에서 수행될 수 있다. 열 및 UV 경화는, 동시에 또는 순차적으로 수행될 수 있다. 당업자는, 경화 방법 및 조건의 선택이, 원하는 타겟의 실리콘-함유 막에 의해 결정될 것임을 인지할 것이다.
다른 대안으로, 열 경화 공정은 단계별 방식으로 진행할 수 있다. 보다 구체적으로, 열 경화는 불활성 또는 반응성 가스 하에서, 대략 50℃ 내지 대략 500℃ 범위의 온도에서 대략 10 내지 대략 30 분 범위의 시간 동안 개시될 수 있다. 온도는 대략 50℃ 내지 대략 150℃만큼 증가될 수 있고, 추가적인 10 내지 30 분 동안 유지될 수 있다. 필요한 경우에, 추가적인 증분 온도의 증가를 사용할 수 있다. 대안적으로, 온도는 특정된 승온 속도를 이용하여 증가될 수 있고, 이후에, 특정 온도에서 짧은 시간 동안 유지될 수 있다. 예를 들어, 웨이퍼는 대략 1 ℃/분 내지 대략 100 ℃/분, 바람직하게는 대략 5 ℃/분 내지 대략 40 ℃/분, 및 보다 바람직하게는 대략 10 ℃/분 내지 대략 20 ℃/분의 승온 속도로 가열되는 실온 챔버에 배치될 수 있다. 온도가 요망되는 가열 온도, 예를 들어 대략 100℃ 내지 대략 400℃에 도달하게 되면, 승온은 특정 시간, 예를 들어 대략 5 분 내지 대략 120 분의 시간 동안 정지될 수 있다. 동일하거나 상이한 승온 속도를 이후에 사용해서, 챔버 온도를 다음의 요망되는 가열 온도, 예를 들어 대략 300℃ 내지 대략 600℃까지 증가시키고 다른 특정 시간, 예를 들어 대략 5 분 내지 대략 120 분 범위의 시간 동안 유지되도록 할 수 있다. 이는 제3 가열 온도, 예를 들어 대략 500℃ 내지 대략 1,000℃가 요망되는 경우에 다시 반복될 수 있고, 다른 특정 시간, 예를 들어 대략 5 분 내지 대략 300 분 범위의 시간 동안 유지될 수 있다. 또 다른 대안으로, 경화는 임의의 특정 온도에서 소비되는 임의의 특정 시간 없이 느리고, 일정한 승온 속도(예, 대략 0.5/분 내지 대략 3 ℃/분)를 이용할 수 있다. 경화가 완료되면, 퍼니스는 대략 1 ℃/분 내지 대략 100 ℃/분 범위의 냉각 속도로 실온까지 냉각될 수 있다. 본 출원인은, 임의의 이들 열 경화 단계가 최종 막에서 크랙 및 공극의 형성을 감소시키는 데 도움을 줄 수 있는 것으로 생각한다.
추가적으로, 수축성은 산소 함유 분위기가 필요한 경우에 O2:H2O 비를 조절함으로써 추가 감소될 수 있다. 바람직하게, O2:H2O 비는 대략 6:1 내지 대략 2.5:1의 범위이다. 대안적으로, 수축성은 H2O2:H2O 분위기를 사용하여 감소될 수 있다. 수축성은 다음과 같이 계산될 수 있다: 100% X [1-(하드 베이킹된 막 두께/사전 베이킹된 막 두께)]. 개시된 PHPS 조성물은 대략 -5% 내지 대략 15%, 바람직하게는 대략 0% 내지 대략 10%, 그리고 보다 바람직하게는 대략 0% 내지 대략 5% 범위의 산화물 수축성을 제공할 수 있다. 경화 후, 최종 SiO2 막은 대략 1.8:1 내지 대략 2.1:1 범위의 O:Si 비율을 갖는다. 최종 SiO2 막의 C 함량은 대략 0 원자% 내지 대략 7 원자%, 바람직하게는 대략 0 원자% 내지 대략 5 원자%의 범위이다. Si, O, 및 C 농도는 X-선 광전자 분광법(XPS)에 의해 결정될 수 있다. 1% HF-물 용액을 사용한 SiO2 경화 막의 습식 에칭 속도 비율은, 1100℃에서 성장된 열 산화물과 비교하여 대략 1:1 내지 대략 5:1의 범위이다.
단계 8에서, 경화 막은 표준 분석 툴을 이용하여 특성화된다. 예시적인 툴은 엘립소미터, x-선 광전자 분광법, 원자력 현미경 검사, x-선 형광, 푸리에-변환 적외선 분광법, 주사 전자 현미경 검사, 2차 이온 질량 분석법(SIMS), 러더퍼드 후방산란 분광법(RBS), 응력 분석용 조면계, 또는 이들의 조합을 포함하지만, 이에 제한되지 않는다.
전술한 공정으로부터 유래한 실리콘 함유 막은, SiO2; SiN; SiON; SiOC; SiONC; SiCN; SiMCO를 포함할 수 있고, 여기서 M은 Zr, Hf, Ti, Nb, V, Ta, Al, Ge, B, Nb로부터 선택된다. 당업자는, 적절한 PHPS 조성물 및 공동 반응물의 사리 분별적 선택에 의해 원하는 막 조성물을 얻을 수 있음을 인지할 것이다.
개시된 PHPS 조성물을 사용한 스핀-온 증착은 또한, 대략 1.45의 굴절률을 갖는 실리콘 산화물 막을 생성할 수 있었다. 800℃에서 하드 베이킹된 막에 대한 습식 에칭 속도는, 1100℃에서 하드 베이킹된 열 산화물 경우의 60 A/분에 비해, 90 A/분이었다. 실리콘 산화물 막은, 또한 9:1의 종횡비를 갖는 트렌치에서 우수한 갭필 특성을 나타내었다.
도 3은 부분적으로 수소화된 실리콘 표면 상에 증착된 실리콘 산화물에 대해 반응 공정을 개략적으로 나타낸다. 도 3의 A는, 실리콘 산화물이 그 위에 증착될, 부분적으로 수소화된 실리콘 표면을 나타낸다. 도 3의 B는, Si 함유 막 형성 조성물의 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 표면 상에 증착되고 사전 베이킹 및/또는 초기 경화를 겪은 후의 표면을 나타낸다. 도 3의 C는, 경화 공정 완료 후에 형성된 실리콘 산화물 막을 나타낸다. 현재, 폴리머가 표면에 공유 결합되는 온도가 출원인에게 명확하지 않다.
도 4는, 비 수소화된 실리콘 표면 상에 증착된 실리콘 산화물에 대한 반응 공정을 개략적으로 나타낸다. 전술한 바와 같이, 기판은 HF를 이용해 세정될 수 있고 도 4의 A의 비 수소화된 표면을 생성할 수 있다. 도 4의 B는, Si-함유 막 형성 조성물의 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 표면 상에 증착되고 사전 베이킹 및/또는 초기 경화를 겪은 후의 표면을 나타낸다. 도 4의 C는, 경화 공정 완료 후에 형성된 실리콘 산화물 막을 나타낸다. 또한, 폴리머가 표면에 공유 결합되는 온도가 본 출원인에게 명확하지 않다.
도 5는 부분적으로 수소화된 실리콘 표면 상에 증착된 실리콘 질화물에 대한 반응 공정을 개략적으로 나타낸다. 도 5의 A는 실리콘 산화물이 그 위에 증착될, 부분적으로 수소화된 실리콘 표면을 나타낸다. 도 5의 B는, Si-함유 막 형성 조성물의 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 표면 상에 증착되고 사전 베이킹 및/또는 초기 경화를 겪은 후의 표면을 나타낸다. 도 5의 C는 경화 공정 완료 후에 형성된 실리콘 질화물 막을 나타낸다. 현재, 폴리머가 표면에 공유 결합되는 온도가 본 출원인에게 명확하지 않다.
도 6은 비 수소화된 실리콘 표면 상에 증착된 실리콘 질화물에 대한 반응 공정을 개략적으로 나타낸다. 전술한 바와 같이, 기판은 HF를 이용해 세정될 수 있고 도 6의 A의 비 수소화된 표면을 생성할 수 있다. 도 6의 B는, Si-함유 막 형성 조성물의 N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS가 표면 상에 증착되고 사전 베이킹 및/또는 초기 경화를 겪은 후의 표면을 나타낸다. 도 6의 C는 경화 공정 완료 후에 형성된 실리콘 질화물 막을 나타낸다. 또한, 폴리머가 표면에 공유 결합되는 온도가 본 출원인에게 명확하지 않다.
현재, 수축 제어의 주요 방법은, 반응 온도/압력/시간, 촉매 활성, 전구체 농도 등을 포함한 반응 조건을 최적화하여 합성시 폴리머 가교 결합을 증가시키는 것이다. 그러나, 이들 상호 의존적 조건을 모두 완전히 최적화하는 것은 어렵다. 예를 들어, Okamura등의 미국 특허 제2016/0379817호는, 다양한 조건에서 합성된 다양한 PHPS 폴리머에서 12 내지 15%의 수축성을 여전히 가졌다.
반도체 전자 소자에서 얕은 트렌치 분리 유전체, 사전 금속 유전체, 및 층간 유전체에 적용하기 위해, 개시된 Si-함유 막 형성 조성물은 종래 기술의 NH-함유 PHPS 조성물보다 Si-함유 막의 수축을 덜 제공한다. 본 출원인은, 개시된 Si-함유 막 형성 조성물로부터 생성된 산화물 막이 X선 광전자 분광법(XPS) 또는 에너지 분산 X-선(EDX0 분광법에 의해 측정된 바와 같이, 임의의 특징부의 바닥과 상단 사이에 약 95 내지 100%의 화학량론적 균일성, 바람직하게는 98 내지 100%를 갖을 것이고 생각한다. 본 출원인은, 최종 산화물 막이 조면계에 의해 측정된 대로 약 -160 MPa 내지 약 +160 MPa 범위의 박막 응력 측정을 가질 것이라고 생각한다.
짧은 올리고머가 경화 단계 중에 산화되기 전에 이의 손실(휘발)과 수축이 관련이 있다고 생각하기 때문에, 막의 경화 및 SiO2로의 변환에 대한 방법이 수축을 줄이기 위해 널리 연구되고 있다. 이처럼 짧은 사슬 실리콘 함유 올리고머의 증발 그리고 경화 과정에서의 산화 사이에 경쟁이 있고, 경화 방법(기상 조성물, 승온 속도 등은 최종 막의 수축에 상당한 영향을 미친다.
전체적으로 두 매개 변수는 최종적인 수축을 생성하기 위해 결합된다.
실시예
본 발명의 구현예를 더 나타내기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
사전 실시예 1: N-H 부재, C-부재, 그리고 Si가 풍부한 PHPS의 합성
TSA(30 g, 0.28 mol)를 펜탄(266 mL) 및 촉매 (B(C6F5)3(1.2 mmol, 0.7 g)의 현탁액에 첨가하였다. 반응 혼합물을 실온에서 1.5 시간 동안 교반하였다. 이후에, 드라이아이스/IPA 욕조를 이용하여 반응기를 -78℃까지 냉각시키고, 휘발성 물질(주로, 실란)을 -196℃에서 스테인리스강 렉쳐 병(sslb) 내로 냉동트랩핑하였다. 이후에, 반응기를 불활성 분위기에서 개방하고, 2 mL의 TEA를 투명한 용액에 첨가하여 반응을 ??칭시켰다. 탁한 최종 혼합물을 필터 종이 위로 여과하여 백색 고형물(0.25 g)을 수득하였다. 이후에, 무색의 투명한 펜탄 용액을 증류하였다. 휘발성 물질을 제거한 후에, 투명한 무색의 점성 오일을 수득하였다(18.5 g). 고형물을 FTIR로 분석하여 고형물이 촉매와 억제제의 부가물임을 확인하였다. 오일 PHPS 반응 생성물을 GC, GPC, FTIR 및 TGA 분석하였다.
도 7은 톨루엔 중에 희석된 오일의 GC 스펙트럼이다. 미량의 펜탄, 트리에틸아민(TEA), 및 비스(디실릴아미노)실란(BDSASI)이 관찰되었다(삽도).
도 8은 휘발성 물질이 제거된 후의 오일의 FTIR 스펙트럼이다. 1350 cm-1에서의 날카로운 피크는 실리콘 그리스로 지정되었다. 미량의 펜탄은 약 2900 cm-1에서 C-H 신장을 나타내었다.
계산된 SiH2:SiH3 비율은 1.8이었고, SiH3보다 SiH2가 더 많음을 나타낸다. 예상되는 바와 같이, 실시예 8 및 실시예 9와 비교하면 이 실시예의 추가적인 반응 시간은 PHPS 반응 생성물에서 더 많은 가교 결합을 생성한다.
Si:N 비율은 Mn에 기반하여 1.97로 계산된다.
GPC 결과는 2150의 Mn 및 6390의 Mw를 나타낸다. 최종 다분산도 지수(PDI) 3.0은 넓은 올리고머 크기 분포를 나타낸다.
사전 실시예 2: PHPS 제형의 공기 안정성
톨루엔(N-H 부재) 중 10 중량% PHPS 제형 5 mL를 질소 충전 글로브 박스에서 적가 깔대기 안으로 로딩하였다. 10 중량% PHPS 제형은, 1 시간 5 분의 총 반응 시간 동안 톨루엔 중의 30 g의 TSA 및 0.25 몰%의 B(C6F5) 촉매의 역첨가를 이용하여 합성된 PHPS 생성물을 사용하였다. PHPS 생성물은 50,000의 Mw, 7200의 Mn, 및 6.9의 GPC를 가졌다. 깔대기를 밀봉하고, 공기 안정성 시험을 위해 가스배출 후드로 이를 옮겼다. 깔대기의 PHPS 제형을 페트리 디쉬 내에 서서히 첨가하였다. 상기 제형의 외관의 임의의 변화를 30 분 동안 관찰하였고, 비디오 카메라로 기록하였다.
비교를 위해, 상업적으로 입수 가능한 NH-함유 PHPS 제형 5 mL를 동일한 조건 하에서 제조하고 시험하였다. 페트리 디쉬에 첨가하기 전에, 두 제형 모두는 맑았다(즉, 투명).
가스배출 후드에서 주변 공기에 30 분 직접 노출한 후, N-H 부재 PHPS 제형은 맑고 투명하게 남았다. 시간에 따라, 상기 제형은 점성을 갖게 되고, 결국 용매 증발로 인해 투명한 고체로 변하였다.
매우 대조적으로, 상업적으로 입수 가능한 N-H 함유 PHPS 제형은 공기 노출 5분 내에 탁한 백색으로 변하였고, 결국 30 분 후 백색 고형물로 변하였다. 이러한 차이는, NH-부재 PHPS 제형이 NH 기를 갖는 대응물보다 더욱 공기에 안정적임을 나타낸다.
실시예 1: Zr-함유 가교 결합 촉매를 갖는 PHPS 및 고온 하드 베이킹을 사용한 산화물 막의 형성
2 중량%의 트리스(디메틸아미노)시클로펜타디에닐 지르코늄 촉매[(C5H5)Zr[N(CH3)2]3]를 톨루엔 중 7 중량% NH-부재 PHPS 제형에 첨가하였다. 촉매의 중량%는 아래와 같이 계산되었다: 100% X (촉매 중량)/ (톨루엔 중 PHPS 폴리머 중량).
NH-부재 PHPS는 사전 실시예 1의 합성법과 유사하게 합성되었으나, 단 톨루엔을 용매로서 사용하고 절반의 촉매와 TEA ??칭제를 사용하고 반응 혼합물을 실온에서 2 시간 동안 교반시켰다. 최종 NH-부재 PHPS 폴리머 오일은 Mw가 870,000이고 Mn은 24,840이었다. 최종 NH-부재 PHPS 폴리머 오일은 Mw가 870,000이고 Mn은 24,840이었다.
촉매를 첨가한 후, 0.1 내지 0.2 mL의 PHPS 제형을 N2가 채워진 글러브 박스에서 1 분 동안 1500 rpm으로 1” 정사각형 Si 웨이퍼에 스핀코팅하였다. Si 웨이퍼에 형성된 PHPS 막을 글러브 박스에서 3 분 동안 150℃의 핫 플레이트에서 사전 베이킹하였다. 글러브 박스에서 웨이퍼를 제거하고 엘립소미터를 사용하여 막 두께를 측정했다.
웨이퍼를 튜브 퍼니스에 로딩하고 대기압에서 20% 증기, 16% O2 및 64% N2를 사용하여 800℃에서 1 시간 동안 하드 베이킹했다. 하드 베이킹 후 (표 1의 막 #1), 실리콘 산화물 막 두께를 다시 측정하여 하드 베이킹된 막 두께를 얻었으며 수축은 다음과 같이 계산되었다: 100% X [1-(하드 베이킹된 막 두께/사전 베이킹된 막 두께)].
이 과정은 동일한 PHPS 제형을 촉매와 혼합한 후 7 일차와 14 일차에 반복되었다. 막 수축 및 기타 매개 변수는 표 1에 나열되어 있다.
상기 막의 푸리에 변환 적외선(FTIR) 스펙트럼을 얻었다. 도 9는 4개 막의 비교 FTIR 스펙트럼으로, 대략 3200 내지 3500 파수에서 NH 피크를 나타내지 않는다.
촉매가 도핑된 제형으로 코팅된 3개의 막은 모두, 촉매 없이 동일한 PHPS 제형으로 코팅된 기준 막과 비교하면 하드 베이킹 이후에 수축이 감소됨을 나타낸다. 또한, 제형을 에이징함에 따라 수축이 감소하는데, 이는 폴리머 사슬 사이의 촉매 가교 결합이 시간 의존적 반응임을 시사한다. 표 1은, 수축이 7일차(13.0%)와 14일차(12.9%) 사이에서 감소를 멈추는 것을 또한 나타낸다.
Figure 112020096914263-pct00013
FTIR 스펙트럼(도 9)과 XPS 데이터(표 1) 모두, 막 #1 내지 #3은 C와 N이 없고 화학량론적 SiO2에 매우 가까운 SiO1.9의 화학 조성을 갖음을 보여준다.
실시예 2: Ti-함유 가교 결합 촉매를 갖는 PHPS 및 고온 하드 베이킹을 사용한 산화물 막의 형성
0.5 몰%의 테트라키스(디에틸아미노)티타늄(Ti[NEt2]4) 촉매를 실시예 1의 톨루엔 중 동일한 7 중량% NH-부재 PHPS 제형에 첨가했다. 촉매로 도핑된 이러한 제형에 대해 실시예 1과 동일한 공정을 수행하였으며, 그 결과를 표 2에 나열하였다. 데이터는 (C5H5)Zr[N(CH3)2]3과 유사하게 Ti[NEt2]4가 PHPS에 대한 사슬간 가교 결합을 촉진할 뿐만 아니라 막 수축을 줄일 수 있음을 보여준다.
Figure 112020096914263-pct00014
상기 막의 푸리에 변형 적외선(FTIR) 스펙트럼을 또한 얻었다. 도 10은 4개 막의 비교 FTIR 스펙트럼으로, 대략 3200 내지 3500 파수에서 NH 피크를 나타내지 않는다.
FTIR(도 10)과 XPS 데이터(표 2) 모두, 막 #4 내지 #6은 C와 N이 없고 화학량론적 SiO2에 매우 가까운 SiO1.9의 화학 조성을 갖음을 보여준다.
실시예 3: 가교 결합 촉매를 갖는 PHPS 및 저온 하드 베이킹을 사용한 산화물 막의 형성
2 중량%의 트리스(디메틸아미노)시클로펜타디에닐 지르코늄 촉매[(C5H5)Zr[N(CH3)2]3]를 실시예 1의 톨루엔 중 동일한 7 중량% NH-부재 PHPS 제형에 첨가했다. PHPS 폴리머는 Mw가 870,000이다. 촉매의 중량%는 아래와 같이 계산되었다: 100% X (촉매 중량)/ (톨루엔 중 PHPS 폴리머 중량).
0.1 내지 0.2 mL의 PHPS 제형을 N2가 채워진 글러브 박스에서 1 분 동안 1500 rpm으로 1” 정사각형 Si 웨이퍼에 스핀코팅하였다. Si 웨이퍼에 형성된 PHPS 막(0 일차)을 글러브 박스에서 3 분 동안 150℃의 핫 플레이트에서 사전 베이킹하였다. 글러브 박스에서 사전 베이킹된 막을 제거하고 엘립소미터를 사용하여 막 두께를 측정했다.
사전 베이킹한 막을 튜브 퍼니스에 로딩하고 대기압에서 10% 과산화수소, 33% 증기 및 57% N2를 사용하여 400℃에서 3시간 동안 하드 베이킹했다. 하드 베이킹 후, 막 두께를 다시 측정하여 하드 베이킹된 막 두께를 얻었으며 수축은 다음과 같이 계산되었다: 100% X [1-(하드 베이킹된 막 두께/사전 베이킹된 막 두께)]. 결과는 표 3에 나타나 있다.
2 중량%의 테트라키스(디에틸아미노)티타늄(Ti[NEt2]4) 촉매를 실시예 1의 톨루엔 중 동일한 7 중량% NH-부재 PHPS 제형에 첨가했다. 촉매로 도핑된 이러한 제형에 대해 전술한 동일 공정 및 하드베이킹 조건을 수행하였으며, 그 결과를 표 3에 나열하였다.
2 중량%의 코발트 카보닐 촉매(Co2(CO)8)를 실시예 1의 톨루엔 중 동일한 7 중량% NH-부재 PHPS 제형에 첨가했다. 촉매로 도핑된 이러한 제형에 대해 전술한 동일 공정 및 하드 베이킹 조건을 수행하였으며, 그 결과를 표 3에 나열하였다.
상기 막의 FTIR 스펙트럼을 얻었다. 도 11은 4개 막의 비교 FTIR 스펙트럼으로, 대략 3200 내지 3500 파수에서 NH 피크를 나타내지 않는다.
표 3은, 촉매 없이 기준 PHPS 단독 막에 저온 하드 베이킹 방법을 사용하여 10% 미만의 수축이 달성되었음을 보여준다. 보다 중요한 것은, 3개의 촉매-함유 제형 모두는 막 수축이 감소된 것을, 특히 Co2(CO)8를 갖는 막의 경우에 나타낸다. 이들 결과는, 촉매-함유 PHPS 제형을 코팅하고 저온 경화 방법을 사용하여 하드 베이킹하면, 매우 낮은 수축성을 달성할 수 있음을 시사한다.
Figure 112020096914263-pct00015
FTIR(도 7)과 XPS 데이터(표 3) 모두, 막 #7 내지 #9는 C와 N이 없고 화학량론적 SiO2에 매우 가까운 SiO1.9의 화학 조성을 갖음을 보여준다.
실시예 4: 폴리실란을 갖는 PHPS 및 고온 하드 베이킹을 사용한 산화물 막의 형성
톨루엔 중 7 중량%의 폴리실란 제형을 실시예 1의 톨루엔 중 동일한 7 중량%의 N-H 부재 PHPS 제형과 부피 비율 1:1로 혼합하였다. 폴리실란은 Mw가 2500이다. 혼합 후, 0.1 내지 0.2 mL의 혼합 제형을 N2가 채워진 글러브 박스에서 1 분 동안 1500 rpm으로 1” 정사각형 Si 웨이퍼에 스핀코팅하였고, 막은 실시예 1에 전술한 동일 방식으로 처리되었다. PHPS 단독 제형과 폴리실란으로 혼합된 제형의 막 수축을 비교하기 위해, 세 가지 상이한 하드 베이킹 온도를 사용했다. 표 4에 나열된 막 성능은, 폴리실란을 추가하면 막 수축이 최대 3.2%까지 감소함을 보여준다. XPS 데이타는 이들 막이 C와 N이 없고, 화학량론적인 SiO1.9-2.0 화학 조성을 갖음을 보여준다.
Figure 112020096914263-pct00016
실시예 5: 폴리실란을 갖는 PHPS 및 저온 하드 베이킹을 사용한 산화물 막의 형성
톨루엔 중 7 중량%의 폴리실란 제형을 실시예 1의 톨루엔 중 동일한 7 중량%의 N-H 부재 PHPS 제형과 부피 비율 1:1로 혼합하였다. 폴리실란은 Mw가 2500이다. 혼합 후, 0.1 내지 0.2 mL의 혼합 제형을 N2가 채워진 글러브 박스에서 1 분 동안 1500 rpm으로 1” 정사각형 Si 웨이퍼에 스핀코팅하였다. 최종 막은 실시예 4에 설명된 바와 동일한 방식으로 처리되었다. 표 5에 나열된 막 성능은, 폴리실란을 첨가하면 막 수축을 약 2% 정도 감소시킬 수 있음을 보여준다. XPS 데이타는 이들 막이 C와 N이 없고, 준 화학량론적인 SiO2 화학 조성을 갖음을 보여준다.
Figure 112020096914263-pct00017
실시예 6: 촉매와 폴리실란을 갖는 PHPS 및 저온 하드 베이킹
디이소프로필아민 중 10 중량% 폴리실란 제형을 실시예 1의 톨루엔 중 7 중량% NH-부재 PHPS 제형과 혼합하여 1/1 w/w PHPS/폴리실란 제형을 제조하였다. 폴리실란은 Mw가 554이고 Mn은 509이다. 2 중량%의 Co2(CO)8 촉매를 이 PHPS/폴리실란 제형에 첨가하였다. 그 다음 PHPS/폴리실란/ Co2(CO)8 제형을 200 nm PTFE 시린지 필터를 통해 여과하였다. 이 제형의 0.1 내지 0.2 mL를 N2가 채워진 글러브 박스에서 1 분 동안 1500 rpm으로 1” 정사각형 Si 웨이퍼에 스핀코팅하였다. Si 웨이퍼에 증착된 막을 글러브 박스에서 3 분 동안 150℃의 핫 플레이트에서 사전 베이킹하였다. 글러브 박스에서 사전 베이킹된 막을 제거하고 엘립소미터를 사용하여 막 두께를 측정했다. 사전 베이킹된 막을 튜브 퍼니스에 로딩하고 대기압에서 10% 과산화수소, 33% 증기 및 57% N2를 사용하여 400℃에서 3 시간 동안 하드 베이킹했다. 하드 베이킹 후, 막 두께를 다시 측정하여 하드 베이킹된 막 두께를 얻었으며 수축은 다음과 같이 계산되었다: 100% X [1-(하드 베이킹된 막 두께/사전 베이킹된 막 두께)]. 결과는 표 6에 나타나 있다.
Figure 112020096914263-pct00018
실시예 7: PHPS 제형에서의 촉매 안정성
폴리머 가교 반응이 발생하는 데 시간이 걸리기 때문에, PHPS 제형에서 촉매의 안정성은 중요하다. 따라서, 촉매와 PHPS 폴리머 사이에 입자 생성 반응이 발생하지 않거나 촉매가 제형의 겔화를 유도하는지 확인하는 것이 중요하다.
2 중량%의 트리스(디메틸아미노)시클로펜타디에닐 지르코늄 촉매((C5H5)Zr[N(CH3)2]3)를 실시예 1의 톨루엔 중 동일한 7 중량% NH-부재 PHPS 제형 5 mL에 첨가하였다. 비교로서, 0.5 몰% 촉매를 헵탄 중 10 중량% 상용 NH 함유 PHPS 제형 5 mL에 첨가하였다. 이들 두 가지 촉매 함유 제형의 광학적 선명도는 눈과 디지털 카메라로 모니터링되었다.
NH-함유 및 NH-부재 PHPS 막(사전 베이킹됨)의 FTIR 스펙트럼은 도 12에 나타나 있다. 이들 결과는, 촉매가 NH-부재 PHPS와 호환되는 반면 NH-함유 PHPS와 반응하여 즉시 노란색 침전물을 생성함을 보여준다. 이들 결과는 NH-부재 PHPS가 종래 기술의 NH-함유 PHPS보다 더 나은 촉매 안정성 및 호환성을 제공함을 확인시킨다.
테트라키스(디메틸아미도)티타늄(Ti[NEt2]4), 코발트 카보닐(Co2(CO)8), 테트라키스(트리메틸실록시)티타늄(Ti(O-TMS)4), 알루미늄 아세틸아세토네이트(Al(acac)3), 및 트리스(디메틸아미도)알루미늄(Al[NMe2]3)의 추가적인 촉매 시험을 해서 측정하였다. 표 7은, NH-부재 PHPS 제형과 NH-함유 PHPS 종래 제형에서 이들의 반응성과 안정성을 제공한다.
Figure 112020096914263-pct00019
이들 결과는, 1) 유기금속 촉매가 NH-부재 PHPS와 호환되는 반면, 대부분은 NH-함유 PHPS와 반응하여 즉시 침전물을 생성하고; 2) 폴리실란은 NH-부재 및 NH-함유 PHPS와 호환됨을 보여준다. 사실상, 모든 아미노-함유 촉매는, N-H 함유 PHPS와 반응하여 침전물을 형성하고 조성물을 사용할 수 없게 만든다. 전반적으로, NH-부재 PHPS는 종래의 NH-함유 PHPS보다 더 나은 추가 안정성과 호환성을 제공한다.
실시예 8: PHPS 제형에서의 폴리실란 안정성
NH-부재 또는 NH-함유 PHPS와 폴리실란과의 반응성은, 실시예 1의 톨루엔 중 7 중량% NH-함유 PHPS 제형이나 헵탄 중 10 중량%의 상용 NH-함유 PHPS 제형과, 디이소프로필아민 중 10 중량%의 폴리실란 제형을 혼합하여 테스트하였다. PHPS와 폴리실란 사이의 최종 중량 비율은 1/1이다. 혼합 후 용액의 임의의 광학적 또는 상 변화는 눈으로 모니터링하고 디지털 카메라로 기록했다. 관찰 결과는 표 7의 8행에 나열되어 있다.
또 다른 구현예에서, PHPS/폴리실란 혼합 제형에서 촉매의 반응성을 테스트 하였다. Co2(CO)8 촉매를 선택하였고, 그 이유는 NH-부재 PHPS에 대해 표 3에 최소 수축을 만드는 것을 보조하기 때문이다. 2 중량%의 Co2(CO)8를 톨루엔/디이소프로필아민 중 NH-부재 PHPS/폴리실란 제형(1/1 중량) 2 mL에 첨가하였다. 비교로서, 헵탄/디이소프로필아민 중 NH 함유 PHPS/폴리실란 제형(1/1 중량) 2 mL에 2 중량%의 Co2(CO)8를 첨가하여 유사한 테스트를 수행했다. 관찰 결과는 표 7의 9행에 나열되어 있다.
실시예 9: 스핀 온과 열적 어닐링에 의한 SiN 막의 형성
NH-부재 PHPS는 사전 실시예 1의 합성법과 유사하게 합성되었으나, 단 톨루엔을 용매로서 사용하고 절반의 촉매와 TEA ??칭제를 사용하고 반응 혼합물을 실온에서 2 시간 동안 교반시켰다. 최종 NH-부재 PHPS 폴리머 오일은 Mw가 870,000이었고 Mn은 24,840이었다.
NH-부재 PHPS 폴리머를 톨루엔에 녹였다(10 중량%). 이어서, 상기 용액을, 톨루엔 중 퍼하이드로폴리실라잔 100 부당 1 중량부 촉매로 Co2(CO)8 또는 Ru3(CO)12와 혼합하였다. 혼합물을, 1500 rpm의 스핀 속도로 스핀 코터를 사용하여 실리콘 기판 상에 코팅하였다. 최종 막을 핫 플레이트를 사용하여 3 분 동안 150℃에서 N2 하에 사전 베이킹했다. 실리콘 웨이퍼 상의 폴리머를 종래 수평 튜브 퍼니스에서 NH3 내 7 토르에서 90 분 동안 하드 베이킹했다. 퍼니스의 온도를 10 ℃/분의 승온 속도로 실온에서 600℃까지 승온시켰다.
경화 후, IR 스펙트럼을 측정하였다. FTIR 결과는 도 13에 나타나 있다. 890 파장(cm-1)에서 Si-N에 의한 흡수와 3350에서 N-H에 의한 흡수가 확인되었다. Si-N 신호는 증가하고 동시에 Si-H 신호는 감소한다. 이것은, NH3의 N-H와 PHPS의 Si-H 사이의 DHC 반응이, 막에 N을 추가함을 확인시켜 준다. 볼 수 있는 바와 같이, Co2(CO)8을 사용하여 형성된 막은, N-H 신호가 가장 높다. 대조적으로, PHPS 및 Ru3(CO)12 PHPS 제형은 더 작은 N-H 신호를 갖는다. 이것은, 더 적은 N이 최종 막에 혼입되기 때문에 가장 높은 수축을 갖는 막이 가장 낮은 N-H 신호를 갖는다는 것을 입증한다.
막 두께 및 굴절률(RI)을 엘립소미터로 측정하였다. 하기 표 8은, 촉매 유무에 따른 결과 및 두 가지 상이한 탈수소 결합 촉매에 대한 결과를 제공한다.
Figure 112020096914263-pct00020
이론에 얽매이지 않지만, 본 출원인은, SiN 막의 경우에 탈수소 결합(DHC) 촉매가 어닐링 단계 동안 광범위한 수축을 방지하는 데 가장 적합한 촉매라고 생각한다. 탈수소 결합 촉매는, DHC 반응 후 경화 분위기에서 막 안으로 N의 삽입을 선호한다: Si-H (막) + H-N= (증기) + 촉매 → Si-N= + H2.
본 발명의 구현예가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어나지 않고, 당업자에 의해 이들의 변형이 이루어질 수 있다. 본원에 기술된 구현예는 단지 예시적인 것이며 제한적이지 않다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 이는 본 발명의 범주 내이다. 이에 따라, 보호 범위는 본원에 기술된 구현예로 제한되지 않고, 단지 하기 청구범위에 의해서만 제한되며, 청구범위는 청구항의 주제의 모든 균등물을 포함할 것이다.

Claims (62)

  1. a) 촉매 및/또는 폴리실란; 및
    b) N-H 부재 및 C-부재 퍼하이드로폴리실라잔(상기 퍼하이드로폴리실라잔은 332 달톤 내지 100,000 달톤 범위의 분자량을 갖고 화학식 [-N(SiH3)x(SiH2-)y](여기서, x+y=2일 때 x=0, 1, 또는 2, 및 y=0, 1, 또는 2; 및 x+y=3일 때 x=0, 1 또는 2, 및 y=1, 2, 또는 3)를 갖는 N-H 부재 반복 단위를 포함함)을 포함하고,
    상기 N-H 부재는 모든 N 원자의 1% 미만이 N-H 결합을 가지는 것을 의미하고, 상기 촉매는 탈실릴화 결합 촉매, 탈수소 결합 촉매, 및 탈실릴화 결합 촉매와 탈수소 결합 촉매 모두로 이루어진 군으로부터 선택되는, Si-함유 막 형성 조성물.
  2. 제1항에 있어서, 상기 N-H 부재 및 C-부재 퍼하이드로폴리실라잔은 1.5:1 내지 2.5:1 범위의 Si:N 비율을 갖는, Si-함유 막 형성 조성물.
  3. 제1항에 있어서, 상기 N-H 부재 및 C-부재 퍼하이드로폴리실라잔은 -Si(-)(H)-를 갖지 않고, SiH2:SiH3 비가 1 내지 5 범위인, Si-함유 막 형성 조성물.
  4. 삭제
  5. 제1항에 있어서, 상기 촉매는 화학식 ML4(여기서 M은 4족 또는 5족 원소이고, 각각의 L은 독립적으로 NR2, OR, R'5Cp, R-N-C(R”)=N-R’, 베타-디케토네이트, 이미노케토네이트, 디이미네이트, 및 이들의 조합으로 이루어진 군으로부터 선택되고, R, R’ 및 R”는 독립적으로 H, C1-C4 탄화수소, 또는 트리알킬실릴기임)를 갖는, Si-함유 막 형성 조성물.
  6. 제1항에 있어서, 상기 촉매는 금속 카보닐 또는 금속 카보닐 함유 분자(상기 금속은 Co, Ni, Ru, Fe, Rh, Os로부터 선택됨)인, Si-함유 막 형성 조성물.
  7. 제1항에 있어서, 상기 촉매는 Co2(CO)8인, Si-함유 막 형성 조성물.
  8. 제1항 내지 제3항 및 제5항 내지 제7항 중 어느 한 항에 있어서, 상기 폴리실란을 포함하는 Si-함유 막 형성 조성물.
  9. 제1항 내지 제3항 및 제5항 내지 제7항 중 어느 한 항에 있어서, 상기 Si-함유 막 형성 조성물은 상기 촉매를 포함하는, Si-함유 막 형성 조성물.
  10. 제8항에 있어서, 상기 폴리실란은 화학식 SixH(2x+2)(여기서 x는 4 내지 50 범위임), 또는 화학식 SinH2n+1-m(NR2)m(여기서 각각의 R는 독립적으로 H 또는 C1-C4 탄화수소이고, m은 1 또는 2이고, n은 3 내지 50 범위임)을 갖는, Si-함유 막 형성 조성물.
  11. Si-함유 막을 기판 상에 형성하기 위한 방법으로, 상기 방법은 스핀 코팅, 스프레이 코팅, 딥 코팅, 또는 슬릿 코팅 기술을 통해 제1항 내지 제3항 및 제5항 내지 제7항 중 어느 한 항의 Si-함유 막 형성 조성물을 상기 기판과 접촉시켜 상기 Si-함유 막을 형성하는 단계를 포함하는 방법.
  12. 제11항에 있어서, 상기 기판은 1:1 내지 1:100 범위의 종횡비를 갖는 트렌치를 포함하는, 방법.
  13. 제11항에 있어서, 상기 Si-함유 막을 30℃ 내지 200℃ 범위의 온도에서 불활성 분위기에 노출시키는 단계를 추가로 포함하는 방법.
  14. 제13항에 있어서, 상기 Si-함유 막을 200℃ 내지 1000℃의 온도에서 N-H 함유 분위기에 노출시키는 단계를 추가로 포함하는, 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
KR1020207026405A 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법 KR102400945B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227015930A KR102414008B1 (ko) 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862633195P 2018-02-21 2018-02-21
US62/633,195 2018-02-21
PCT/US2019/019000 WO2019165102A1 (en) 2018-02-21 2019-02-21 Perhydropolysilazane compositions and methods for forming nitride films using same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227015930A Division KR102414008B1 (ko) 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법

Publications (2)

Publication Number Publication Date
KR20200120714A KR20200120714A (ko) 2020-10-21
KR102400945B1 true KR102400945B1 (ko) 2022-05-20

Family

ID=67687982

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207026405A KR102400945B1 (ko) 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법
KR1020227015930A KR102414008B1 (ko) 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227015930A KR102414008B1 (ko) 2018-02-21 2019-02-21 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법

Country Status (8)

Country Link
US (1) US20210102092A1 (ko)
EP (1) EP3755738A4 (ko)
JP (1) JP7069331B2 (ko)
KR (2) KR102400945B1 (ko)
CN (2) CN114773604B (ko)
SG (1) SG11202007793RA (ko)
TW (1) TWI793262B (ko)
WO (1) WO2019165102A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202007789UA (en) 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same
US11450526B2 (en) * 2018-05-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic spin-on coating process for forming dielectric material
JP6783888B2 (ja) * 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
WO2022061410A1 (en) * 2020-09-24 2022-03-31 Nanokote Pty Ltd Coating process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5208284A (en) 1989-12-05 1993-05-04 Ethyl Corporation Coating composition
JP2008305974A (ja) * 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2613787B2 (ja) * 1987-08-13 1997-05-28 財団法人石油産業活性化センター 無機シラザン高重合体、その製造方法及びその用途
DE102004011212A1 (de) * 2004-03-04 2005-09-29 Clariant International Limited Perhydropolysilazane enthaltende Beschichtungen für Metall- und Polymeroberflächen
KR20120099448A (ko) * 2009-10-28 2012-09-10 다우 코닝 코포레이션 폴리실란-폴리실라잔 코폴리머 및 이들의 제조방법 및 용도
JP2013001721A (ja) * 2011-06-13 2013-01-07 Adeka Corp 無機ポリシラザン、これを含有してなるシリカ膜形成用塗布液及びシリカ膜の形成方法
JP5970197B2 (ja) 2012-02-08 2016-08-17 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 無機ポリシラザン樹脂
DE102012214290A1 (de) 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
KR101599952B1 (ko) * 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
CN103910885A (zh) * 2012-12-31 2014-07-09 第一毛织株式会社 制备间隙填充剂的方法、用其制备的间隙填充剂和使用间隙填充剂制造半导体电容器的方法
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
JP6104785B2 (ja) * 2013-12-09 2017-03-29 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ ペルヒドロポリシラザン、およびそれを含む組成物、ならびにそれを用いたシリカ質膜の形成方法
JP6474388B2 (ja) * 2014-04-24 2019-02-27 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 共重合ポリシラザン、その製造方法およびそれを含む組成物ならびにそれを用いたシリカ質膜の形成方法
KR101497500B1 (ko) 2014-06-16 2015-03-03 한국과학기술연구원 파장변환층을 구비하는 태양전지 및 그의 제조 방법
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
SG11202007789UA (en) * 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5208284A (en) 1989-12-05 1993-05-04 Ethyl Corporation Coating composition
JP2008305974A (ja) * 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
R. Hauser et al.. PROCESSING AND MAGNETIC PROPERTIES OF METAL-CONTAINING SICN CERAMIC MICRO- AND NANO-COMPOSITES. J. Mater. Sci.. Springer. 2008년, 43, pp.4042-4049. 1부.*
미국 특허공보 US5208284호(1993.05.04.) 1부.*

Also Published As

Publication number Publication date
KR102414008B1 (ko) 2022-06-27
TWI793262B (zh) 2023-02-21
CN111918905A (zh) 2020-11-10
KR20220066429A (ko) 2022-05-24
EP3755738A4 (en) 2022-03-02
SG11202007793RA (en) 2020-09-29
JP2021513953A (ja) 2021-06-03
KR20200120714A (ko) 2020-10-21
US20210102092A1 (en) 2021-04-08
EP3755738A1 (en) 2020-12-30
CN114773604B (zh) 2023-08-15
CN111918905B (zh) 2022-05-24
WO2019165102A1 (en) 2019-08-29
CN114773604A (zh) 2022-07-22
JP7069331B2 (ja) 2022-05-17
TW201938651A (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
KR102400945B1 (ko) 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 질화물 막을 형성하는 방법
KR102571297B1 (ko) N-h 부재 및 si-풍부 퍼하이드로폴리실라잔 조성물, 이의 합성, 및 적용
JP7033667B2 (ja) ペルヒドロポリシラザン組成物及びそれを使用する酸化物膜の形成方法
KR102516944B1 (ko) 블록 공중합체를 포함하는 실리카질 막 형성 조성물 및 이를 사용하는 실리카질 막의 제조방법
JP7470794B2 (ja) ポリカルボシラザンを使用してlow-k誘電体ケイ素含有膜を形成するための硬化性配合物
US20230095074A1 (en) CURABLE FORMULATIONS FOR FORMING LOW-k DIELECTRIC SILICON-CONTAINING FILMS USING POLYCARBOSILAZANE
JP2023510050A (ja) ポリカルボシラザンおよびそれを含む組成物、ならびにそれを用いたケイ素含有膜の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant