KR101663843B1 - 마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템 - Google Patents

마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR101663843B1
KR101663843B1 KR1020117006728A KR20117006728A KR101663843B1 KR 101663843 B1 KR101663843 B1 KR 101663843B1 KR 1020117006728 A KR1020117006728 A KR 1020117006728A KR 20117006728 A KR20117006728 A KR 20117006728A KR 101663843 B1 KR101663843 B1 KR 101663843B1
Authority
KR
South Korea
Prior art keywords
process chamber
fluid
microelectronic topography
aqueous liquid
topography
Prior art date
Application number
KR1020117006728A
Other languages
English (en)
Other versions
KR20110063774A (ko
Inventor
마크 아이 와그너
제임스 피 드영
토니 알 크뢰커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110063774A publication Critical patent/KR20110063774A/ko
Application granted granted Critical
Publication of KR101663843B1 publication Critical patent/KR101663843B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Abstract

에칭 다음에 피처 붕괴를 방지하는 방법 및 시스템은 린스 액체를 표면상에 배열된 다른 린스 액체의 잔류물들을 갖는 마이크로일렉트로닉 토포그래피에 가하는 단계 및 그 다음에 임계 압력 이상의 유체를 포함하는 가압된 체임버에 토포그래피를 노출시키는 단계를 포함한다. 본 방법은 토포그래피 상에 배열된 액체를 가압된 체임버로부터 플러싱하는 단계와 그 후에 체임버에서 액체 형성을 방지할 정도의 방식으로 체임버를 벤팅하는 단계를 포함한다.

Description

마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템 {METHODS AND SYSTEMS FOR PREVENTING FEATURE COLLAPSE DURING MICROELECTRONIC TOPOGRAPHY FABRICATION}
다양한 실시형태들은 마이크로일렉트로닉 토포그래피를 처리하기 위한 방법들 및 시스템들에 관한 것이고, 보다 상세하게는 피처들을 감싸는 희생층을 에칭한 다음의 피처 붕괴를 방지하기 위한 방법 및 장치에 관한 것이다.
다음의 설명 및 예들은 이 부분에 포함됨을 이유로 종래기술로 인정되는 것은 아니다.
마이크로일렉트로닉 토포그래피 (microelectronic topography) 들의 제조는 디바이스 구조물들의 컴필레이션 (compilation) 을 형성하기 위해 재료들을 퇴적, 패터닝, 및 에칭하는 것을 포함하지만 이에 한정되지는 않는 복수의 프로세스 단계들을 포함한다. 몇몇 실시형태들에서, 전도성 구조물들은 마이크로일렉트로닉 토포그래피의 희생층 내에 형성될 수 있고 그 다음 희생층의 일부 또는 전부가 그 후 제거되어, 전도성 구조물들의 측벽들을 노출시킨다. 그 후에, 마이크로일렉트로닉 토포그래피가 탈이온수로 린싱되어 에칭 용액 및/또는 부산물들을 제거하고 그 후 건조된다. 몇몇 경우에, 린싱하고 건조하는 프로세스들은 전도성 구조물들로 하여금 붕괴되게 (즉, 서로를 향해 넘어지게) 하여 마이크로일렉트로닉 토포그래피를 사용할 수 없게 만든다. 특히 집적 회로들의 처리 속도 메모리 밀도를 증가시키기 위한 지속적인 목표에 따라 구조물들의 폭 치수들이 계속 감소하고 그리고 얻어지는 종횡비가 증가함에 따라, 피처 붕괴의 발생이 증가하고 있는 것으로 보인다. 특히, 몇몇 실시형태들에서, 전도성 구조물들 사이의 물의 표면 장력이 전도성 구조물로 하여금 건조 단계 동안 붕괴되게 할 정도로 전도성 구조물들의 폭 치수들이 감소될 수 있는 것으로 보인다.
그러한 손상을 억제하기 위해, 탈이온수 보다 낮은 표면 장력들을 갖는 린스 용액들이 에칭 프로세스 다음에 마이크로일렉트로닉 토포그래피들을 린싱하는데 사용되어 왔다. 비록 그러한 기술은 몇몇 제조 프로세스들에 대해서는 효과적이지만, 그 기술은 탈이온수를 이용한 린싱과 동일한 손상을 입기 쉽다. 특히, 비록 린스 용액들은 탈이온수 보다 낮은 표면 장력을 갖지만, 용액들은 일정 수준의 표면 장력을 갖고 따라서 특히 피처들의 폭 치수들이 계속 증가함에 따라, 피처 붕괴를 야기하기 쉽다. 또한, 몇몇 에칭 용액들 및/또는 부산물들이 낮은 표면 장력들 (즉, 탈이온수에 비해) 을 갖는 린싱 용액들에서 용해되지 않을 수 있고, 따라서 그러한 린스 용액들은 잔류 에칭 물질을 제거하는데 효과적이지 않을 수 있다. 따라서, 피처 붕괴를 억제하기 위해 종종 사용되는 대안의 기술은 초임계 유체의 환경에서 탈이온수로 린싱된 마이크로일렉트로닉 토포그래피를 건조하는 것인데, 왜냐하면 초임계 유체들은 표면 장력이 없기 때문이다. 비록 그러한 기술은 피처 붕괴의 발생을 감소시키는 것으로 나타나 있지만, 그 피처 붕괴의 발생을 신뢰성있게 제거하는 것은 입증되지는 않았다.
따라서, 특히 구조물들을 감싸는 희생층을 에칭한 다음, 디바이스 구조물들을 린싱하고 건조하는 동안 마이크로일렉트로닉 토포그래피 내에서 피처 붕괴를 신뢰성 있게 방지하는 방법 및 시스템들을 개발하는 것이 유리할 것이다.
다른 목적들 및 장점들은 다음의 상세한 설명을 읽고 첨부 도면들을 참조할 때 분명해질 것이다:
도 1은 마이크로일렉트로닉 토포그래피를 처리하는 예시적인 방법의 흐름도를 나타낸다;
도 2는 희생층 내에 감싸여진 디바이스 구조물들을 갖는 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 3은 희생층이 제거된 다음의 도 2에 도시된 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 4는 탈이온수 린스 처리에의 노출에 기인한 토포그래피의 표면 상에 배열된 수성 액체의 잔존물을 갖는 도 3에 도시된 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 5는 비-수성 액체 화학 조성물 (formulation) 내에 침지된 토포그래피의 디바이스 구조물들을 갖는 도 4에 도시된 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 6은 마이크로일렉트로닉 토포그래피로부터 비-수성 액체 화학 조성물을 제거하기 위한 벤팅 (venting) 프로세스 다음의 도 5에 도시된 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 7은 마이크로일렉트로닉 토포그래피의 주변의 초임계 유체를 기체 상태로 변형시키거나 플로-스루 프로세스 (flow-through process) 에서 마이크로일렉트로닉 토포그래피를 포함하는 프로세스 체임버로부터 초임계 유체를 플러싱 (flushing) 하는 데 사용되는 벤팅 프로세스 다음의 도 6에 도시된 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 나타낸다;
도 8은 마이크로일렉트로닉 토포그래피를 처리하기 위해 구성된 예시적인 프로세스 체임버의 단면도를 나타낸다; 그리고
도 9는 이산화탄소의 압력-온도 상평형도를 나타낸다.
본 발명은 다양한 수정예 및 대안예의 형태들이 허용되지만, 본 발명의 특정 실시형태들이 예로써 도면들에 도시되어 있고 여기에서 상세히 설명하게 될 것이다. 하지만, 도면들 및 이들에 대한 상세한 설명은 본 발명을 개시된 특정형태로 한정하도록 의도된 것이 아니라, 반대로 본 발명은 첨부된 특허청구범위에 의해 정의된 본 발명의 사상 및 범위 내에서의 모든 수정예, 균등예 및 대안예를 포함하는 것임을 이해해야 한다.
특정 용어들이 다음의 상세한 설명 및 특허청구범위 전체에 걸쳐 특정 시스템 컴포넌트들을 지칭하기 위해 사용된다. 당업자라면 인식할 수 있듯이, 반도체 회사들은 컴포넌트를 상이한 명칭들로 지칭할 수 있다. 이 문헌은 기능이 아닌 명칭이 다른 컴포넌트들 사이의 구별을 의도하지 않는다. 다음의 논의 및 특허청구범위에서, 용어 "포함하는" 및 "구비하는" 은 확장 가능한 형식으로 사용되어 있고 따라서 "...를 포함하지만 이에 한정되지 않는" 다는 것을 의미하는 것으로 해석되야 한다. 또한 용어 "커플링" (coupling) 은 간접 또는 직접 연결 중 어느 일방을 의미하는 것으로 의도된다. 따라서, 제 1 디바이스가 제 2 디바이스에 커플링되면, 그 연결은 직접 연결을 통하거나 다른 디바이스들 및 연결들을 경유한 간접 연결을 통할 수 있다.
린스 액체 (예를 들면 비수성 액체) 를 프로세스 체임버에 제공하는 것과 관련하여, "충전" (fill), "충전하는" 및 "충전된" 은 프로세스 체임버 내부의 실질적으로 모든 체적들을 린스 액체로 대체하여, 인식가능한 액체-기체 계면이 존재하지 않도록 하는 것을 의미한다. 하지만, 격리된 영역들 (예를 들면, 다른 액체들 또는 기체들을 제공하기 위한 입구 포트들, 린싱 액체가 기체들을 트랩 (trap) 하는 체임버의 상부 표면들 상의 제한된 크기의 위치들) 에서 액체-기체 계면들이 존재가 충전된 프로세스 체임버의 상태를 제거하지는 않는다.
"벤팅(venting)"은 프로세스 체임버로부터 유체들 (미임계 또는 초임계인) 의 제거를 의미한다. 하지만, 벤팅은 제거된 유체들을 대기로 방출하는 것을 필요로 하는 것으로 읽혀져서는 안되고 따라서, 제거된 유체들이 대기로 방출되든지, 스크러빙 시스템 (scrubbing system) 에 제공되든지, 또는 용기에 저장되든지간에, 유체들의 제거는 여전히 벤팅로 고려된다.
"임계 압력" (critical pressure) 은 그 이상의 압력에서 유체가 초임계 유체의 상태로 이행할 수 있는 압력이다 (유체가 마찬가지로 임계 온도 이상일 경우). 하지만, 유체의 압력 (또는 유체가 존재하는 프로세스 체임버의 압력) 을 임계 압력으로 상승시키는 것은 유체가 초임계 유체 상태에 있을 필요가 있는 것 읽혀져서는 안된다-유체는 초임계 압력 이상일 수 있지만, 초임계 유체가 될 정도의 온도를 갖지 않을 수 있다.
"임계 온도" (critical temperature) 그 이상의 온도에서 유체가 초임계 유체의 상태로 이행할 수 있는 온도이다 (유체가 마찬가지로 임계 압력 이상일 경우). 하지만, 유체의 온도를 임계 온도로 상승시키는 것은 유체가 초임계 유체 상태에 있을 필요가 있는 것 읽혀져서는 안된다-유체는 초임계 온도 이상일 수 있지만, 초임계 유체가 될 정도의 압력을 갖지 않을 수 있다.
"수성 액체" (aqueous liquid) 는 물을 단독으로 함유하거나 물과 하나 이상의 다른 용매 (solvent) 들을 함유하는 물질을 의미한다.
"린스 액체" (rinse liquid) 는 린스 단계의 일부 (예를 들면, 수성 액체) 로서 마이크로일렉트로닉 토포그래피에 적용되는 물질 또는 린스 단계에서 적용되는 물질을 희석, 유화 (emulsify) 또는 제거하기 위해 (예를 들면 수성 액체를 희석하거나 제거하기 위해) 마이크로일렉트로닉 토포그래피에 가해지는 물질을 의미한다.
이제 도면들을 참조하여, 마이크로일렉트로닉 토포그래피 내에서 피처 붕괴 (feature collapse) 를 방지하는 방법 및 시스템의 예시적인 실시형태들을 도 1 내지 도 8과 관련하여 예시하고 설명한다. 특히 마이크로일렉트로닉 토포그래피 내에서 피처 붕괴를 방지하는 예시적인 방법의 흐름도가 도 1에 도시되어 있다. 도 2 내지 7은 도 1에 윤곽이 그려진 방법의 중간 스테이지 (stage) 들 동안 부분적이고 예시적인 마이크로일렉트로닉 토포그래피의 단면도를 예시하고 따라서 도 1에 관련하여 논의된다. 도 2 내 7와 관련하여 하나 보다 많은 도면에 도시되고 유사하게 구성될 수 있는 엘리먼트들은 동일 참조 부호들을 사용하여 나타냈음에 유의한다. 도 8은 본 명세서에 설명된 방법들의 프로세스 단계들 중 하나 이상을 수행하기 위한 예시적인 프로세스 체임버를 예시한다. 그러한 방법들과 관련하여 사용된 방법 및 해결책 (solution) 및 시스템의 구체적인 상세 및 다양한 실시형태들은 도면들을 참조하여 아래에 제공된다.
본 명세서에 설명된 방법들은 도 1에 도시된 흐름도에 반드시 한정될 필요는 없음에 유의한다. 특히, 본 명세서에 설명된 방법들은, 도 1에 도시된 단계들 전, 중간 및/또는 후에 수행되는 단계들을 포함하여, 마이크로일렉트로닉 디바이스 및/또는 회로의 제조를 위해 도 1에 도시되지 않은 추가의 단계들을 포함할 수 있다. 추가로, 본 명세서에 설명된 방법들은 도 2-7에 예시된 것들과 상이한 구성 (configurations) 을 갖는 마이크로일렉트로닉 토포그래피들에 적용될 수 있다. 본 명세서에 사용된 용어 "마이크로일렉트로닉 토포그래피" 는 일반적으로 마이크로일렉트로닉 디바이스 및/또는 회로를 형성하기 위해 사용된 하나 이상의 층들 및/또는 구조물들을 갖는 토포그래피를 지칭할 수 있다. 따라서, 그 용어는 마이크로일렉트로닉 디바이스 및/또는 회로의 제조 동안 임의의 스테이지에서 사용되는 토포그래피를 지칭할 수 있다. 다르게는, 마이크로일렉트로닉 토포그래피는 "반도체 토포그래피"를 지칭할 수 있고 따라서 그 용어들은 본 명세서에서 상호교환가능하게 사용된다.
더욱이, 본 명세서에서 설명된 방법들의 프로세스 단계들 중 하나 이상을 수행하기 위해 사용되는 프로세스 체임버들은 도 8에 한정되지 않는다. 가령, 프로세스 체임버는 도면에 도시되지 않은 추가 또는 다른 컴포넌트들을 포함할 수 있다. 또한 도 8에 도시된 프로세스 체임버의 컴포넌트들은 반드시 스케일 (scale) 대로 그려질 필요는 없고 체임버와 체임버를 포함하는 컴포넌트들은 상이한 구성 (configuration) 들을 포함할 수 있음에 유의한다. 추가로, 도 2-7에 예시된 토포그래피는 반드시 스케일 대로 그려질 필요는 없다. 특히, 일부의 도면들에 있는 일부 층들 및/또 구조물들의 스케일은 토포그래피들의 특징들을 강조하기 위해 크게 과장될 수 있다. 더욱이, 도면들에 도시된 층들은 층들의 곡선의 측 에지들에 의해 표시되는 바처럼 반도체 토포그래피의 다른 영역들로 확장될 수 있다. 따라서, 본 명세서에 설명된 방법 및 시스템은 반드시 도면들의 도시에 한정될 필요는 없다.
도 1의 블록 (10) 에 도시된 바처럼, 본 명세서에 설명된 방법들은 마이크로일렉트로닉 토포그래피 내에 복수의 디바이스의 구조물들을 감싸는 희생층을 선택적으로 에칭하는 것을 포함할 수 있다. 그러한 선택적 에칭 프로세스가 적용될 수 있는 예시적인 마이크로일렉트로닉 토포그래피의 부분 단면도는 도 2에 예시되어 있고 선택적인 에칭 프로세스로부터 얻어지는 토포그래피는 도 3에 예시되어 있다. 도 2에 도시된 바처럼, 마이크로일렉트로닉 토포그래피 (40) 는 반도체 층 (42) 상에 배열되고 디바이스 구조물 (44) 들을 감싸는 희생층 (46) 을 포함할 수 있다. 몇몇 실시형태들에서, 반도체 층 (42) 은 반도체 기판, 이를 테면 단결정 실리콘 (monocrystalline silicon), 갈륨-비소 (gallium arsenic), 인듐 인화물 (indium-phosphide), 실리콘-게르마늄, 실리콘-온-인슐레이터 (silicon-on-insulator), 또는 실리콘-온-사파이어 기판일 수 있다. 그러한 경우들에 있어서, 반도체 층 (42) 은 n-타입 또는 p-타입 중 어느 하나로 도핑될 수 있고 몇몇 실시형태들에 있어서, 확산 영역들 및/또는 격리 영역들이 거기에 형성될 수 있다. 다른 경우들에 있어서, 반도체 층 (22) 은 반도체 기판 상에 형성된 구조물 및 층들을 포함할 수 있다. 구조물 및 층들은 유전체 층, 금속화 층 (metallization layer), 게이트 구조물 (gate structure), 접점 구조물 (contact structure), 비아 (via) 들 또는 로컬 인터커넥트 와이어 (local interconnect wire) 들을 포함할 수 있지만 이에 한정되지는 않는다.
디바이스 구조물 (44) 의 재료는 폴리실리콘, 알루미늄, 구리, 티타늄, 티타늄 나이트라이드, 텅스텐 및/또는 이들의 임의의 합금을 포함하지만 이에 한정되지는 않는, 전도성 디바이스 구조물들을 위해 반도체 산업에서 사용되는 임의의 재료를 포함할 수 있다. 비록 본 명세서에 설명된 방법들은 임의의 치수의 디바이스 구조물들을 갖는 토포그래피에 적용될 수 있지만, 방법들은 특히 약 10:1 이상의 종횡비를 갖는 디바이스 구조물들을 갖는 토포그래피에 적용될 수 있는데, 이는 그러한 종횡비들을 갖는 디바이스 구조물들은 일반적으로 피처 붕괴에 보다 더 취약하기 때문이다. 본 명세서에서 사용된 용어 "종횡비" (aspect ratio) 는 피처의 높이 대 폭의 비를 지칭한다. 디바이스 구조물 (44) 들을 위한 예시적인 폭들은 약 10㎚ 와 약 100㎚ 사이 일 수 있고, 디바이스 구조물 (44) 들 사이의 예시적인 간격은 약 15㎚와 약 35㎚ 사이일 수 있다. 하지만, 특히 기술이 발전하여 디바이스 치수들을 감소시킴에 따라 다른 치수들의 폭들 및/또는 간격들이 고려될 수 있다. 비록 반드시 그렇게 한정될 필요는 없지만, 디바이스 구조물 (44) 들은, 일부의 실시형태들에서, 다마신 프로세스 (damascene process) 에 의해 형성될 수 있다. 특히, 디바이스 구조물들의 재료가 희생층 (46) 의 트랜치 (trench) 들 내에 퇴적될 수 있고 토포그래피는 그 후에 연마되어 희생층의 상부 표면 상에 디바이스 구조물 재료의 부분들을 제거함으로써 도 2에 예시된 토포그래피가 얻어진다.
일반적으로, 희생층 (46) 은 도 1의 블록 (10) 에 윤곽이 그려진 프로세스를 위해 디바이스 구조물 (44) 들의 재료에 대하여 선택적으로 제거될 수 있는 임의의 재료를 포함할 수 있다. 예시적인 재료들은 실리콘 디옥사이드 (SiO2), 테트라오르토실리케이트 글래스 (TEOS), 실리콘 나이트라이드 (SixNy), 실리콘 옥시나이트라이드 (SiOxNy(Hz)), 실리콘 디옥사이드/실리콘 나이트라이드/실리콘 디옥사이드 (ONO) 또는 일반적으로 임의의 옥사이드 층을 포함하지만 이에 한정되지는 않는다. 본 명세서에서 사용된 용어 "옥사이드 층"은 거기에 결합된 산소 원자들을 포함하는 층을 지칭할 수 있다. 어떤 경우든, 희생층 (46) 은 도핑되거나 도핑되지 않을 수 있다. 따라서, 일부 실시형태들에 있어서, 희생층 (46) 은 BPSG (borophosphorus silicate glass), PSG (phosphorus silicate glass), 또는 FSG (fluorine silicate glass) 를 포함할 수 있다.
선택적인 에칭 프로세스는 디바이스 구조물들 (44) 에 인접한 희생층 (46) 의 부분들을 제거하여, 디바이스 구조물들의 측벽 표면들이 노출되도록 할 수 있다. 도 3에 도시된 바처럼, 몇몇 경우들에서, 선택적인 에칭 프로세스 (48) 가 수행되어 디바이스 구조물 (44) 들이 프리스탠딩 (free standing) 하도록 할 수 있다. 어떤 경우든, 디바이스 구조물들 (44) 은 마이크로일렉트로닉 토포그래피 (40) 의 상부 표면을 포함한다는 것에 유의한다. 선택적인 에칭 프로세스는 마이크로일렉트로닉 토포그래피 (40) 에 걸쳐 희생층 (46)의 전체를 제거하거나 디바이스 구조물 (44) 들의 근처에 있는 희생층 (46) 의 일부만을 제거할 수 있다. 후자의 실시형태에서, 마이크로일렉트로닉 토포그래피 (40) 내에 남는 것으로 예정된 희생층 (46) 의 부분들 (즉, 디바이스 구조물 (44) 들의 근처에 있지 않은 희생층 (46) 의 부분들) 은 선택적인 에칭 프로세스를 위해 마스킹될 수 있다.
어떤 경우든, 선택적인 에칭 프로세스는 웨트 또는 드라이 에칭 프로세스를 포함할 수 있고 디바이스 구조물 (44) 들을 실질적으로 계속 유지하면서 희생층 (46) 을 제거하는 데에 적용가능한 화학물들 (chemistries) 을 이용할 수 있다. 예시적인 드라이 에칭 프로세스는 예를 들면, 염소 또는 불소-계 플라즈마 에천트 (etchant), 이를 테면 CF4 및/또는 CHF3를 이용할 수 있다. 다르게는, 예시적인 웨트 에칭 프로세스는 예를 들면, 농축 플루오르화수소의 용액을 이용할 수 있다. 가령, 약 1.0% 와 약 49.5% 또는 보다 구체적으로는 약 5.0% 와 24.5% 사이의 중량 농도를 갖고 잔부는 물인 용액이 사용될 수 있다. 몇몇 실시형태들에서, 습식 에칭 용액은 완충제 (buffering agent), 이를 테면 약 0.5% 와 약 25% 사이 중량 농도의 플루오르화암모늄을 포함할 수 있다. 웨트 에칭 프로세스는 대기압에서 또는 대기압 근처에서 수행될 수 있지만, 드라이 에칭 프로세스는 사용되는 기술에 따라 다양한 압력들을 수반할 수 있다. 선택적인 에칭 프로세스의 지속기간은 사용된 에칭 프로세스와 에천트의 유형에 따르지만, 예시적인 기간은 약 20초와 약 1분 사이일 수 있다.
도 1의 블록 (12) 와 도 4에서 린스 프로세스 (50) 에 도시된 바처럼, 본 방법은 선택적인 에칭 프로세스 다음에 하나 이상의 수성 액체 조성물로, 에칭된 마이크로일렉트로닉 토포그래피를 린싱하는 단계를 포함한다. 그러한 프로세스는 토포그래피로부터 잔류 에칭 용액 및/또는 에칭 부산물들을 제거하는데 사용되고, 몇몇 실시형태들에서, 천이의 린스 (transitional rinse) 로서 더 기능하여 액체의 표면 장력을 낮출 수 있다. 린스 프로세스 (50) 의 지속기간은 변할 수 있지만, 몇몇 실시형태들에서 약 60초 미만이다. 몇몇 경우들에서, 린스 프로세스 (50) 은 단일 린스 조성물 (즉, 린스 프로세스 동안 조성이 변하지 않는 단일 수성 액체 조성물) 을 이용할 수 있다. 다른 실시형태들에서, 린스 프로세스 (50) 은 마이크로일렉트로닉 토포그래피 (40) 에 연속적으로 가해지는 다수 및 상이한 린스 조성물들을 사용할 수 있다. 특히, 린스 프로세스 (50) 은 다른 농도들의 탈이온수를 갖는 수성 액체 조성물들을 마이크로일렉트로닉 토포그래피 (40) 에 순차적으로 가하는 것을 포함할 수 있다. 또 다른 경우들에서, 린스 프로세스 (50) 은 마이크로일렉트로닉 토포그래피에 가해지는 린스 용액의 조성을 (예를 들면, 용액 중 물의 농도를 변화시킴으로써) 점진적으로 변화시키는 것을 포함할 수 있다. 이런 방식으로, 마이크로일렉트로닉 토포그래피 상의 린스 용액 및 그와 관련된 표면 장력은 단계적인 방식 (graduated fashion) 과는 반대로 선형적인 방식 (linear manner) 으로 변화될 수 있다.
어떤 경우든, 몇몇 경우들에서는 주로 탈이온수를 포함하는 수성 린스 조성물을 이용하는 것이 유리할 수 있고, 몇몇 경우들에서는 린스 프로세스 (50) 동안 적어도 일부 시점에 그리고 몇몇 경우들에서는 특히 린스 프로세스의 초기에 탈이온수로 본질적으로 이루어지는 수성 린스 조성물을 이용하는 것이 유리할 수 있다. 특히, 에칭 용액 및 부산물들은 때때로 다른 액체 린스 재료들보다 물에 더 잘 녹을 수 있기 때문에, 매우 고농도의 물을 가지면 용액 및 부산물로 하여금 마이크로일렉트로닉 토포그래피로부터 보다 용이하게 제거되는 것을 가능하게 할 수 있다. 따라서, 린스 프로세스 (50) 가 마이크로일렉트로닉 토포그래피 (40) 에 연속적으로 가해지는 다수 및 상이한 린스 조성물들을 포함하는 경우들에서, 몇몇 실시형태들에서 토포그래피에 가해지는 제 1 린스 조성물은 주로 탈이온수를 포함하는 것이 유리하고 몇몇 경우들에서는, 본질적으로 탈이온수로 이루어지는 것이 유리할 수 있다. 다르게는, 린스 프로세스 (50) 동안 린스 용액의 조성이 변하는 경우에, 프로세스는 주로 탈이온수를 포함하는 린스 조성물로 시작할 수 있고, 몇몇 경우들에서는 본질적으로 탈이온수로 이루어지고 그 다음 거기에 보다 큰 농도의 다른 액체 용매를 점진적으로 가할 수 있다. 전술한 이점에도 불구하고, 몇몇 실시형태들에서 주된 농도 미만의 탈이온수를 갖는 린스 조성물이 린스 프로세스 (50) 의 초기 (그리고 린스 프로세스 (50) 의 다른 스테이지들) 에서 사용될 수 있고 제조 프로세스의 사양 (specifications) 에 따라 의당 유리할 수 있음에 유의한다.
어느 경우든, 위에서 언급된 바처럼, 린스 프로세스 (50) 는 몇몇 경우들에서 다른 농도들의 탈이온수를 갖는 린스 조성물들을 마이크로일렉트로닉 토포그래피 (40) 에 가하는 것을 포함할 수 있거나 용액 중 물의 농도를 변화시킴으로써 마이크로일렉트로닉 토포그래피 (40) 에 가해지는 린스 용액의 조성을 점진적으로 변화시키는 것을 포함할 수 있다. 그러한 실시형태들에서, 하나 이상의 수성 액체 조성물들의 잔부 (즉, 탈이온수 이외의 성분들) 는 탈이온수 보다 낮은 표면 장력을 갖는 하나 이상의 액체 재료들을 포함할 수 있다. 알맞은 재료들은 헥산, 펜탄, 헵탄, 메탄올, 에탄올, 프로판올, 이소프로판올 및 아세톤을 포함하지만 이에 한정되지 않는 극성 또는 비극성 용매 및 용매 혼합물들을 포함한다.
그러한 경우들에 더하여, 몇몇 실시형태들에서 린스 프로세스 (50) 이 진행됨에 따라 린스 조성물/들은 마이크로일렉트로닉 토포그래피 (40) 에 가해지는 탈이온수의 농도를 감소시키도록 구성될 수 있다. 그러한 구성의 린스 프로세스 (50) 은 린스 프로세스의 초기 단계에서 주된 농도의 탈이온수를 갖는 조성물을 사용함으로써 에칭 용액들 및 부산물들로 하여금 유리하게 마이크로일렉트로닉 토포그래피로부터 용이하게 제거될 수 있게 할 수 있으나, 그 후 마이크로일렉트로닉 토포그래피 상의 린스 용액의 표면 장력을 감소시키는 것으로 이론화된다. 마이크로일렉트로닉 토포그래피 상의 린스 용액의 표면 장력을 감소시키는 것은 유리하게는 디바이스 구조물 (44) 들의 피처 붕괴를 방지하는데 도움이 될 수 있다. 더욱이, 린스 프로세스 (50) 가 진행됨에 따라 마이크로일렉트로닉 토포그래피 (40) 에 가해지는 탈이온수의 농도의 계단식 또는 점진적인 감소는 토포그래피 상의 린스 용액으로 하여금 실질적으로 균질하게 되는 것을 가능하게 하고 따라서 탈이온수 및 용매들의 뚜렷한 액체-액체 계면이 회피될 수 있다고 믿어지는데, 이는 이하에서 보다 자세히 설명되는 것처럼 피처 붕괴를 방지하는데에도 도움이 될 수 있다.
몇몇 실시형태들에서, 린스 조성물/들은 특히 린스 프로세스 (50) 의 말기에 주된 농도 미만의 탈이온수를 갖도록 구성될 수 있다. 예를 들면, 주로 탈이온수를 포함하거나 본질적으로 탈이온수로 이루어지는 조성물이 먼저 마이크로일렉트로닉 토포그래피에 가해질 수 있다. 그 다음 1:1 비의 에탄올:물 용액이 9:1 비의 에탄올 대 물을 갖는 용액 다음에 가해질 수 있다. 대안의 실시형태들에서, 린스 프로세스가 진행됨에 따라 증가하는 량의 에탄올이 탈이온수 조성물의 흐름에 가해져서, 효과적으로 린스 조성물 내의 물의 농도를 (예를 들면 95% 물로부터 5% 물로) 점진적으로 낮출 수 있다.
토포그래피에 가해지는 용매들의 수와 그 조성물들의 수 및 위에서 언급된 에탄올의 상대적인 양과 인용은 단지 예시적인 목적으로 인용된 것이고 따라서 본 명세서에 기재된 방법들은 그러한 예들로 한정되지 않아야 함에 유의한다. 더욱이, 몇몇 실시 형태들에서, 린스 프로세스가 진행됨에 따라 마이크로일렉트로닉 토포그래피에 가해지는 린스 조성물/들에서 탈이온 수의 상대적인 량은 연속적으로 감소되지 않을 수 있고 따라서 본 명세서에 기재된 방법들은 그러한 것으로 한정되지 않아야 함에 유의한다.
어느 경우든, 도 4에 도시된 바처럼, 수성 액체의 잔유물 (52) 들이 린스 프로세스 (50) 다음에 디바이스 구조물 (44) 들을 둘러싸는 반도체 층 (42) 상에 남을 수 있다. 위에서 언급한 바처럼, 물의 표면 장력에 기인하여, 잔유물 (52) 이 몇몇 경우들에서, 디바이스 구조물 (44) 들로 하여금 붕괴되게 할 수 있는데, 토포그래피를 스핀닝하고 및/또는 토포그래피를 대기압에서 또는 근처에서 상승된 온도에 노출시키는 것과 같은 건조 프로세스에 마이크로일렉트로닉 토포그래피 (40) 가 노출 경우에 그러하다. 하지만, 본 명세서에 기재된 방법들은 도 1의 블록들 (16-34) 에 윤곽이 그려져 있고 이하에서 보다 상세히 설명되는, 대안의 시퀀스의 프로세스 단계들에 마이크로일렉트로닉 토포그래피 (40) 를 노출시킴으로써 그러한 해로운 효과들을 방지한다. 위에서 언급한 바처럼 린스 프로세스 (50) 의 말기에 주된 농도 미만의 탈이온수를 갖는 린스 조성물로 마이크로일렉트로닉 토포그래피 (40) 를 린싱하는 것은 본 명세서에 기재된 방법들을 사용하여 피처 붕괴를 방지하는데 추가적으로 도움이 될 수 있음에 유의한다.
비록 도 4에는 도시되지 않았지만, 몇몇 실시형태들에서, 피처 붕괴를 방지하는데 도움이 되도록 디바이스 구조물들 (44) 들을 수성 액체 내에 침지 (submerge) 시키는 것이 유리할 수 있다. 수성 액체 내에 디바이스 구조물 (44) 들을 침지시키는 것은 도 1의 블록들 (16-34) 에 윤곽이 그려진 시퀀스의 프로세스 단계들을 수행하기 전에 (예를 들면, 수성 액체의 증발 또는 이동에 의해) 토포그래피가 건조되는 것을 방지할 정도의 마이크로일렉트로닉 토포그래피 (40) 상의 수성 액체의 깊이를 확보할 수 있다. 수성 액체에 대한 그러한 깊이는 린스 프로세스 (50) 직후에 토포그래피가 프로세스 체임버로 이송될 경우에 특히 이로울 수 있다. 통풍이 잘되는 조건들에 대한 노출 및 시간에 기인하여, 마이크로일렉트로닉 토포그래피 상의 수성 액체의 잔유물이 이송 프로세스 동안 증발되기 쉬울 수 있다. 하지만, 일반적으로 수성 액체들은 낮은 휘발성을 갖고 따라서 도 1의 블록들 (16-34)에서의 프로세스 시퀀스를 수행하기 전에 마이크로일렉트로닉 토포그래피 건조의 염려는 크지 않음에 유의한다. 더욱이, 아래에 언급된 바처럼, 몇몇 경우들에서 본 명세서에 기재된 방법들은 린스 프로세스 (50) 다음에 마이크로일렉트로닉 토포그래피 (40) 를 이송하는 것을 포함하지 않을 수 있다 (즉, 린스 프로세스 (50) 및 선택적인 에칭 프로세스 (48) 이 토포그래피를 건조하는데 사용되는 프로세스 체임버에서 수행될 수 있다). 따라서, 수성 액체 내에서의 디바이스 구조물 (44) 들의 침지가 반드시 필요한 것이 아니라, 오히려 린스 프로세스 (50) 을 위한 액션의 선택적인 과정으로서 제시된다.
몇몇 경우들에서, 마이크로일렉트로닉 토포그래피 (40) 를 건조하는 것은 피처 붕괴에 기여하는 주된 요인이 아닐 수 있다. 특히, 디바이스 구조물들의 디바이스 폭들과 그 들 사이의 간격이 계속 감소하고 그들의 종횡비가 계속 증가함에 따라 (예를 들면, 약 20:1 또는 그 보다 더 큰 정도의 종횡비들), 계면 장력이 디바이스 구조물들로 하여금 토포그래피 건조 전에 붕괴되게 할 수 있다고 가정된다. 보다 상세하게는, 몇몇 실시형태들에서, 수성 액체와 주변 공기 사이의 액체-기체 계면으로의 디바이스 구조물 (44) 의 단순한 노출이 피처 붕괴의 가능성을 증가시킬 수 있다고 가설이 세워진다. 따라서, 몇몇 경우들에서, 디바이스 구조물 (44) 들이 주변 공기에 노출되는 것을 방지하고 따라서 도 1의 블록들 (16-34) 에서의 프로세스 시퀀스를 수행하기 전에 수성 액체 내에 침지된 상태로 디바이스 구조물들을 유지하는 것이 유리할 수 있다.
위에서 언급한 바처럼, 몇몇 실시형태들에서, 비-수성 액체 화학 조성물을 마이크로일렉트로닉 토포그래피에 가하는 것 (즉, 블록 (16) 에 표기되고 이하에서 보다 상세히 설명되는 프로세스) 전에 마이크로일렉트로닉 토포그래피 (40) 를 탈이온수의 용액으로 린싱하는 것이 특히 유리할 수 있다. 특히, 블록 (16) 에 윤곽이 그려진 프로세스에 사용되는 비-수성 액체 화학 조성물보다 일반적으로 탈이온수가 선택적인 에칭 프로세스 (48) 으로부터 얻어지는 잔류 에칭 용액 및/또는 에칭 부산물들을 제거하기 위한 더 좋은 용매이다. 하지만, 린스 프로세스 (50) 은 여기에 기재된 방법으로부터 생략될 수 있다고 생각된다. 특히, 대신에 그 방법은 제조 프로세스의 사양에 따라, 마이크로일렉트로닉 토포그래피 (40) 를 에칭한 후에 블록 (14) 또는 블록 (16) 으로 직접 계속될 수 있다. 그러한 실시형태들에서, 종래의 건조 프로세스가 다음에 사용될 경우에 디바이스 구조 (44) 들은 여전히 붕괴에 취약할 수 있고, 따라서 블록들 (18-34) 에 윤곽이 그려진 프로세스 단계들을 사용하는 것이 대안으로서 이로울 수 있다.
도 1의 블록 (14) 에 도시된 바처럼, 본 명세서에 기재된 방법들은 약 1000psig 보다 더 큰 압력을 생성하고 견디도록 구성된 프로세스 체임버에 마이크로일렉트로닉 토포그래피를 이송하는 것을 포함한다. 블록들 (20-34) 에 윤곽이 그려진 프로세스와 관련하여 아래에서 보다 상세히 설명되는 바처럼, 그러한 프로세스 체임버는 마이크로일렉트로닉 토포그래피를 가압 상태의 유체에 노출시켜 피처 붕괴를 야기하지 않고서 토포그래피의 표면으로부터 액체가 제거될 수 있도록 하는데 특히 적합할 수 있다. 그러한 구성들을 갖는 예시적인 프로세스 체임버는 도 8에 도시되어 있고 아래에서 보다 상세히 설명된다. 도 1의 블록 (14) 로부터 연장되는 점선 화살표들에 의해 나타낸 바처럼, 본 명세서에 기재된 방법들은 블록 (12) 에 윤곽이 그려진 린스 프로세스 직후에 일어나는 이송 프로세스에 한정되지 않는다. 특히, 다르게는 블록 (10) 에 윤곽이 그려진 선택적인 에칭 프로세스 전에 마이크로일렉트로닉 토포그래피 (40) 가 프로세스 체임버로 로딩될 수 있다. 그러한 경우들에서, 프로세스 체임버는 선택적인 에칭 프로세스와 린스 프로세스를 수행하도록 구성될 수 있다. 또 다른 실시형태들에서, 하나 이상의 비 수성 액체 화학 조성물들이 토포그래피에 가해지는, 즉 도 1의 블록 (16) 에 윤곽이 그려지고 이하에서 보다 상세히 기재되는 프로세스 후에, 마이크로일렉트로닉 토포그래피 (40) 가 프로세스 체임버에 이송될 수 있다.
하지만, 몇몇 경우들에서, 하나 이상의 비 수성 액체 화학 조성물들을 가하기 전에 토포그래피를 프로세스 체임버로 이송하는 것이 유리할 수 있다. 아래에 제시한 것처럼, 하나 이상의 비수성 액체 화학 조성물들은 마이크로일렉트로닉 토포그래피 (40) 상에 얻어지는 액체 조성물의 표면 장력이 탈이온수 보다 작고, 보다 상세하게는 수성 액체 잔유물 (52) 보다 작도록 구성될 수 있다. 그러한 목적을 달성하기 위하여, 하나 이상의 비수성 액체 화학 조성물은 낮은 표면 장력을 갖고 따라서 상대적으로 높은 휘발성을 갖도록 구성될 수 있다. 이송 프로세스 동안 증발하는 비-수성 액체 화학 조성물들의 포텐셜 (potential) 에 기인하여 (이송 프로세스에서 포함된 공기에 대한 노출 및 시간에 기인하여), 디바이스 구조물 (44) 들이 노출될 수 있고 몇몇 경우들에서, 토포그래피에 비수성 액체 화학 조성물이 가미될 경우 마이크로일렉트로닉 토포그래피 (40) 가 건조될 수 있다. 따라서, 피처 붕괴의 가능성 높을 수 있다. 도 4의 잔유물 (52) 를 참조하여 기재된 것과 같은 수성 액체가 가미된 토포그래피를 이송하는 것은 디바이스 구조물 (44) 들이 완전히 침지되지 않는다 할지라도 상대적으로 낮은 휘발성의 물로 인해 피처 붕괴에 덜 취약할 수 있다고 믿어진다. 따라서, 하나 이상의 비수성 액체 화학 조성물들을 가하기 전에 마이크로일렉트로닉 토포그래피 (40)를 프로세스 체임버로 이송하는 것이 유리할 수 있다.
몇몇 실시형태들에서, 특히 프로세스가 프로세스의 후기 스테이지에서 린스 용액에서의 물의 농도를 희석시킬 경우, 수성 린스 프로세스 (50) 의 중간 스테이지들 사이에 (상이한 수성 액체 조성물들을 연속적으로 가하는 사이에) 마이크로일렉트로닉 토포그래피 (40) 를 프로세스 체임버로 이송하는 것이 유리할 수 있다. 수성 린스 용액에서의 보다 낮은 농도의 물은 린스 용액을 보다 휘발성으로 만들 수 있고, 따라서 디바이스 구조물 (44) 들은 그러한 경우들에서 린스 프로세스의 후기 스테이지 동안 피처 붕괴에 더 취약할 수 있다. 또 다른 경우에서, 선택적인 에칭 프로세스 (48) 전에 마이크로일렉트로닉 토포그래피 (40) 를 프로세스 체임버에 이송하는 것이 유리할 수 있다. 이런 방식으로, 이송 프로세스 동안 토포그래피의 노출의 염려가 제거될 수 있다. 어느 경우든, 도 1의 블록 (14) 에 윤곽이 그려진 이송 프로세스가 도 2 내지 도 7의 마이크로일렉트로닉 토포그래피 (40) 의 도면들 중에 도시되지 않았는데, 이는 그러한 도면들이 토포그래피의 직접적인 처리를 나타내는데 사용되었기 때문임에 유의한다.
도 5 및 도 1의 블록 (16) 으로 이어져서, 하나 이상의 비수성-액체 화학 조성물들이 마이크로일렉트로닉 토포그래피 (40) 에 가해진다. 그러한 프로세스 (54) 는 수성 잔유물 (52) 를 제거, 유화 및/또는 용해시켜 마이크로일렉트로닉 토포그래피 (40) 상에 얻어지는 액체 조성물 (56) 의 표면 장력이 탈이온수 보다 작고, 보다 상세하게는 수성 액체 잔유물 (52) 보다 더 작도록 하는데 사용된다. 하나 이상의 비-수성 액체 화학 조성물들을 가하는 것은 프로세스 체임버가 존재하는 환경의 주변 압력에서 또는 대기압에서 또는 대기압 근처에서 수행될 수 있다. 다른 말로, 프로세스 체임버를 가압하기 전에 프로세스 (54) 가 수행될 수 있다. 몇몇 경우들에서, 마이크로일렉트로닉 토포그래피 (40) 상의 얻어지는 액체 조성물 (56) 의 표면 장력이 대략 30 dynes/cm 이하가 되도록 비수성 액체 화학 조성물 프로세스 (54) 이 구성 (즉, 그 조성물의 조성 및/또는 프로세스의 지속시간을 통해) 될 수 있다. 특히, 본 명세서에 기재된 방법들 및 해결책들을 개발하는 동안, 얻어지는 액체 조성물 (56) 에 대한 그러한 범위의 표면 장력은 아래에서 보다 상세하게 설명되는 블록들 (20-34) 에서 윤곽이 그려진 다음 건조 프로세스 전 및/또는 동안에 피처 붕괴의 가능성을 실질적으로 감소시킬 수 있음이 발견되었다. 하지만, 그러한 결과들은 모든 토포그래피들에 대해 그러한 표면 장력 범위에 반드시 전속될 필요는 없고 따라서, 약 30dynes/㎝ 보다 크고, 물의 표면 장력보다 작은 표면 장력들이 고려될 수 있다.
본 명세서에 기재된 방법들 및 해결책들을 개발하는 동안 피처 붕괴를 억제하는데 이로운 것으로 알아낸 비-수성 액체 화학 조성물 프로세스 (54) 의 다른 양태는 도 5에 도시된 바처럼, 얻어지는 액체 조성물 (56) 에 디바이스 구조물 (44) 들이 침지되도록 프로세스를 구성하는 것이다. 일반적으로 디바이스 구조물 (44) 들의 상부 표면들 위에 얻어지는 액체 조성물 (56) 의 양은 응용들 (applications) 중에서 다를 수 있다. 하지만, 몇몇 실시형태들에서, 디바이스 구조물 (44) 들의 상부 표면들이, 얻어지는 액체 조성물 (56) 에 의해 생성되는 액체-기체 계면 (즉, 얻어지는 액체 조성물 (56)의 상부 표면) 아래에 적어도 약 3㎜ 정도 그리고 몇몇 경우들에서, 약 3㎜ 와 약 25㎜ 사이 그리고 보다 구체적으로는 약 5㎜와 약 12㎜ 사이에 있는 것이 특히 유리할 수 있다. 특히, 본 명세서에 기재된 방법들 및 해결책들을 개발하는 동안 그러한 범위들이 다음 건조 프로세스 전 및/또는 동안에 피처 붕괴를 방지하는데 특히 이로울 수 있다는 것을 알아내었다. 하지만, 몇몇 경우에서, 더 작은 침지 버퍼 (submerging buffer) 들이 고려될 수 있다.
그러한 침지 범위는 체임버로부터 액체를 플러싱 (flushing) 하도록 프로세스 체임버를 벤팅하기 전에 마이크로일렉트로닉 토포그래피 (40) 가 건조되는 것을 방지할 정도 일 수 있다고 가정되지만 이러한 이론에 한정되지 않으며, 그의 처리는 도 1의 블록들 (26 및 28) 과 관련하여 아래에서 보다 상세히 설명된다. 특히, 프로세스 체임버를 가압하는 것 (즉, 도 1의 블록 (20) 에 윤곽이 그려진 프로세스) 과 프로세스 체임버 내에서 포화 증기압 또는 임계 압력에 도달한 후에 프로세스 체임버 속으로 제 2 흐름의 유체를 도입하는 것 (즉 도 1의 블록 (24) 에 윤곽이 그려진 프로세스) 의 다음 단계들은 의도하지 않게 액체 조성물 (56) 이 (예를 들면, 액체 조성물 (56) 의 증발 및/또는 이동에 의해) 제거되게 할 수 있다고 믿어진다. 몇몇 경우들에서, 그러한 조급한 건조 액션은 디바이스 구조물 (44) 들로 하여금 붕괴되게 할 수 있고 따라서 액체 조성물 (56) 의 버퍼를 디바이스 구조물 (44) 들의 상부 표면들 위 적어도 3㎜ 정도로 제공하는 것이 유리할 수 있다고 이론화된다.
몇몇 경우들에서, 그러한 침지 범위는 블록들 (20 및 24) 의 처리들 동안 마이크로일렉트로닉 토포그래피가 건조되는 것을 방지할 정도일 수 있을 뿐만 아니라 침지 범위는 그러한 다음 처리 동안 디바이스 구조물들이 노출되는 것을 방지할 정도일 수 있다. 달리 말하면, 침지 범위는 그러한 프로세스들의 파라미터들에 따라, 블록들 (20 및 24) 의 프로세스 동안 액체 조성물 (56) 내에 침지된 상태로 디바이스 구조물 (44) 들을 유지할 정도 일 수 있다. 몇몇 실시형태들에서, 액체 조성물 (56) 과 프로세스 체임버의 주변 및/또는 다른 액체 사이의 계면 장력에 대한 디바이스 구조물 (44) 들의 단순한 노출은 디바이스 구조물 (44) 들로 하여금 붕괴되게 할 수 있다. 따라서, 다음 프로세스 동안 디바이스 구조물 (44) 들이 침지될 정도의 양으로 마이크로일렉트로닉 토포그래피 (40) 에 비-수성 액체 화학 조성물을 가하는 것이 유리할 수 있다. 추가 또는 대안으로, 그 방법은 다음 처리 동안 디바이스 구조물 (44) 들의 상부 표면 위에 액체 버퍼를 유지하기 위한 구성 (configuration) 들을 포함할 수 있는데, 이는 도 1의 블록 (22) 을 참조하여 이하에서 설명된다.
액체 조성물 (56) 에서 디바이스 구조물 (44) 들을 침지시키는 것이 본 명세서에 기재된 방법들에 대해 반드시 요구되는 것은 아님에 유의한다. 특히, 대안으로 방법들은 마이크로일렉트로닉 토포그래피 (40) 에 하나 이상의 비수성 액체 화학 조성물들을 가하여 액체 조성물 (56) 이 프로세스 (54) 를 위한 디바이스 구조물 (44) 들의 상부 표면 아래의 수준에 있게 하는 것을 포함한다. 보다 구체적으로는, 마이크로일렉트로닉 토포그래피 (40) 가 건조될 수 있거나 디바이스 구조물 (44) 들이 도 1의 블록들 (26 및 28) 을 참조하여 기재된 벤팅 프로세스 전에 노출되는 가능성, 그리고 이에 따라 디바이스 구조물 (44) 들이 피처 붕괴에 취약할 수 있는 가능성은, 디바이스 구조물 (44) 들의 폭 및/또는 종횡비 및/또는 그들 사이의 간격의 폭 및/또는 종횡비에 의존할 수 있다. 특히, 본 명세서에 기재된 방법들 및 해결책들의 개발시에, 디바이스 구조물들이 액체 조성물 (56) 에 침지되지 않은 모든 경우들에서 피처 붕괴가 일어나지 않았으나, 보다 작은 폭들 및/또는 보다 큰 종횡비들에 대해서 보다 우세한 것으로 나타났다. 디바이스 구조 침지를 필요로 하는 폭들 및/또는 종횡비들의 특정 범위는 조사되지 않았으나, 그러한 시도는 본 명세서에 제공된 교시에 기초하여 당업자의 과도한 실험을 필요로하지 않을 것임에 유의한다. 따라서, 액체 조성물 (56) 내의 디바이스 구조물 (44) 들의 침지가 반드시 필요한 것이 아니라, 오히려 프로세스 (54) 을 위한 액션의 선택적인 과정으로서 제시된다.
프로세스 (54) 동안 마이크로일렉트로닉 토포그래피 (40) 에 가해지는 하나 이상의 비수성 액체 화학 조성물들은 건조제와 용매를 포함할 수 있다. 본 명세서에서 사용된 용어 "건조제" (drying agent) 는 물에 대한 친화성을 가져서 물이 용액에서 용해될 수 있거나 유화될 수 있는 화학 물질을 지칭한다. 몇몇 실시형태들에서, 비수성 액체 화학 조성물의 건조제 및 용매는 동일한 약제 (agent) 일 수 있다. 달리 말하면, 비수성 액체 화학 조성물은 건조제의 기능을 갖는 용매를 포함할 수 있다. 그러한 비수성 액체 화학 조성물이 프로세스 (54) 에 사용되는 유일한 비수성 액체 화학 조성물인 실시형태들에서, 바람직하게는 물이 건조제/용매와 섞일 수 있고 바람직하게는 건조제/용매는 차후에 프로세스 체임버를 가압하기 위해 사용되는 유체 (즉 도 1의 블록 (20) 에 윤곽이 그려지고 아래에서 보다 상세하게 설명되는 프로세스에서 사용되는 유체) 에 용해가능하다. 다른 경우들에서, 비수성 액체 화학 조성물을 구성하는 건조제 및 용매는 다를 수 있다 (즉 별개의 성분들일 수 있다). 어느 경우든, 하나 이상의 비수성 액체 화학 조성물들은 차후에 프로세스 체임버를 가압하는데 사용되는 유체에 부재할 수 있다.
몇몇 실시형태들에서, 건조제는 이온성 또는 비이온성 계면활성제일 수 있는데, 단 계면활성제가 물/용매 혼합물에 대해 양친매성인 것 (즉, 계면활성제는 비수성 액체 화학 조성물의 용매에 용해가능하고 마이크로일렉트로닉 토포그래피 상의 물을 유화시킬 수 있는 것) 을 조건으로 한다. 따라서, 몇몇 경우들에서, 비수성 액체 화학 조성물 및, 몇몇 실시형태들에서, 얻어지는 액체 조성물 (56) 은 계면활성제-용매 혼합물을 지칭할 수 있다. 다른 경우들에서, 건조제는 알코올 또는 다른 타입의 건조제일 수 있다. 비수성 액체 화학 조성물의 용매는 약 150 원자 질량 단위 (amu) 미만의 분자량을 갖는 극성 또는 비극성 유기 용매 또는 용매 혼합물을 포함할 수 있다. 예시적인 비-극성 용매들은 헥산, 펜탄 및 헵탄을 포함한다. 예시적인 극성 용매들은 메탄올, 에탄올, 프로판올, 이소프로판올 및 아세톤을 포함한다.
건조제는 웨이퍼 상의 수성 액체의 표면 장력을 유효하게 감소시키는 기능을 한다. 건조제는 용매에 용해될 수 있으나, 차후 프로세스 체임버를 가압하는데 사용되는 유체 (즉, 도 1의 블록 (20) 에 윤곽이 그려지고 아래에서 보다 상세하게 설명되는 프로세스에서 사용되는 유체) 에 용해되거나 용해되지 않을 수 있다. 건조제가 차후에 프로세스 체임버를 가압하는데 사용되는 용매에 용해되지 않고 프로세스 (54) 의 말기에 사용되는 비수성 액체 조성물의 부분인 경우들에서, 방법은 도 1의 블록 (18) 에 표기된 프로세스 체임버를 가압하기 전에 마이크로일렉트로닉 토포그래피에 순수 흐름의 용매를 가하는 것을 포함할 수 있다. 그러한 프로세스는 프로세스 체임버를 가압하기 위한 유체를 도입하기 전에 마이크로일렉트로닉 토포그래피 및 체임버로부터 건조제를 실질적으로 제거하는 기능을 할 수 있다. 도 1에서 블록 (18) 은 점선으로 윤곽이 그려지고, 이는 그러한 프로세스가 제조 프로세스의 파라미터들에 의존한다는 것을 나타냄에 유의한다.
린스 프로세스 (50) 에 유사하게, 프로세스 (54) 는 몇몇 실시형태들에서 단일의 비수성 액체 화학 조성물 (즉, 프로세스 (54) 동안 조성이 변하지 않는 단일 비수성 액체 조성물) 을 이용할 수 있다. 다른 실시형태들에서, 프로세스 (54) 는 마이크로일렉트로닉 토포그래피 (40) 에 연속적으로 가해지는 다수 및 상이한 비수성 액체 화학 조성물들을 이용할 수 있다. 특히, 린스 프로세스 (54) 는 다른 농도들의 하나 이상의 화학 물질들을 갖는 비수성 액체 조성물들을 마이크로일렉트로닉 토포그래피 (40) 에 순차적으로 가하는 것을 포함할 수 있다. 예를 들면, 3:1 비의 헥산 및 에탄올 (또는 다르게 3:1 비의 에탄올 대 헥산) 이 100% 헥산 다음에 가해질 수 있다. 또 다른 경우들에서, 프로세스 (54) 은 마이크로일렉트로닉 토포그래피에 가해지는 비수성 액체 화학 조성물의 조성을 (예를 들면, 용액 중 용매의 농도를 변화시킴으로써) 점진적으로 변화시키는 것을 포함할 수 있다. 이런 방식으로, 마이크로일렉트로닉 토포그래피 상의 용액은 단계적인 방식 (graduated fashion) 과는 반대로 선형적인 방식 (linear manner) 으로 변화될 수 있다. 예를 들면, 100% 에탄올을 갖는 용액이 먼저 마이크로일렉트로닉 토포그래피에 가해질 수 있고, 그 다음 프로세스가 진행됨에 따라 증가하는 량의 헥산이 에탄올의 흐름에 가해져서, 효과적으로 조성물 내의 에탄올의 농도를 점진적으로 낮출 수 있다. 토포그래피에 가해지는 용매들의 수 및 그들의 조성물의 수와 위에서 언급된 에탄올과 헥산의 상대적인 량과 인용은 단지 예시적인 목적으로 인용되었을 뿐이고 따라서 본 명세서에 기재된 방법들은 그러한 예들로 한정되지 않아야 함에 유의한다.
도 1에서 블록 (14) 를 참조하여 위에서 언급한 바처럼, 마이크로일렉트로닉 토포그래피 (40) 는 몇몇 실시형태들에서 수성 린스 프로세스 (50) 의 중간 스테이지들 사이에 또는 선택적인 에칭 프로세스 (48) 전에 프로세스 체임버로 이송될 수 있다. 그러한 경우들에서, 몇몇 실시형태들에서 프로세스 (54) 는 수성 린스 조성물에서 조성되고 린스 프로세스 (50) 의 나중 스테이지에서 사용되는 용매를 갖는 조성물을 가함으로써 시작될 수 있다. 예를 들면, 린스 프로세스 (50) 가 에탄올을 포함하는 (예를 들면, 약 5%의 물과 약 95%의 에탄올을 갖는) 수성 용액의 추가로 종료되는 실시형태에서, 프로세스 (54) 는 에탄올을 포함하는 비수성 조성물 (예를 들면 100% 에탄올의 용액) 을 가함으로써 시작될 수 있다. 두 프로세스들의 그러한 천이 (transition) 는 유리하게도 마이크로일렉트로닉 토포그래피 (40) 상의 용액이 실질적으로 균질하게 되는 것을 가능하게 하고 따라서 액체들의 뚜렷한 액체-액체 계면이 회피될 수 있고, 이는 이하에서 보다 자세히 설명되는 것처럼 피처 붕괴를 방지하는데에 도움이 될 수 있다.
방법은 블록 (20) 으로 진행하고 여기서 유체는 기체 상태에서 프로세스 체임버 속으로 도입된다. 프로세스는 유체의 포화 증기압 또는 유체의 임계 압력 이상의 체임버 압력이 도달될 때까지 체임버를 유체로 가압하는 것을 포함한다. 유체는 실질적으로 낮은 표면 장력 (예를 들면, 약 30dynes/㎝ 미만) 또는 표면 장력을 갖지 않는 임의의 유체를 포함할 수 있다. 초임계 유체 및 초임계 상태 근처의 유체는 낮은 점성을 갖고 따라서 표면 장력을 거의 갖지 않거나 전혀 갖지 않는다. 따라서, 프로세스 체임버를 가압하는데 사용되는 유체는 상대적으로 도달하기 쉬운 열역학적 임계점을 갖는 (즉, 상대적으로 낮은 임계 온도와 임계 압력을 갖는) 임의의 유체를 포함할 수 있다. 예시적인 유체들은 이산화탄소 및 육플루오르화 황을 포함할 수 있지만 이에 한정되지는 않는다.
도 1의 블록 (32) 를 참조하여 아래에서 보다 상세하게 설명되는 바처럼, 방법은 프로세스 단계들의 시퀀스에서 일부 시점에서 프로세스 체임버 내에 가열된 환경을 제공하여, 체임버를 가압하는데 사용되는 유체가 적어도 도 1의 블록 (34) 에 윤곽이 그려진 적어도 벤팅 프로세스 동안 임계 온도에 있거나 또는 임계 온도 보다 위에 있도록 하는 것을 포함한다. 이산화 탄소의 임계 온도가 31℃ 로 상대적으로 낮은 것에 기인하여, 이산화 탄소를 사용하여 프로세스 체임버를 가압하는 것이 유리할 수 있다. 특히, 처리를 위한 온도를 감소시켜 가열 메카니즘 (즉, 프로세스 체임버 내부의 열교환기 또는 가열기) 들이 감소될 수 있도록 하는 것이 바람직할 수 있다. 그러한 고려들은, 본 명세서에 기재된 방법들에 사용되는 프로세스 체임버에 대해 논의되어 있는 바처럼, 특히 약 1000psig 보다 더 큰 압력을 생성하고 견디기 위해 복잡한 것들을 필요로 하는 프로세스 체임버에 대해 특히 유리할 수 있다. 더욱이, 이산화탄소는 상대적으로 도달하기 쉬운 열역학적 임계점을 갖는 다른 유체들에 비해 상대적으로 저렴하고 따라서 그러한 이유만으로도 몇몇 실시형태들에서 프로세스 체임버를 가압하기 위해 이산화탄소를 이용하는 것이 바람직할 수 있다.
위에서 언급된 바처럼, 적어도 체임버 내부의 유체가 포화 증기압 또는 임계 압력에 도달할 때까지 유체는 프로세스 체임버 내로 도입된다. 따라서, 가압 단계 동안 프로세스 체임버 내부의 유체가 임계 온도 보다 낮은지 또는 높은지에 따라, 유체는 각각 액체 상태 또는 초임계 상태를 취할 수 있다. 이산화 탄소에 대한 그러한 현상은 도 9의 압력-온도 상평형도에 도시되어 있다. 체임버 내부의 압력이 프로세스 체임버 내부의 이산화 탄소의 온도에 의존할 수 있지만, 예를 들면 이산화탄소로 가압될 수 있는 프로세스 체임버의 압력의 예시적인 범위는 약 800psig 와 약 4000psig 사이일 수 있다. 몇몇 실시형태들에서, 프로세스 체임버가 이산화탄소로 가압될 수 있는 압력 범위는 약 800psig 와 약 2900psig 사이일 수 있다. 프로세스 체임버 내부의 이산화탄소의 온도가 그의 임계 온도 보다 높고 따라서 프로세스 체임버 가압시 초임계 상태가 도달되는 경우들에서, 프로세스 체임버가 이산화탄소로 가압될 수 있는 압력의 예시적인 범위는 약 1100 psig 와 약 4000 psig 사이, 그리고 보다 구체적으로는, 약 1500 psig 와 약 2900psig 사이일 수 있다.
도 1의 블록 (22) 에 도시된 바처럼, 블록 (20) 에 윤곽이 그려진 가압 프로세스는 마이크로일렉트로닉 토포그래피 (40) 가 건조되지 않고/또는 블록 (20) 에 언급된 유체로 프로세스 체임버가 가압되기 전에 디바이스 구조물 (44) 들이 노출되지 않는 것을 보장하기 위해 디바이스 구조물 (44) 들을 침지된 상태로 유지하는 부수적인 단계를 포함할 수 있다. 블록 (22) 에 더 언급된 바처럼, 그러한 프로세스는 블록 (16) 에 언급된 하나 이상의 비-수성 액체 화학 조성물들 중 적어도 하나의 성분을 포함하는 액체에 디바이스 구조물 (44) 들을 침지된 상태로 유지하는 것을 포함할 수 있다. 몇몇 실시형태들에서, 그러한 프로세스 단계는 도 1의 블록 (16) 에서 언급되고 도 5에 도시된 하나 이상의 비수성 액체 화학 조성물들을 가함으로써 생성되는 액체 조성물 (56) 에 디바이스 구조물 (44) 들을 침지된 상태로 유지하는 것을 포함할 수 있다. 특히, 프로세스 (54) 에 의해 생성되는 액체 조성물 (56) 의 깊이는 가압 프로세스 동안 디바이스 구조물 (44) 들을 침지된 상태로 유지할 정도일 수 있다. 또 다른 실시형태들에서, 블록 (22) 에 언급된 프로세스는, 프로세스 체임버를 가압하기 위해 사용되는 유체의 유량 (flow rate) 의 25% 미만의 유량으로 프로세스 (54) 의 후기 스테이지에서 사용되는 용매 등 하지만 이에 한정되지는 않는, 하나 이상의 비수성 액체 화학 조성물들의 하나 이상의 성분들을 마이크로일렉트로닉 토포그래피 (40) 에 가하는 것을 포함할 수 있다. 나중의 시나리오는, 블록 (16) 과 관련하여 생성된 액체 조성물 (56) 의 깊이가 가압 프로세스 동안 마이크로일렉트로닉 토포그래피 (40) 의 건조 방지 및/또는 디바이스 구조물 (44) 들의 노출을 방지할 정도가 아닌 경우에 특히 적용가능하다.
블록 (16) 과 관련하여 설명된 것들과 비슷한 이유들로, 몇몇 실시형태들에서, 디바이스 구조물 (44) 들을 액체 조성물 (56) 과 프로세스 체임버에서의 가압 기체 사이의 액체-기체 계면 아래에 적어도 약 3㎜ 정도 그리고 몇몇 경우들에서, 약 3㎜ 와 약 25㎜ 사이 그리고 보다 구체적으로는 약 5㎜와 약 12㎜ 사이에 디바이스 구조물 (44) 들을 침지된 상태로 유지하는 것이 유리할 수 있다. 하지만, 몇몇 경우들에서, 보다 작은 침지 버퍼들이 고려될 수 있다. 또 다른 실시형태들에서, 블록 (16) 과 관련하여 설명된 프로세스에 대한 논의들과 비슷하게, 가압 프로세스 동안 디바이스 구조물 (44) 들을 침지된 상태로 유지하는 것이 본 명세서에서 설명되는 방법들에 반드시 요구되는 것은 아니다. 특히, 방법들은 다르게 가압 프로세스 동안 디바이스 구조물 (44) 들의 상부 표면 아래의 수준으로 마이크로일렉트로닉 토포그래피 상의 유체를 유지할 수 있다.
위와 도 1의 블록 (20) 에서 언급된 바처럼, 유체가 기체 상태로 프로세스 체임버에 도입된다. 이런 방식으로, 유체와 액체 조성물 (56) 사이의 구별되는 액체-액체 계면이 회피될 수 있다. 특히, 이는 블록들 (20, 24 및 26) 에 윤곽이 그려진 프로세스들 동안 액체 조성물 (56) 에 유체를 혼합하여 액체 조성물 (56) 이 점진적으로 희석될 수 있도록 하는 것이 목적이다. 그러한 유체의 혼합은 기체 상태의 유체를 프로세스 체임버 속으로 도입함으로써 달성될 수 있다. 반대로, 액체 상태의 유체를 가하는 것은 유체와 액체 조성물 (56) 사이에 구별되는 액체-액체 계면을 형성하여, 액체 조성물 (56) 속으로의 유체의 혼합을 방지하고 따라서, 액체 조성물 (56) 의 희석을 억제한다. 유체와 액체 조성물 (56) 사이에 구별되는 액체-액체 계면이 존재하면, 토포그래피로부터 액체 조성물 (56) 을 플러싱할 때 액체-액체 계면에 노출되기 쉽기 때문에 디바이스 구조물 (44) 들은 붕괴에 보다 취약할 수 있다고 이론화된다. 더욱이, 액체-액체 계면은 상대적으로 일시적일 수 있고, 따라서 디바이스 구조물 (44) 들은 심지어 플러싱하기 전에도 액체-액체 계면에 대한 노출에 취약해질 수 있다. 액체-액체 계면의 계면 장력은 액체-기체 계면처럼 높을 수 있고 따라서 피처 붕괴를 야기하기 쉬울 수 있다고 생각된다.
위에서 언급된 바처럼, 본 명세서에 기재된 방법들은 몇몇 실시형태들에서 프로세스 체임버를 유체의 포화 증기압과 동일한 압력으로 가압하는 것을 (즉 프로세스 체임버의 온도가 그에 가해지는 유체의 임계 온도 보다 낮은 경우) 포함하고 따라서, 액체 상태의 유체가 프로세스 체임버에 발생될 수 있다. 하지만 그러한 프로세스가 유체와 액체 조성물 (56) 사이에 구별되는 액체-액체 계면을 반드시 형성하는 것은 아니다. 위에 언급된 바처럼, 기체 상태의 유체를 도입하는 것은 프로세스 체임버를 가압하면서 유체를 액체 조성물 (56) 에 혼합시키는 기능을 한다. 포화 증기압에 도달할 때, 유체는 액체 상태로 변하고 따라서 프로세스 체임버에서의 유체의 도입은 포화 증기압에 도달할 때 얻어지는 액체 조성물과 액체 상태의 유체의 혼합을 효과적으로 용이하게 한다. 비록 프로세스 체임버를 가압하는 프로세스 (즉, 유체를 기체 상태에서 프로세스 체임버로 도입하고 결국 유체를 액체 상태로 변형시키는 것) 이 액체-액체 계면의 형성을 방지할 정도일 수 있지만, 몇몇 경우들에서 액체 조성물 (56) 은 특히 액체-액체 계면의 형성을 더 최소화하거나 방지하도록 구성될 수 있다. 특히, 액체 조성물 (56) 은 몇몇 실시형태들에서 계면활성제와 같은 두 유체들의 분산력을 증가시키는 재료를 포함할 수도 있다.
위와 도 1의 블록 (32) 에서 언급된 바처럼, 방법은 도 1의 블록들 (14-30) 에서 윤곽이 그려진 프로세스 단계들의 시퀀스의 일부 시점에서 프로세스 체임버 내에 가열된 환경을 제공하여, 체임버를 가압하는데 사용되는 유체가 도 1의 블록 (34) 에 윤곽이 그려진 벤팅 프로세스 동안 임계 온도에 있거나 또는 임계 온도 보다 위에 있도록하는 것을 포함한다. 이런 방식으로, 프로세스 체임버를 가압하는데 사용되는 유체는 벤팅 프로세스 동안 초임계 상태를 취할 수 있다. 가령, 프로세스 체임버를 가압하는데 이산화탄소가 사용되는 경우에 약 31℃ 이상의 온도로 또는 다르게는 프로세스 체임버를 가압하는데 육플루오르화 황이 사용되는 경우 45.5℃ 이상의 온도로 프로세스 체임버가 가열될 수 있다.
위에서 언급한 바처럼, 약 1000psig 보다 더 큰 압력을 생성하고 견디도록 구성된 프로세스 체임버를 위한 가열 메카니즘들은 특히 고온의 요건들로 인하여 복잡할 수 있다. 더욱이, 프로세스 체임버를 가열하는데 필요한 에너지의 양은 온도 요건들에 따라 지수적으로 증가한다. 따라서, 몇몇 실시형태들에서 유체의 초임계 상태에 도달하기 위해 프로세스 체임버를 가열하는 온도를 감소시키는 것이 유리할 수 있다. 예를 들면 프로세스 체임버를 가압하는데 이산화탄소가 사용되는 경우에, 프로세스 체임버를 약 31℃ 와 약 60℃ 사이 그리고 몇몇 실시형태들에서, 약 31℃ 와 약 40℃ 사이의 온도로 가열을 제한하는 것이 유리할 수 있다. 하지만, 보다 높은 온도가 사용될 수 있다. 몇몇 경우들에서, 프로세스 체임버를 유체의 임계 온도 보다 1 도 이상의 온도 범위로 프로세스 체임버를 가열하여, 블록 (34) 와 관련하여 설명된 벤팅 프로세스 동안 유체의 초임계 상태가 확실히 유지될 수 있도록 하는 것이 유리할 수 있다. 예를 들면, 프로세스 체임버를 가압하기 위하여 이산화탄소가 사용되는 경우에, 약 35℃ 와 약 40℃ 사이 온도 범위 내에서 프로세스 체임버를 가열하는 것이 유리할 수 있다. 다른 온도 범위들이 고려될 수 있다.
몇몇 실시 형태들에서, 가열된 환경 (즉, 블록 (32))을 제공하는 프로세스는 프로세스 체임버를 가압한 다음에 수행될 수 있다. 다른 말로, 프로세스 체임버를 가압하는 프로세스는 유체의 임계 온도 아래의 온도 범위 내에서 수행될 수 있다. 그러한 실시형태들에서, 블록 (20) 의 프로세스에 관하여 프로세스 체임버 내에서 포화 증기압이 도달되는 경우 유체는 액체 상태로 변하게 된다. 이산화탄소를 이용하여 그러한 방식으로 프로세스 체임버를 가압하기 위한 예시적인 온도 범위는 약 30℃ 미만, 그리고 보다 구체적으로는 약 0℃ 와 약 20℃ 사이의 온도의 프로세스 체임버로 이산화탄소를 도입하는 것을 포함할 수 있다. 프로세스 체임버 내에서 포화 증기압에 도달한 다음에, 프로세스 체임버의 온도는 유체의 임계 온도 이상의 온도로 증가될 수 있다. 임계 온도에 도달하는 순간에, 유체는 초임계 상태로 변하게 된다. 블록 (34) 와 관련하여 아래에서 설명되는 벤팅 프로세스가 적어도 수행될 때까지 초임계 상태와 이에 따라 유체의 열역학적 임계점 이상의 온도와 압력이 바람직하게 유지된다.
다른 경우들에서, 가열된 환경을 제공하는 프로세스 (즉 블록 (32)) 은 프로세스 체임버를 가압하고 제 2 흐름의 유체를 프로세스 체임버에 도입하는 (즉 단계 (20, 24 및 26)) 동안 수행될 수 있다. 다른 실시형태들에서, 가열된 환경을 제공하는 프로세스는 하나 이상의 비수성 액체 화학 조성물들을 마이크로일렉트로닉 토포그래피에 가하는 (즉, 블록 (16)) 동안 또는 그 전 및/또는 마이크로일렉트로닉 토포그래피를 프로세스 체임버 (즉, 블록 (14)) 에 이송하는 (즉 블록 (14)) 동안 또는 그 전에 추가적으로 수행될 수 있다. 또 다른 추가의 경우들에서, 프로세스 체임버를 가압하기 위해 사용되는 비수성 액체 및/또는 유체는 프로세스 체임버에 들어가기 전에 가열될 수 있다. 어느 경우든, 그 후에 블록 (34) 와 관련하여 아래에서 설명되는 벤팅 프로세스가 적어도 수행될 때까지 임계 온도가 유지될 수 있다. 이런 방식으로, 프로세스 체임버 내에서 유체의 임계 압력이 도달될 때 유체는 초임계 상태로 변하고 초임계 상태로 남게 된다.
가열된 환경을 제공하여 프로세스 체임버를 가압하기 전, 그 동안 및 그 다음에 유체가 그의 임계 온도 이상이 되도록 하는 것의 장점은 그렇게 하는 것이 프로세스 체임버를 가압한 후에 가열된 환경을 제공하는 것과 비교하여 더 시간 효율적일 수 있다. 도 8에 예시된 프로세스 체임버와 관련하여 아래에서 보다 상세히 제시되는 바처럼, 프로세스 체임버는 상대적으로 높은 압력을 발생시키고 견뎌내야 하기 때문에, 프로세스 체임버는 실질적으로 두꺼운 벽을 가질 수 있다. 그러한 프로세스 체임버 내부의 온도를 증가시키는 것은 상당한 시간이 소요될 수 있고 (예를 들면 30 내지 60분 정도), 이는 제조 프로세스를 실질적으로 지연시킬 수 있고 따라서, 생산 수율에 대해서 바람직하지 못하다. 하지만 가압 단계 동안 유체를 그의 임계 온도 이상으로 하는 것의 단점은 유체가 그의 초임계 상태에서 그의 액체 상태에서 보다 밀도가 더 작고 따라서 액체 조성물 (56) 과 덜 혼합될 수 있다는 것이다. 그러한 문제를 완화시키기 위해서, 프로세스 체임버에 건조제가 가해져 액체 조성물 (56) 의 계면 장력을 감소시킬 수 있고, 이는 초임계 유체가 액체 조성물과 혼합되는 것을 가능하게 한다. 건조제는 계면활성제, 알코올 또는 임의의 다른 타입의 건조제를 포함할 수 있다. 추가적으로, 블록 (20) 에 언급된 가압 프로세스 동안, 블록 (18) 에 언급된 순수 흐름의 용매를 가하는 동안, 및/또는 블록 (16) 에 언급된 하나 이상의 비수성 액체 화학 조성물들을 가하는 동안에 건조제가 가해질 수 있다.
언제 프로세스 체임버 내의 유체가 그의 임계 온도로 가열되는지에 상관없이, 방법은, 몇몇 실시형태들에서, 유체의 포화 증기압 또는 임계압 이상의 체임버 압력에 도달한 후에 블록 (24) 으로 계속될 수 있다. 특히, 제 2 흐름의 유체가 프로세스 체임버로 도입될 수 있다. 몇몇 실시형태들에서, 제 2 흐름의 유체는 순수한 흐름의 유체 (즉, 블록 (20) 의 다양한 실시형태들에 대해 설명되는 바처럼 프로세스 체임버에 가해지는 보충 용매 또는 계면활성제를 갖지 않음) 일 수 있다. 이런 방식으로, 프로세스 체임버는 가압 프로세스 동안에 가해지는 임의의 보충 화학물질들에 대해 플러싱될 수 있다. 가압 프로세스 동안에 보충 화학물질들이 가해지지 않는 실시형태들에 있어서, 블록 (24) 에 윤곽이 그려진 프로세스는 몇몇 경우들에서 생략될 수 있고 프로세스 체임버를 가압하기 위해 사용되는 제 1 흐름의 유체의 도입이 계속될 수 있다. 제 2 흐름의 유체가 순수한 유체가 아닌 실시형태들에 있어서, 제 3 흐름의 순수 유체가 벤팅 프로세스 전에 사용되어 확실히 모든 처리 부가물 (adjunct) 들이 제거되게 할 수 있다.
블록 (26) 으로 계속되어, 프로세스 체임버는 제 2 흐름의 유체를 도입하는 것과 대략 같은 비율 (rate) 로 벤팅되어 프로세스 체임버 내의 압력이 유체의 포화 증기압 또는 임계 압력 이상으로 유지되도록 한다. 그 프로세스는 "플로-스루 프로세스" (flow-through process)" 으로 손쉽게 칭해질 수 있고, 블록 (28) 에 언급된 프로세스 체임버로부터 액체 조성물 (56) 을 플러싱하는 것을 포함한다. 특히, 도 6에 도시된 벤팅 프로세스 (58) 은 액체 조성물 (56) 의 유체 (57) 로의 교체를 일으키는데, 유체 (57) 은 프로세스 체임버 내부의 온도에 따라 액체 상태 또는 초임계 상태 중 어느 하나의 상태에서 프로세스 체임버를 가압하는데 사용되는 유체이다. 액체 조성물 (56) 을 실질적으로 제거할 정도의 기간 후에, 도 1의 블록 (30) 에 도시된 바처럼 제 2 흐름의 유체의 도입이 중단된다. 몇몇 실시형태들에서, 벤팅 프로세스 역시 중된될 수 있다. 블록 (26) 의 벤팅 프로세스를 중단하는 것은 프로세스 체임버 내의 유체가 그의 임계 온도에 있지 않은 경우들에 특히 적용가능하지만 이에 한정되지는 않는다. 이런 방식으로, 블록 (34) 에 윤곽이 그려진 다음 벤팅 프로세스 동안 초임계 상태를 취하도록 유체의 온도를 증가시키는 시간이 프로세스 체임버에 주어질 수 있다. 블록 (30) 에서 유체가 임계 온도에 있는 다른 실시형태들에서, 벤팅 프로세스가 계속될 수 있고 블록 (34) 에 윤곽이 그려진 벤팅 프로세스의 부분일 수 있다.
블록 (34) 에서 윤곽이 그려지고 도 7에 있는 프로세스 (59) 로서 도시된 벤팅 프로세스는 초임계 유체를 기체 상태로 변화시키거나 플로-스루 프로세스에서 프로세스 체임버로부터 초임계 유체를 플러싱하는 것 중 어느 한방을 위해 벤팅 프로세스 (59) 가 사용되는 점에서 벤팅 프로세스 (58)과 다르다. 어느 일방의 경우에서, 프로세스 체임버에서 액체의 형성을 방지할 정도의 방식으로 벤팅 프로세스 (59) 가 수행된다. 예를 들면, 첫번째 시나리오에서, 액체 상태의 형성 없이 초임계 상태로부터 기체 상태로 유체의 직접적인 천이를 가능하게 하는 비율로 프로세스 체임버를 벤팅함으로써 벤팅 프로세스 (59) 이 수행될 수 있다. 특히, 마이크로일렉트로닉 토포그래피 (40) 와 접촉하는 액적 (liquid droplet) 들의 형성을 일으키는 팽창 냉각을 회피하도록 벤팅 비율이 제어될 수 있다. 하지만, 몇몇 경우들에서, 천이 프로세스는 이를 테면 이산화탄소가 초임계 유체인 경우 시간 소모적일 수 있다. 특히, 초임계 이산화탄소는 높은 줄-톰슨 계수 (Joule-Thompson coefficient) 의 팽창을 갖고, 이는 유체가 기체 상태에서 팽창할 때 다량의 열이 소모됨을 의미한다. 이것은 급속 벤팅 프로세스 (예를 들면, 약 1분 미만) 가 요망되는 경우 문제가 될 수 있는데, 왜냐하면 팽창과 관련된 냉각이 프로세스 체임버에서의 압력에 따라 기체로 끓거나 초임계 상태로 다시 천이되는 것 중 어느 일방인 액체 이산화 탄소 형성을 일으키기 때문이다. 어느 일방의 경우에서, 상 천이는 마이크로일렉트로닉 토포그래피의 섬세한 피처들에 대한 손상을 일으킬 수 있다.
블록 (34) 에 대하여 가속 벤팅 프로세스를 달성하기 위한 하나의 방법은 다른 초임계 유체를 사용하여 프로세스 체임버 내의 초임계 유체를 대체하는 것이다. 그러한 프로세스에 대한 상세한 설명은 DeYoung 등에 허여된 미국 특허 제6,602,351호 및 DeYoung 등에 허여된 미국 특허 제6,905,555호에 제공되어 있고, 이들은 참조에 의해 완전히 본 명세서에 제시된 것처럼 포함된다. 바람직하게는 다른 초임계 유체는 프로세스 체임버 내의 유체 보다 낮은 밀도, 임계 온도 및 줄 톰슨 계수의 팽창을 갖는다. 따라서, 프로세스 체임버의 압력은 마이크로일렉트로닉 토포그래피 상의 피처들에 대한 손상 없이 훨씬 더 고속의 비율로 감소될 수 있다. 추가로, 그러한 기술은 프로세스 체임버 내에 액체가 형성되는 것을 야기시키지 않고 따라서 피처 붕괴의 염려가 감소된다. 가속 벤팅 프로세스에 사용될 수 있는 예시적인 초임계 유체는 헬륨, 아르곤, 질소, 산소 및 이들의 혼합물을 포함하지만 이에 한정되지는 않는다.
어느 경우든, 프로세스 체임버 내부의 압력이 대기압 또는 프로세스 체임버가 존재하는 환경의 주변 압력으로 감소된 후에, 마이크로일렉트로닉 토포그래피가 건조되고 손상되지 않을 수 있다. 마이크로일렉트로닉 토포그래피의 추가 처리는 프로세스 체임버 또는 다른 프로세스 체임버에서 뒤이어 일어날 수 있다.
위에 언급한 바처럼, 도 8은 본 명세서에 기재된 방법들의 프로세스 단계들 중 하나 이상을 수행하기 위한 예시적인 프로세스 체임버를 예시한다. 도 8에 도시된 바처럼, 처리 프로세스 (60) 은 마이크로일렉트로닉 토포그래피를 지지하도록 구성된 기판 홀더 (62) 를 포함하고 기판 홀더 (62) 를 포함하도록 구성된 용기 (66) 를 더 포함한다. 추가로, 프로세스 체임버 (60) 는 용기에 습식 화학물 (wet chemistry) 들을 공급하기 위한 하나 이상의 유체 라인/들 (68) 을 포함한다. 습식 화학물은 도 1의 블록들 (10-20) 에 윤곽이 그려진 프로세스들과 관련하여 논의된 액체 화학물들 중 임의의 것을 포함할 수 있고, 마이크로일렉트로닉 토포그래피의 디바이스 구조물들을 감싸는 희생층을 선택적으로 에칭하기 위한 습식 에칭 화학물, 에칭된 토포그래피를 린싱하기 위한 탈이온수, 가압된 환경에 노출되기 전에 토포그래피에 가하기 위한 하나 이상의 액체 조성물 및 하나 이상의 비수성 액체 화학 조성물, 및/또는 블록들 (16-20) 에 언급된 프로세스들 중 임의의 프로세스 동안에 토포그래피에 가해지는, 용매 또는 계면활성제 와 같은 보충 화학물들을 포함하지만 이에 한정되지는 않는다. 도 8에 도시된 바와 같이, 기판 홀더 (62) 상에 배열된 마이크로일렉트로닉 토포그래피가 용기 (66) 에 공급되는 습식 화학물 내에 침지될 수 있도록 프로세스 체임버 (60) 가 구성된다. 이런 방식으로, 마이크로일렉트로닉 토포그래피의 상부 표면을 포함하는 디바이스 구조물들이 침지될 수 있다. 그러한 구성 (configuration) 들은 용기 (66) 를 포함할 수 있고 용기 (66) 는 특히 기판 홀더 (62) 에 관하여 위치되며 기판 홀더 (62) 와 그 위에 거치된 마이크로일렉트로닉 토포그래피를 둘러싸는데 충분한 깊이의 욕조를 제공할 정도의 측벽들을 갖는다.
도 8에 추가로 도시된 바처럼, 프로세스 체임버 (60) 는 기판 홀더 (62) 와 용기 (66) 를 둘러싸는 밀봉가능 영역 (70) 을 포함한다. 영역 (70) 은 게이트 (74) 에 의해 밀봉될 수 있고 그 게이트 (74) 에서 마이크로일렉트로닉 토포그래피들이 프로세스 체임버로 로딩될 수 있고 프로세스 체임버로부터 언로딩될 수 있다. 몇몇 실시형태들에서, 로드 및 언로드 프러시저 (procedure) 를 돕기 위해 도 8에서 양쪽 화살표로 표기된 것처럼 기판 홀더 (62) 의 샤프트 (64) 가 이동가능할 수 있다. 프로세스 체임버 (60) 는 밀봉가능 영역 (70) 에 하나 이상의 기체들을 공급하기 위한 하나 이상의 유체 라인/들 또는 포트/들 (76) 을 더 포함한다. 기체들은 도 1의 블록들 (10-34) 에 윤곽이 그려진 프로세스들과 관련하여 논의된 유체들 중 임의의 것을 포함할 수 있으며, 마이크로일렉트로닉 토포그래피의 디바이스 구조물들을 감싸는 희생층을 에칭하기 위한 기체들과 프로세스 체임버를 가압하기 위한 기체들 그리고 프로세스 체임버를 벤팅하기 위한 기체들을 포함한다. 프로세스 체임버는 밀봉가능 영역 (70) 내의 약 1000psig 보다 큰 압력을 생성하고 견디도록 구성된다. 이런 방식으로, 프로세스 체임버는 이산화탄소 또는 육플루오르화 황과 같은 기체성 유체들을 밀봉가능 영역 (70) 내의 초임계상태로 바꾸도록 구성된다.
측벽 (72) 들은 그러한 압력들을 견딜 수 있는 물질, 이를 테면 금속으로 구성된다. 용기 (66) 에 공급될 수 있는 일부 습식 화학물의 가능한 부식성에 의해 측벽 (72) 들의 내부 표면들이 열화되지 않도록 보장하기 위하여, 프로세스 체임버 (60) 는 몇몇 경우들에서 용기에 공급되는 습식 화학물을 밀봉가능 영역의 측벽 표면들로부터 격리시키도록 구성될 수 있다. 그러한 구성들은 기판 홀더 (62) 와 그 위에 존재하는 마이크로일렉트로닉 토포그래피 주변의 습식 화학물을 포함할 정도의 깊이를 갖는 용기 (66) 를 포함할 수 있다. 추가로, 유체 라인/들 (68) 은 용기 (66)의 범위들 속으로 연장되도록 구성될 수 있다. 더욱이, 용기 (66) 는, 몇몇 실시형태들에서, 분리가능한 뚜껑을 갖게 구성될 수 있다. 그러한 경우들에서, 분리가능한 뚜껑은 마이크로일렉트로닉 토포그래피를 습식 처리하기 위해 용기 상에 놓여지고 밀봉가능 영역 (70) 내의 유체들에 노출을 위해 제거될 수 있다. 어느 경우든, 프로세스 체임버 (60) 는 밀봉가능 영역 (70) 을 가열하기 위한 하나 이상의 가열 메카니즘을 더 포함할 수 있다. 하나 이상의 가열 메카니즘은 도 8에 도시된 측벽 (72) 들의 내부를 따라 배열된 가열 코일 (78) 을 포함하지만 이에 한정되지 않는 당업자에게 알려진 임의의 형태일 수 있다. 몇몇 실시형태들에서, 추가로 또는 다르게 유체 라인 (68, 76) 을 통해 도입되는 유체들을 매개로 하여 열이 프로세스 체임버 (60) 에 도입될 수 있다. 특히, 그러한 유체들을 공급하는 유체 저장부 및/또는 유체 라인들은 가열 메카니즘이 구비될 수 있다.
위에서 언급한 바처럼, 도 8에 도시된 프로세스 체임버 (60) 의 컴포넌트들은 반드시 스케일대로 그려질 필요는 없고 체임버 및 체임버를 포함하는 컴포넌트들은 다른 구성들을 포함할 수 있다. 추가로, 프로세스 체임버 (60) 는 도 8에 도시되지 않은 컴포넌트들을 포함할 수 있다. 그러한 보조 컴포넌트들이 도면에 도시되지 않은 것은 단지 도시되고 설명된 컴포넌트들이 강조될 수 있도록 하기 위함이다.
지금까지 논의된 다양한 실시형태들은 마이크로일렉트로닉 토포그래피 (40) 가 그 위에 잔류하는 수성 액체를 에칭 프로세스 후 린스 프로세스의 부산물로서 갖는 것을 가정했다. 하지만 다른 실시형태들에서 마이크로일렉트로닉 토포그래피 (40) 는 기술된 것처럼 린스될 수 있지만 린스 프로세스는 마이크로일렉트로닉 토포그래피로부터 수성 액체들은 제거하나 다른 린스 액체들 (즉, 수성 액체들의 제거에 사용된 린스 액체들) 은 남기는 단계를 포함할 수 있다. 선택적인 에칭 프로세스 다음에 수성 액체들을 사용한 린싱 및 그 후의 수성 액체의 제거는 마이크로일렉트로닉 토포그래피가 프로세스 체임버에 배치되기 전에 수행될 수 있거나 마이크로일렉트로닉 토포그래피로부터 수성 액체를 완전히 제거하는 린싱은 프로세스 체임버 내에서 수행될 수 있다. 특별한 경우, 모든 물이 마이크로일렉트로닉 토포그래피로부터 제거되어, 오직 무수 (anhydrous) 린스 유체 (예를 들면, 이소프로필 알코올, 에틸렌 글리콜, 에탄올) 만을 마이크로일렉트로닉 토포그래피 상에 남기도록 마이크로일렉트로닉 토포그래피가 린스될 수 있다. 선택적인 에칭 단계 다음에 린싱을 위해 사용되는 모든 수성 액체가 제거될 수 있지만, 모세관 효과들 및 액체-액체 계면들과 같은 린스 액체에 의해 야기되는 피처 붕괴로부터 보호하는 방식으로 린스 액체 자체가 제거 또는 "건조" (dried) 될 필요가 있다.
비수성 액체들을 가하고 (블록 (14)), 체임버를 가압하고 (블록들 (20-24), 비수성 액체들을 플러싱하고 (블록들 (26-30)), 그리고 프로세스 체임버를 벤팅하는 것 (블록 (34)) 과 관련하여 위에서 논의된 다양한 방법들은 마이크로일렉트로닉 토포그래피 (40) 로부터 린스 액체들을 제거하는데 사용될 수 있다. 달리 언급하면, 수성 액체 잔류물들이 린스 프로세스의 부분으로서 완전히 제거되고, 오직 무수의 린스 액체들만이 마이크로일렉트로닉 토포그래피 상에 잔류하는 경우, 비수성 액체 화학 조성물들이 린스 액체들을 제거, 유화 및/또는 용해시키는데 사용된다. 따라서, 잔류 린스 액체는 비수성 액체 화학 조성물과 섞일 수 있거나 잔류 린스 액체는 비-수성 액체 화학 조성물과 같을 수 있다.
다시 도 8을 참조한다. 지금까지 논의된 다양한 실시형태들은 마이크로일렉트로닉 토포그래피에 적용된 습식 화학물이 용기 (66) 에 한정된 것으로 가정했다. 예를 들면, 비수성 액체가 마이크로일렉트로닉 토포그래피를 침지시키고 수성 액체들을 용해 및/또는 유화시키기 위해 공급되는 경우, 그러한 침지는 용기 (66) 에 비수성 액체를 공급하는 것에 의한다. 하지만, 다른 실시형태들에서 습식 화학물을 공급하는 것은 오직 용기 (66) 에 공급하는 것에 한정되지 않는다. 특히, 다른 실시형태들에서 비수성 액체 화학 조성물들을 가하는 것은 프로세스 체임버 체적의 적어도 90%가 비수성 액체 화학 조성물을 포함하고 마이크로일렉트로닉 토포그래피가 침지될 때까지 프로세스 체임버에 비수성 액체 화학 조성물을 제공하는 것을 포함한다. 몇몇 경우들에서 프로세스 체임버 체적의 적어도 95%가 비수성 액체를 포함하는 한편, 다른 경우들에서는 프로세스 체임버가 비수성 액체로 충전된다. 더욱이, 특별한 실시형태에서, 비수성 액체가 프로세스 체임버로 공급되는 한편, 프로세스 체임버 내의 압력은 실질적으로 대기압이지만, 다른 더 높고 더 낮은 압력들이 동등하게 사용될 수 있다. 몇몇 경우들에서, 비수성 액체는 프로세스 체임버로 들어가기 전에 가열된다.
다른 실시형태들에서 사용되는 비수성 액체 화학 조성물의 양 (90%의 체적 이상) 과 관련하여 몇가지 점들이 유익하다. 먼저, 체적의 적어도 90%가 비수성 액체 화학 조성물을 포함할 때까지 프로세스 체임버에 비수성 액체 화학 조성물을 제공하는 것 또는 프로세스 체임버를 충전하는 것은 반직관적인 단계 (counterintuitive step) 임을 언급한다. 특히, 비수성 액체 화학 조성물과 가압 유체의 혼합물의 표면 장력은 더 높은 농도의 비-수성 액체 화학 조성물에서 더 높고, 더 낮은 농도의 비수성 액체에서 더 낮다. 전체 목표가 낮은 표면장력 및/또는 낮은 계면 장력을 갖는 유체를 이용하여 수성 액체 (또는 다른 린싱 유체)를 제거하는 것인 한, 경향은 가능한한 적은 비수성 액체 화학 조성물을 사용하고 가능한한 많은 가압 유체를 사용하는 것이다. 다른 말로, 비수성 액체 화학 조성물과 가압 유체의 혼합물이 프로세스 체임버에서 생성되는 경우, 경향은 매우 적은 비수성 액체 화학 조성물을 사용하여 혼합물이 낮은 표면 및/또는 계면 장력을 갖도록 하는 것이다.
하지만, 본 발명의 발명자들은 상대적으로 높은 농도의 비수성 액체 화학 조성물과, 가압시 상대적으로 낮은 농도의 가압 유체를 사용함으로써 (블록들 (20, 24)), 비수성 액체 화학 조성물 (및 흡수된 수성 액체) 과 가압 유체가 균질한 혼합물을 형성하는데 필요한 시간량이 실질적으로 감소된다는 것을 알아냈다. 예를 들면, 프로세스 체임버를 충전하는 비수성 액체 화학 조성물로서 에탄올과 가압 유체로서 이산화탄소의 예시적인 경우에, 가압하는 동안 임계 압력이 도달된 후에 에탄올과 이산화탄소가 균질한 혼합물을 형성하는데 요구되는 시간의 양은 30초 이하이다. 몇몇 경우들에서, 실질적으로 이산화탄소가 프로세스 체임버에 들어감과 동시에, 이산화탄소와 에탄올이 균질한 혼합물을 형성하여, 중단 또는 체류 시간이 거의 없거나 전혀 없이 블록 (28) 의 플러싱이 시작될 수 있다. 반대로, 상대적으로 적은 량의 에탄올을 이용하는 방법 및 시스템 (예를 들면, 프로세스 체임버의 체적의 25%) 은 이산화탄소 및 에탄올이 균질한 혼합물을 형성하는데 2 내지 3분 이상을 필요로 할 수 있다. 추가 처리 전 혼합물을 균질하게 하는 것은 마이크로일렉트로닉 토포그래피가 계면 장력에 노출될 확률을 감소시킨다.
두번째로, 보다 큰 체적의 비수성 액체 화학 조성물을 사용함으로써, 보다 큰 체적의 수성 액체가 마이크로일렉트로닉 토포그래피로부터 제거될 수 있다. 특히, 그리고 비수성 액체 화학 조성물이 에탄올이고 가압 유체가 이산화탄소인 예시적인 경우에, 물은 밀한 이산화탄소와 같은 많은 초임계 유체들에서 매우 낮은 용해도를 가지므로, 에탄올 같은 극성 공용매 (cosolvent) 가 밀한 이산화탄소 혼합물에서 물의 용해도를 크게 증가 시키는데 사용될 수 있다. 균질 상 거동 (homogeneous phase behavior) 에서 유지되는 보다 높은 수성 용해도는 다상 유체 시스템 (multiple phase fluid system) 에 존재하는 계면 장력을 감소시키거나 제거한다. 물, 에탄올 및 이산화탄소에 대한 3성분계 상평형도 (ternary phase diagram) 의 평가는 이산화탄소에 관한 물의 양의 증가는 이질적인 다중상 시스템 (heterogeneous multiphase system) 을 피하기 위해 보다 많은 량의 에탄올을 내포하는 것을 나타낸다.
보다 많은 체적의 수성 액체들을 제거하기 위한 능력의 결과 적어도 2개의 가능한 처리 사이클 시간이 감소된다. 제 1 사이클 시간 감소는 도 1의 방법을 구현하기 전에 물의 양을 감소시키기 위해 보다 적은 처리가 필요할 수 있다는 것이다. 예를 들면, 몇몇 실시형태들에서 마이크로일렉트로닉 토포그래피 상의 물의 양은 연속적으로 (몇몇 경우들에서는 선형적으로) 변하는 농도들의 탈이온수와 용매 (그러한 용매는 가압 유체에 용해가능하다) 에서의 린싱에 의해 낮아질 수 있는데, 보다 높은 농도의 탈이온수로 시작되고 보다 낮은 농도의 탈이온수로 종료된다. 비수성 액체 화학 조성물의 그 체적이 보다 많은 물을 흡수하는 능력을 발생시키므로, 마이크로일렉트로닉 토포그래피의 린싱은 탈이온수만을 이용할 수 있거나 적어도 더 높은 농도의 탈이온수로 종료될 수 있다. 관련하여, 보다 많은 수성 액체를 제거하는 능력은 위의 예시적인 방법이 복수의 분리 및 구별되는 기판들 각각 상의 복수의 마이크로일렉트로닉 토포그래피들에 대해 배치 프로세스 (batch process) 로서 적용될 수 있음을 의미한다.
본 발명이 마이크로로일렉트로닉 토포그래피 들 내의 피처 붕괴를 방지하기 위한 방법 및 시스템들을 제공한다고 믿는 것이 본 개시의 혜택을 받는 당업자에게 인식될 것이다. 본 발명의 다양한 양태들의 추가의 수정 및 대안의 실시형태들이 본 설명을 고려할 때 당업자에게 분명할 것이다. 예를 들면, 본 명세서에 기재된 예들의 다수는 프로세스 체임버를 가압하고 초임계 상태를 취하기 위한 유체로 이산화탄소를 언급하지만, 본 발명에 개시된 방법들 및 시스템들은 그렇게 한정되지는 않는다. 따라서 본 설명은 예시를 위한 것으로만 해석되야 하고 당업자에게 본 발명을 실시하기 위한 일반적인 방식을 교시하기 위한 것이다. 본 발명에서 도시되고 기재된 본 발명의 형태들은 현재의 바람직한 실시형태들로 받아들여져야 한다. 엘리먼트들과 재료들이 본 발명에서 예시되고 기재된 것들 대용으로 사용될 수 있고, 부분들 및 프로세스들은 반대로 될 수 있고, 본 발명의 특정 특징들은 독립적으로 이용될 수 있다는 것 모두는 본 발명의 상세한 설명의 혜택을 받은 후 당업자에게 분명해질 것이다. 다음의 청구항들에 기재된 본 발명의 사상 및 범위를 이탈함이 없이 본 발명에 기재된 엘리먼트들에 대한 변경들이 이루어질 수 있다.

Claims (19)

  1. 프로세스 체임버 내부에 마이크로일렉트로닉 토포그래피 (microelectronic topography) 를 배치하는 단계로서, 상기 프로세스 체임버에서 상기 마이크로일렉트로닉 토포그래피는 상기 마이크로일렉트로닉 토포그래피의 표면 상에 제 1 린스 액체를 갖는, 상기 프로세스 체임버 내부에 마이크로일렉트로닉 토포그래피를 배치하는 단계;
    상기 마이크로일렉트로닉 토포그래피가 침지될 때까지 상기 프로세스 체임버에 제 2 린스 액체를 제공하는 단계;
    상기 프로세스 체임버 속으로 가스 상태에 있는 가압 유체의 제1 흐름을 도입함으로써 상기 프로세스 체임버를 가압하는 단계로서, 상기 가압 유체는 상기 제 2 린스 액체와 상이하고, 상기 프로세스 체임버 내의 압력이 1000psig 보다 큰 소정의 압력을 초과할 때까지, 상기 프로세스 체임버를 가압하는 단계;
    상기 프로세스 체임버 내의 압력이 상기 소정의 압력을 초과한 이후, 상기 프로세스 체임버 속으로 보충 용매 또는 계면활성제 없이 상기 가압 유체의 제2 흐름을 도입하는 단계로서, 상기 가압 유체는 상기 제2 린스 액체와 상이한, 상기 가압 유체의 제2 흐름을 도입하는 단계;
    상기 프로세스 체임버를 벤팅 (venting) 하면서 동시에 상기 프로세스 체임버에 상기 가압 유체의 제2 흐름을 제공하는 단계로서, 상기 프로세스 체임버에서의 상기 가압 유체의 농도가 소정의 임계치 보다 클 때까지 계속되고, 상기 프로세스 체임버 내의 압력이 1000psig 보다 큰 소정의 압력 위에 머무르도록, 상기 프로세스 체임버를 벤팅하면서 동시에 상기 프로세스 체임버에 상기 가압 유체의 제2 흐름을 제공하는 단계; 및
    상기 프로세스 체임버에서 액체의 형성을 방지하는 방식으로 상기 프로세스 체임버를 벤팅하는 단계를 포함하는, 피처 붕괴를 방지하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 마이크로일렉트로닉 토포그래피의 상기 표면 상의 상기 제 1 린스 액체는 수성 액체를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 제 2 린스 액체를 제공하는 단계는 비수성 액체 화학 조성물을 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 비수성 액체 화학 조성물을 제공하는 단계는 에탄올; 메탄올; 프로판올; 이소프로판올; 아세톤; 헥산; 펜탄; 및 헵탄으로 이루어지는 군으로부터 선택된 적어도 하나를 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  5. 제 3 항에 있어서,
    상기 비수성 액체 화학 조성물을 제공하는 단계는 상기 비수성 액체 화학 조성물의 조성을 점진적으로 변화시키면서 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 제 2 린스 액체를 제공하는 단계는 25중량% 이하의 물을 포함하는 용매를 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 용매를 제공하는 단계는 에탄올; 메탄올; 프로판올; 이소프로판올; 아세톤; 헥산; 펜탄; 및 헵탄으로 이루어지는 군으로부터 선택된 적어도 하나를 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 제 2 린스 액체를 제공하는 단계는 상기 프로세스 체임버의 체적의 적어도 90%가 상기 제 2 린스 액체를 포함할 때까지 상기 프로세스 체임버에 상기 제 2 린스 액체를 제공하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 제 2 린스 액체를 제공하는 단계는 상기 제 2 린스 액체로 상기 프로세스 체임버를 충전하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 제 2 린스 액체를 제공하는 단계는 상기 마이크로일렉트로닉 토포그래피의 상부 표면이 적어도 3밀리미터 만큼 액체-기체 계면 아래에 있도록 상기 마이크로일렉트로닉 토포그래피를 침지시키는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 프로세스 체임버를 가압하는 단계는 상기 프로세스 체임버가 상기 가압 유체의 임계 압력 이상의 압력에 도달할 때까지 상기 가압 유체에 의해 상기 프로세스 체임버를 가압하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 가압하는 단계는 이산화탄소; 및 육플루오르화 황으로 이루어지는 군으로부터 선택된 적어도 하나인 상기 가압 유체를 이용하여 가압하는 단계를 더 포함하는, 피처 붕괴를 방지하기 위한 방법.
  13. 피처 붕괴를 방지하기 위한 장치로서,
    밀봉가능 영역을 정의하고 상기 밀봉가능 영역 내에서 1000psig 보다 큰 압력을 견디도록 구성된 프로세스 체임버로서, 금속성 (metallic) 재료를 포함하는, 상기 프로세스 체임버;
    상기 프로세스 체임버 내에 배치되는 기판 홀더로서, 마이크로일렉트로닉 토포그래피 (microelectronic topography) 를 포함하는 적어도 하나의 기판을 지지하도록 구성된, 상기 기판 홀더;
    상기 프로세스 체임버 및 이산화탄소에 섞일 수 있는 비수성 액체 화학 조성물의 소스에 커플링되는 제 1 유체 유입 포트로서, 상기 장치는 상기 마이크로일렉트로닉 토포그래피가 침지될 때까지 상기 비수성 액체 화학 조성물을 상기 제 1 유체 유입 포트를 통해 상기 밀봉가능 영역에 제공하도록 구성되는, 상기 제 1 유체 유입 포트; 및
    상기 프로세스 체임버 및 이산화탄소의 소스에 커플링되는 제 2 유체 유입 포트로서, 상기 장치는 상기 밀봉가능 영역을 이산화탄소로 1000psig 보다 큰 소정 압력보다 높게 가압하도록 구성되는, 상기 제 2 유체 유입 포트를 포함하고,
    상기 프로세스 체임버가 1000psig 보다 높은 압력을 가지는 경우,
    상기 장치는 상기 프로세스 체임버 내부의 압력을 상기 소정 압력보다 높게 유지하는 동안에, 이산화탄소를 상기 밀봉가능 영역에 제공하면서 동시에 상기 밀봉가능 영역으로부터 유체를 벤팅하게 구성되고;
    내부 체적에서의 상기 이산화탄소 농도가 95% 이상인 경우,
    상기 장치는 액체 이산화탄소가 형성되지 않도록 상기 밀봉가능 영역을 벤팅하도록 구성되는, 피처 붕괴를 방지하기 위한 장치.
  14. 제 13 항에 있어서,
    상기 장치는, 상기 밀봉가능 영역의 체적의 적어도 90%가 상기 비수성 액체 화학 조성물을 포함할 때까지 상기 비수성 액체 화학 조성물을 상기 밀봉가능 영역에 제공하도록 구성되는, 피처 붕괴를 방지하기 위한 장치.
  15. 제 13 항에 있어서,
    상기 밀봉가능 영역 내에 용기를 더 포함하고, 상기 장치는 상기 마이크로일렉트로닉 토포그래피가 침지될 때까지 상기 용기에 상기 비수성 액체 화학 조성물을 제공하도록 구성되는, 피처 붕괴를 방지하기 위한 장치.
  16. 제 13 항에 있어서,
    상기 프로세스 체임버는 상기 밀봉가능 영역 내에서 이산화탄소를 초임계 상태로 변화시키도록 구성되는, 피처 붕괴를 방지하기 위한 장치.
  17. 제 13 항에 있어서,
    상기 소정 압력은 이산화탄소의 임계 압력인, 피처 붕괴를 방지하기 위한 장치.
  18. 제 13 항에 있어서,
    상기 장치는 상기 밀봉가능 영역 내의 압력이 실질적으로 대기압인 경우 상기 제 1 유체 유입 포트를 통해 상기 비수성 액체 화학 조성물을 제공하도록 구성되는, 피처 붕괴를 방지하기 위한 장치.
  19. 제 13 항에 있어서,
    상기 기판 홀더는 복수의 구별되는 기판들을 유지하도록 구성되고, 각각의 기판은 마이크로일렉트로닉 토포그래피를 포함하는, 피처 붕괴를 방지하기 위한 장치.
KR1020117006728A 2008-09-24 2009-09-18 마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템 KR101663843B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/237,070 2008-09-24
US12/237,070 US8153533B2 (en) 2008-09-24 2008-09-24 Methods and systems for preventing feature collapse during microelectronic topography fabrication
PCT/US2009/057490 WO2010036575A2 (en) 2008-09-24 2009-09-18 Methods and systems for preventing feature collapse during microelectronic topography fabrication

Publications (2)

Publication Number Publication Date
KR20110063774A KR20110063774A (ko) 2011-06-14
KR101663843B1 true KR101663843B1 (ko) 2016-10-07

Family

ID=42036562

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117006728A KR101663843B1 (ko) 2008-09-24 2009-09-18 마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템

Country Status (6)

Country Link
US (1) US8153533B2 (ko)
JP (1) JP5680539B2 (ko)
KR (1) KR101663843B1 (ko)
CN (1) CN102160149B (ko)
TW (1) TWI496209B (ko)
WO (1) WO2010036575A2 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
JP5471740B2 (ja) * 2010-04-08 2014-04-16 東京エレクトロン株式会社 基板処理装置
JP5647845B2 (ja) 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
JP6006923B2 (ja) * 2010-09-30 2016-10-12 Kisco株式会社 極微細構造体の乾燥処理装置および乾燥処理方法
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6085424B2 (ja) * 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) * 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5859888B2 (ja) * 2012-03-26 2016-02-16 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP6353447B2 (ja) * 2012-08-17 2018-07-04 マトリックス インダストリーズ,インコーポレイテッド 熱電デバイスを形成するためのシステム及び方法
TWI826650B (zh) * 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP6400919B2 (ja) * 2013-03-07 2018-10-03 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
JP6199155B2 (ja) * 2013-10-30 2017-09-20 株式会社Screenホールディングス 犠牲膜除去方法および基板処理装置
US10283344B2 (en) 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6521799B2 (ja) 2015-08-31 2019-05-29 東京エレクトロン株式会社 ハロゲン除去方法および半導体装置の製造方法
CN116206947A (zh) 2015-10-04 2023-06-02 应用材料公司 缩减空间的处理腔室
KR102314667B1 (ko) * 2015-10-04 2021-10-20 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
CN108140546B (zh) 2015-10-04 2022-04-12 应用材料公司 用于高纵横比特征的干燥工艺
KR102145950B1 (ko) 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
US10446416B2 (en) * 2016-08-09 2019-10-15 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP7038524B2 (ja) 2017-11-14 2022-03-18 東京エレクトロン株式会社 基板処理装置の洗浄装置および洗浄方法
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
JP2019096767A (ja) * 2017-11-24 2019-06-20 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102219883B1 (ko) * 2019-07-15 2021-02-25 세메스 주식회사 기판 처리 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001165568A (ja) 1999-12-06 2001-06-22 Nippon Telegr & Teleph Corp <Ntt> 超臨界乾燥方法
JP2003206497A (ja) * 2002-01-11 2003-07-22 Sony Corp 洗浄及び乾燥方法
JP2007335815A (ja) * 2006-06-19 2007-12-27 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3829541A1 (de) * 1987-09-03 1989-03-16 Ricoh Kk Blattfoermige elektrode, verfahren zur herstellung derselben und diese enthaltende sekundaerbatterie
KR100253086B1 (ko) * 1997-07-25 2000-04-15 윤종용 반도체장치제조를위한세정용조성물및이를이용한반도체장치의제조방법
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US5962743A (en) * 1998-11-12 1999-10-05 Catalytica Pharmaceuticals, Inc. Process for preparing acylaromatic compounds
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
JP4020356B2 (ja) * 2000-06-26 2007-12-12 日機装株式会社 フライアッシュ中の未燃カーボン分離装置、及び分離方法
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
KR20030046506A (ko) * 2000-10-13 2003-06-12 미셀 테크놀로지즈, 인코포레이티드 이산화탄소 및 분리형 압력용기를 이용하는 드라이크리닝처리용 기구 및 방법
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6602351B2 (en) * 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US20040016450A1 (en) * 2002-01-25 2004-01-29 Bertram Ronald Thomas Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6735978B1 (en) * 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
JP2004249189A (ja) * 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
JP2005081302A (ja) * 2003-09-10 2005-03-31 Japan Organo Co Ltd 超臨界流体による電子部品部材類の洗浄方法及び洗浄装置
JP4247087B2 (ja) * 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
CN101242914A (zh) 2005-06-16 2008-08-13 高级技术材料公司 用于除去硬化的光致抗蚀剂、蚀刻后残留物和/或底部抗反射涂层的稠密流体组合物
US20070095367A1 (en) * 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001165568A (ja) 1999-12-06 2001-06-22 Nippon Telegr & Teleph Corp <Ntt> 超臨界乾燥方法
JP2003206497A (ja) * 2002-01-11 2003-07-22 Sony Corp 洗浄及び乾燥方法
JP2007335815A (ja) * 2006-06-19 2007-12-27 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
CN102160149B (zh) 2014-09-17
WO2010036575A3 (en) 2010-06-03
KR20110063774A (ko) 2011-06-14
TWI496209B (zh) 2015-08-11
JP2012503883A (ja) 2012-02-09
WO2010036575A2 (en) 2010-04-01
US20100072169A1 (en) 2010-03-25
TW201021115A (en) 2010-06-01
CN102160149A (zh) 2011-08-17
JP5680539B2 (ja) 2015-03-04
US8153533B2 (en) 2012-04-10

Similar Documents

Publication Publication Date Title
KR101663843B1 (ko) 마이크로일렉트로닉 토포그래피 제조시 피처 붕괴를 방지하기 위한 방법 및 시스템
US8058178B1 (en) Photoresist strip method for low-k dielectrics
KR100519555B1 (ko) 반도체웨이퍼를세척및건조시키는방법및그장치
US6167891B1 (en) Temperature controlled degassification of deionized water for megasonic cleaning of semiconductor wafers
KR100837325B1 (ko) 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
JP2001319918A (ja) 基板表面の処理方法、半導体素子向け基板表面の処理方法
US20100184301A1 (en) Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US20210225637A1 (en) Freezing a sacrificial material in forming a semiconductor
US8961701B2 (en) Method and system of drying a microelectronic topography
WO2020044862A1 (ja) 基板処理方法及び基板処理装置
KR101791701B1 (ko) 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법
KR102173490B1 (ko) 비-수성 텅스텐 상용성 금속 질화물 선택적 에칭제 및 세정제
CN112885707B (zh) 存储器件的制造方法
KR20220094152A (ko) 기판 처리 장치 및 기판 처리 방법
JPWO2019159748A1 (ja) 撥水性保護膜形成用薬液、その調製方法、及び表面処理体の製造方法
JP2008004716A (ja) 高圧処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20190917

Year of fee payment: 4