KR101381309B1 - 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들 - Google Patents

계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들 Download PDF

Info

Publication number
KR101381309B1
KR101381309B1 KR1020107005289A KR20107005289A KR101381309B1 KR 101381309 B1 KR101381309 B1 KR 101381309B1 KR 1020107005289 A KR1020107005289 A KR 1020107005289A KR 20107005289 A KR20107005289 A KR 20107005289A KR 101381309 B1 KR101381309 B1 KR 101381309B1
Authority
KR
South Korea
Prior art keywords
defects
wafer
computer
metrology
population
Prior art date
Application number
KR1020107005289A
Other languages
English (en)
Other versions
KR20100071975A (ko
Inventor
알렌 박
엘리스 창
Original Assignee
케이엘에이-텐코어 코오포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코어 코오포레이션 filed Critical 케이엘에이-텐코어 코오포레이션
Publication of KR20100071975A publication Critical patent/KR20100071975A/ko
Application granted granted Critical
Publication of KR101381309B1 publication Critical patent/KR101381309B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0221Preprocessing measurements, e.g. data collection rate adjustment; Standardization of measurements; Time series or signal analysis, e.g. frequency analysis or wavelets; Trustworthiness of measurements; Indexes therefor; Measurements using easily measured parameters to estimate parameters difficult to measure; Virtual sensor creation; De-noising; Sensor fusion; Unconventional preprocessing inherently present in specific fault detection methods like PCA-based methods

Abstract

계측 샘플링 계획을 생성하기 위한 여러 다양한 컴퓨터-구현방법들, 캐리어 매체들 및 시스템들이 제공된다. 계측 샘플링 계획을 생성하기 위한 하나의 컴퓨터-구현 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계를 포함한다. 상기 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치한다. 상기 방법은 또한 상기 하나 이상의 식별된 결함들이 위치하는 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별 단계의 결과들에 기초하여 상기 계측 샘플링 계획을 생성하는 단계를 포함한다.

Description

계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들{COMPUTER-IMPLEMENTED METHODS, CARRIER MEDIA, AND SYSTEMS FOR GENERATING A METROLOGY SAMPLING PLAN}
본 발명은 일반적으로 계측 샘플링 계획(metrology sampling plan)을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들에 관한 것이다. 특정 실시예들은 웨이퍼 상의 미리 결정된 패턴에 배치된 결함들의 모집단(population)에 포함되고 하나 이상의 비정상 속성들을 갖는 하나 이상의 개별 결함들이 배치된 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 계측 샘플링 계획을 생성하는 단계를 포함하는 컴퓨터-구현 방법에 관한 것이다.
이하의 설명 및 예들은 본 섹션에 포함된다는 이유로 선행기술인 것으로 인정되는 것은 아니다.
로직 및 메모리 장치들과 같은 반도체 장치들을 제조하는 것은 전형적으로 반도체 장치들의 여러 다양한 피쳐(feature)들 및 다중 레벨들을 형성하기 위해 다수의 반도체 제조 프로세스들을 사용하여 반도체 웨이퍼와 같은 기판을 프로세싱하는 단계를 포함한다. 예컨대, 리소그래피는 레티클(reticle)으로부터의 패턴을 반도체 웨이퍼 상에 배열된 레지스트(resist)로 전사하는 단계를 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스들의 부가적인 예들은 화학적-기계적 연마(CMP), 에칭, 증착 및 이온 주입을 포함하나, 이에 제한되는 것은 아니다. 다수의 반도체 장치들은 단일 반도체 웨이퍼 상의 하나의 배열(arrangement)로 제조되어 그 다음 개별 반도체 장치들로 분리될 수 있다.
검사 프로세스(inspection process)들은 제조 프로세스에서 더 높은 양품률(yield)을 촉진하여 더 높은 수익을 촉진하기 위해 웨이퍼들 상의 결함들을 검출하도록 반도체 제조 프로세스 동안 여러 다양한 단계들에서 사용된다. 검사는 항상 반도체 장치들을 제조하는 것에 있어 중요한 부분이었다. 그러나 반도체 장치들의 치수(dimension)들이 감소함에 따라, 더 작은 결함들이 상기 반도체 장치들을 고장나게 할 수 있기 때문에 검사는 허용가능한 반도체 장치들의 성공적인 제조에 훨씬 더 중요해졌다. 예컨대, 반도체 장치들의 치수들이 감소함에 따라, 감소하는 크기의 결함들의 검출은 필수적이 되었는데, 그 이유는 비교적 작은 결함들조차 반도체 장치들에 원치 않는 이상(aberration)들을 야기할 수 있기 때문이다.
제조 양품률 제어의 또 다른 중요한 부분은 결함들의 원인이 교정될 수 있고 그에 의해 다른 웨이퍼들 상의 결함들의 수가 감소될 수 있도록 웨이퍼 상의 결함들의 원인을 결정하는 것이다. 종종, 결함들의 원인을 결정하는 것은 결함 타입 및 크기, 형태, 조성 등과 같은 결함들의 다른 속성들을 식별하는 것을 수반한다. 검사는 전형적으로 단지 웨이퍼 상의 결함들을 검출하는 것 및 웨이퍼 상의 위치, 웨이퍼 상의 결함들의 수, 및 때때로 결함 크기와 같은 결함들에 관한 제한된 정보를 제공하는 것을 수반하기 때문에, 계측은 종종 검사 결과들로부터 결정될 수 있는 것보다 개별 결함들에 관한 더 많은 정보를 결정하기 위하여 사용된다. 예컨대, 계측 툴은 웨이퍼 상의 검출된 결함들을 다시 찾고(revisit) 자동으로 또는 수동으로 소정의 방식으로 더 결함들을 조사하기 위해 사용될 수 있다.
계측 프로세스들은 또한 반도체 제도 프로세스를 모니터링하고 제어하기 위하여 반도체 제조 프로세스 동안 여러 단계들에서 사용된다. 계측 프로세스들은 결함들이 웨이퍼 상에서 검출되는 검사 프로세스들과 달리, 현재 사용된 검사 툴들로부터 결정될 수 없는 웨이퍼의 하나 이상의 특성들을 측정하기 위해 계측 프로세스들이 사용된다는 점에서 검사 프로세스들과 상이하다. 예컨대, 계측 프로세스들은 프로세스의 성능이 상기 하나의 이상의 특성들로부터 결정될 수 있도록 상기 프로세스 동안 웨이퍼 상에 형성된 피쳐들의 치수(예컨대, 선 폭, 두께 등)와 같은 웨이퍼의 하나 이상의 특성들을 측정하기 위해 사용된다. 부가하여, 만약 웨이퍼의 상기 하나 이상의 특성들이 허용될 수 없다면(예컨대, 상기 특성(들)의 미리 결정된 범위 밖에 있음), 웨이퍼의 상기 하나 이상의 특성들의 측정들은 상기 프로세스에 의해 제조된 부가적인 웨이퍼들이 허용가능한 특성(들)을 갖도록 상기 프로세스의 하나 이상의 파라미터들을 변경하기 위해 사용될 수 있다.
그러나, 프로세스 모니터링 및 제어 애플리케이션들을 위하여 웨이퍼의 하나 이상의 특성들을 측정하기 위해 계측 프로세스들 및 툴들을 사용하는 것에 있어 많은 단점들이 존재한다. 예컨대, 대부분의 계측 툴들은 비교적 느리고, 특히 검사 시스템들에 비해 느리다. 따라서, 계측 프로세스들은 계측 결과들이 비교적 합당한 방식으로 획득될 수 있도록 종종 웨이퍼 상의 하나의 위치 또는 제한된 개수의 위치들에서 수행된다. 그러나 반도체 장치들을 제조하기 위해 사용된 다수의 프로세스들은 웨이퍼들의 표면에 걸쳐 변화하는 특성(들)을 갖는 웨이퍼들을 생산한다. 그와 같이, 웨이퍼 상의 하나의 위치 또는 제한된 개수의 위치들에서 수행된 계측 측정들을 사용하는 것은 상기 프로세스가 정확히 모니터링되고 제어될 수 있도록 하기에 웨이퍼들의 특성(들)에 관한 충분한 정보를 제공할 수 없다. 따라서, 계측 프로세스의 샘플링 계획은 계측 결과들의 중요성(meaningfulness) 및 유용성에 현저히 영향을 미칠 수 있다.
그러나 현재 사용되는 계측 샘플링 계획들에는 많은 단점들이 존재한다. 예컨대, 전통적인 계측 샘플링 접근법들은 웨이퍼에 걸친 샘플들을 나타내거나 웨이퍼 상의 이전에 알려진 문제 영역들에 기초할 수 있는 웨이퍼 상의 고정된 위치들에 기초한다. 그 다음 측정들은 고정된 샘플 계획이 조정(예컨대, 수동으로)될 때까지 주어진 사이트(site)들 상에서 수행될 수 있다. 따라서, 현재 사용되는 계측 샘플링 계획들의 단점들 중 하나는 계측 동안 샘플링된 고정된 사이트들이 상기 프로세스에서의 미묘한 변동들에 민감하지 않을 수 있다는 것이다. 예컨대, 임계적 치수(critical dimension: CD)가 고정된 샘플링이 커버하지 않는 웨이퍼 상의 영역들에서 가변되는 경우에, 중요한 탈선(excursion)을 놓칠 수 있고, 이것은 특히 65 nm 또는 그 너머에서의 기술 노드들에서 프로세스 개발에 임계적일 수 있다.
따라서, 상기 프로세서의 중요한 탈선들이 비검출되지 않게 하도록 웨이퍼 상에서 수행된 프로세서의 미묘한 변동들에 더 민감한 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들을 개발하는 것이 유리할 수 있다.
방법들, 캐리어 매체들 및 시스템들의 여러 다양한 실시예들에 대한 이하 설명은 어떠한 방식으로든 첨부된 청구항들의 청구 대상을 제한하는 것으로서 해석되지 않아야 한다.
일 실시예는 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계를 포함한다. 상기 결함들의 모집단은 웨이퍼 상에서 미리 결정된 패턴에 위치한다. 상기 방법은 또한 상기 하나 이상의 식별된 결함들이 위치한 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별 단계의 결과들에 기초하여 상기 계측 샘플링 계획을 생성하는 단계를 포함한다.
일 실시예에서, 상기 방법은 상기 웨이퍼의 검사 결과들에 기초하여 상기 개별 결함들의 상기 하나 이상의 속성들을 결정하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 상기 결함들의 모집단에 포함된 상기 개별 결함들의 상기 하나 이상의 속성들에 기초하여 상기 결함들의 모집단의 상기 하나 이상의 속성들을 결정하는 단계를 포함한다.
일 실시예에서, 상기 결함들의 모집단이 위치한 상기 미리 결정된 패턴은 상기 웨이퍼 상의 다수 위치들에서 형성된다. 또 다른 실시예에서, 상기 미리 결정된 패턴은 상기 웨이퍼 상에 패터닝된 전체 설계 중 단지 일 부분만을 포함한다. 부가적인 실시예에서, 상기 미리 결정된 패턴은 관심 패턴(a pattern of interest)을 포함한다. 추가 실시예에서, 상기 미리 결정된 패턴은 상기 웨이퍼 상의 또 다른 패턴보다 프로세스 변동들에 더 민감한 패턴을 포함한다.
일 실시예에서, 상기 방법은 상기 웨이퍼에 대한 설계 데이터를 사용하여 상기 미리 결정된 패턴을 식별하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 각각의 그룹들에서의 상기 결함들의 위치들에 근접한 설계 데이터의 부분들이 적어도 유사하도록 그룹들로 상기 웨이퍼 상에서 검출된 결함들을 비닝(binning)함으로써 상기 미리 결정된 패턴을 식별하는 단계를 포함한다.
일 실시예에서, 상기 방법은 상기 웨이퍼 상의 상기 미리 결정된 패턴의 위치들과 상기 웨이퍼의 검사 결과들을 오버레이(overlay)함으로써 상기 결함들의 모집단을 식별하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 상기 웨이퍼 상에서 검출된 결함들의 위치들과 상기 웨이퍼 상의 상기 미리 결정된 패턴의 위치들을 비교함으로써 상기 결함들의 모집단을 식별하는 단계를 포함한다. 부가적인 실시예에서, 상기 방법은 상기 웨이퍼 상에서 검출된 결함들 근처의 패턴들을 데이터 구조로 저장된 하나 이상의 패턴들과 비교함으로써 상기 결함들의 모집단을 식별하는 단계를 포함한다.
일 실시예에서, 상기 하나 이상의 개별 결함들을 식별하는 단계는 상기 결함들의 모집단의 상기 하나 이상의 속성들의 통계적 분석을 포함한다. 또 다른 실시예에서, 상기 하나 이상의 개별 결함들을 식별하는 단계는 상기 개별 결함들의 이미지들의 통계적 분석을 포함한다. 부가적인 실시예에서, 상기 하나 이상의 식별된 개별 결함들은 상기 결함들의 모집단에 포함된 상기 개별 결함들 모두보다 더 적은 개수의 개별 결함들을 포함한다. 추가 실시예에서, 상기 하나 이상의 개별 결함들을 식별하는 단계의 결과들은 상기 미리 결정된 패턴이 형성된 상기 웨이퍼 상의 다른 영역들보다 상기 미리 결정된 패턴이 프로세스 변동들에 더 민감한 상기 웨이퍼 상의 상기 하나 이상의 영역들에 위치한 상기 하나 이상의 개별 결함들을 포함한다.
일 실시예에서, 상기 방법은 상이한 미리 결정된 패턴들에 대해 상이한 계측 샘플링 계획들이 생성되도록 상기 웨이퍼 상의 상기 상이한 미리 결정된 패턴들에 대해 별개로 상기 방법을 수행하는 단계를 포함한다. 또 다른 실시예에서, 상기 계측 샘플링 계획을 생성하는 단계는 동적으로(dinamically) 수행된다. 부가적인 실시예에서, 상기 계측 샘플링 계획을 생성하는 단계는 웨이퍼-대-웨이퍼 기반 상에서 수행된다.
일 실시예에서, 상기 결함들은 패턴 결함들을 포함한다. 또 다른 실시예에서, 상기 계측은 임계적 치수 계측을 포함한다.
전술한 상기 방법의 각 단계들은 본 명세서에서 더 기술되는 바와 같이 더 수행될 수 있다. 부가하여, 전술한 상기 방법의 각 실시예들은 본 명세서에서 기술된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다. 부가하여, 전술한 상기 방법의 각 실시예들은 본 명세서에서 기술된 시스템들 중 임의의 시스템에 의해 수행될 수 있다.
또 다른 실시예는 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행가능한 프로그램 명령들을 포함하는 캐리어 매체들에 관한 것이다. 상기 컴퓨터-구현 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계를 포함한다. 상기 결함들의 모집단은 웨이퍼 상에서 미리 결정된 패턴에 위치한다. 상기 컴퓨터-구현 방법은 또한 상기 하나 이상의 식별된 결함들이 위치한 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별 단계의 결과들에 기초하여 상기 계측 샘플링 계획을 생성하는 단계를 포함한다.
전술한 상기 캐리어 매체는 본 명세서에서 기술된 바와 같이 더 구성될 수 있다. 상기 컴퓨터-구현 방법의 단계들은 본 명세서에서 더 기술된 바와 같이 수행될 수 있다. 부가하여, 상기 프로그램 명령들이 실행가능한 상기 컴퓨터-구현 방법은 본 명세서에서 기술된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다.
부가적인 실시예는 계측 샘플링 계획을 생성하도록 구성된 시스템에 관한 것이다. 상기 시스템은 웨이퍼 상의 결함들을 검출하도록 구성된 검사 시스템을 포함한다. 상기 시스템은 또한 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하도록 구성된 컴퓨터 시스템을 포함한다. 상기 결함들의 모집단은 상기 웨이퍼 상에서 미리 결정된 패턴에 위치한다. 상기 컴퓨터 시스템은 또한 상기 하나 이상의 식별된 결함들이 위치한 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 하나 이상의 식별된 결함들에 기초하여 상기 계측 샘플링 계획을 생성하도록 구성된다. 상기 시스템은 본 명세서에서 기술된 임의의 실시예(들)에 따라 더 구성될 수 있다.
본 발명의 추가 이점들은 선호되는 실시예들의 이하 상세한 설명의 도움으로 첨부 도면들을 참조하여 당업자들에게 명백해질 것이다.
도 1은 본 명세서에서 기술된 실시예들에 의해 사용될 수 있는 웨이퍼의 검사 결과들의 일 예를 도시하는 개략적인 다이어그램이다.
도 2는 웨이퍼의 검사 결과들을 웨이퍼 상의 미리 결정된 패턴의 위치들과 오버레이하는 일 실시예의 결과들의 일 예를 도시하는 개략적인 다이어그램이다.
도 3은 결함들의 모집단의 하나 이상의 속성들의 통계적 분석의 일 실시예의 결과들에 대한 일 예를 도시하는 개략적 다이어그램이다.
도 4는 웨이퍼 상의 미리 결정된 패턴에 위치한 결함들의 모집단 내 포함되고 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 개별 결함, 및 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖지 않는 모집단에 포함된 개별 결함들에 대한 일 예의 평면도를 도시하는 개략적 다이어그램이다.
도 5는 본 명세서에서 기술된 컴퓨터-구현 방법의 하나 이상의 실시예들을 수행하기 위한 컴퓨터 시스템 상에서 실행가능한 프로그램 명령들을 포함하는 캐리어 매체의 일 실시예 및 계측 샘플링 계획을 생성하도록 구성된 시스템의 일 실시예에 대한 측면도를 도시하는 개략적 다이어그램이다.
본 발명은 여러 다양한 변형예들 및 대안 형태들이 가능한 반면, 본 발명의 특정 실시예들이 도면들에서 예의 방식으로 도시되고 본 명세서에 상세히 기술될 수 있다. 도면들은 척도에 맞지 않을 수 있다. 그러나 도면들 및 그에 대한 상세한 설명은 본 발명을 개시된 특정 형태로 제한하고자 의도된 것이 아니라, 반대로 첨부된 청구항들에 의해 정의된 본 발명의 사상 및 범위 내에 해당하는 모든 변형예들, 균등예들 및 대안예들을 포함하는 것으로 의도된다.
본 명세서에서 사용되는 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 재료로 형성된 기판들을 지칭한다. 그러한 반도체 또는 비-반도체 재료의 예들은 단결정 실리콘(monocrystalline silicon), 갈륨 비소(gallium arsenide), 인듐 포스파이드(indium phosphide)를 포함하나, 이에 제한되는 것은 아니다. 그러한 기판들은 반도체 제조 설비들에서 흔히 발견될 수 있거나/있고 프로세싱될 수 있다.
웨이퍼는 기판 상에 형성된 하나 이상의 층들을 포함할 수 있다. 예컨대, 그러한 층들은 레지스트, 절연 재료, 도전성 재료 및 반도전성 재료를 포함할 수 있으나, 이에 제한되는 것은 아니다. 그러한 층들의 다수의 상이한 타입들이 당업계에 공지되어 있고, 본 명세서에서 사용되는 것으로서 용어 "웨이퍼"는 그러한 층들의 모든 타입들을 포함하는 웨이퍼를 망라하는 것으로 의도된다.
웨이퍼 상에 형성된 하나 이상의 층들은 패터닝되거나 패터닝되지 않을 수 있다. 예컨대, 웨이퍼는 복수 개의 다이(die)들을 포함할 수 있고, 각각의 다이는 반복가능한 패터닝된 피쳐들을 갖는다. 재료의 그러한 층들의 형성 및 프로세싱은 궁극적으로 완성된 장치들을 야기할 수 있다. 집적회로(IC)들과 같은 다수의 상이한 타입들의 장치들은 웨이퍼 상에서 형성될 수 있고, 본 명세서에서 사용되는 것으로서 용어 "웨이퍼"는 당업게에 공지된 임의 타입의 장치가 제조되고 있는 웨이퍼를 망라하는 것으로 의도된다.
실시예들이 웨이퍼들에 관련하여 본 명세서에서 기술되고 있지만, 실시예들은 통상 마스크 또는 포토마스크로서 지칭될 수도 있는 레티클과 같은 또 다른 표본에 대하여 사용될 수 있음이 이해되어야 한다. 다수의 상이한 타입들의 레티클들이 당업계에 공지되어 있고, 본 명세서에서 사용되는 용어들 "레티클", "마스크" 및 "포토마스크"는 당업계에 공지된 모든 타입들의 레티클들을 망라하는 것으로 의도된다.
본 명세서에서 사용되는 용어 "설계 데이터(design data)"는 일반적으로 IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 단순한 기하학적 및 부울(Boolean) 연산들을 통해 물리적 설계로부터 도출된 데이터를 지칭한다. 부가하여, 레티클 검사 시스템에 의해 획득된 레티클의 이미지 및/또는 그것의 파생물들은 설계 데이터에 대한 "대리물(proxy)" 또는 "대리물들"로서 사용될 수 있다. 그러한 레티클 이미지 또는 그것의 파생물들은 설계 데이터를 사용하는 본 명세서에서 기술된 임의의 실시예들에서의 설계 레이아웃에 대한 대체물로서 역할할 수 있다. 설계 데이터는 본원과 공동 출원인의 Kulkarni 등에 의한 미국 특허 출원 제11/561,735호, 및 Zafar 등에 의한 미국 특허 출원 제11/561,659호에 기술된 임의의 다른 설계 데이터 또는 설계 데이터 대리물들을 포함할 수 있고, 상기 양 출원들은 모두 2006년 11월 20일자로 출원되었으며, 상기 양 출원들은 전체가 완전히 본 명세서에 기술된 것처럼 참조에 의해 본 명세서에 편입된다.
이제 도면들을 살펴보면, 도면들을 스케일링되어 도시되지 않았음이 인지된다. 특히, 도면들 중 소정의 엘리먼트들의 스케일은 그러한 엘리먼트들의 특성들을 강조하기 위하여 많이 과장된다. 또한 도면들은 동일한 스케일로 그려지지 않았음이 인지된다. 유사하게 구성될 수 있는 2개 이상의 도면에 도시된 엘리먼트들은 동일한 참조 번호들을 사용하여 표시되었다.
일반적으로, 본 명세서에서 기술된 실시예들을 계측 샘플링 계획을 생성하는 것을 포함한다. 일 실시예는 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법에 관한 것이다. 본 명세서에서 더 기술되는 바와 같이, 상기 방법은 프로세스 변동들로부터 야기될 수 있는 다이 및/또는 웨이퍼 내의 비교적 작은 임계적 치수(CD) 변동들이 고정된 샘플링 계획들에 의해 다른 방식으로 검출될 수 없는 임계적 치수(CD) 샘플링 사이트들을 동적으로 식별하기 위해 사용될 수 있다. 부가하여, 본 명세서에서 더 기술되는 바와 같이, 상기 방법들은 설계-인식(design-aware) 검사 출력에 기초하여 동적 CD 측정들에 대해 사용될 수 있다.
일 실시예에서, 웨이퍼 상에서 검출된 결함들은 패턴 결함들을 포함한다. 패턴 결함들은 조직적 결함(systematic defect)들을 포함할 수 있다. 패턴 또는 조직적 결함들은 앞서 참조에 의해 편입된 Kulkarni 등에 의한 특허 출원 및 Zafar 등에 의한 특허 출원에 기술된 바와 같이 웨이퍼 상에서 검출된 다른 결함들(예컨대, 랜덤 결함들 및/또는 누이상스 결함(nuisance defect)들)로부터 식별되고 분리될 수 있다. 그러나 그러한 결함들은 당업계에 공지된 임의의 다른 결함들(예컨대, 랜덤 결함들)을 포함할 수 있다. 부가하여, 상기 결함들은 상이한 타입들의 결함들(예컨대, 조직적 결함들 및 랜덤 결함들)을 포함할 수 있는, 그리고 웨이퍼의 검사 결과들에 의존하여 가변될 웨이퍼 상에서 검출된 모든 결함들을 포함할 수 있다.
상기 방법은 웨이퍼 상의 결함들을 검출하는 단계를 포함한다. 예컨대, 상기 방법은 샘플 웨이퍼들을 사용하여 결함 검사를 수행하는 단계를 포함할 수 있다. 이러한 방식으로, 상기 방법은 웨이퍼의 검사를 수행함으로써(예컨대, 본 명세서에서 기술된 검사 시스템 또는 또 다른 적합한 검사 시스템을 사용하여 웨이퍼의 하나 이상의 스캔들을 수행함으로써) 웨이퍼의 검사 결과들을 생성하는 단계를 포함할 수 있다. 그러나 본 명세서에서 기술된 실시예들은 웨이퍼의 검사를 수행하는 단계를 포함하지 않을 수도 있다. 예컨대, 본 명세서에서 기술된 실시예들은 검사를 수행한 검사 시스템으로부터, 또는 검사 시스템이 웨이퍼의 검사 결과들을 저장한 저장 매체(예컨대, 검사 시스템의 저장 매체, 팹(fab) 데이터베이스 등)로부터 웨이퍼의 검사 결과들을 획득하는 것을 포함할 수 있다.
상기 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계를 포함한다. 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치한다. 일 실시예에서, 결함들의 모집단이 위치한 미리 결정된 패턴은 웨이퍼 상의 다수 위치들에서 형성된다. 또 다른 실시예에서, 미리 결정된 패턴은 웨이퍼 상에 패터닝된 전체 설계의 단지 일 부분만을 포함한다. 예컨대, 웨이퍼 상에 형성된 다이는 다수의 상이한 패턴들을 포함할 수 있고, 미리 결정된 패턴은 웨이퍼 상에 형성된 다이의 단지 일 부분만을 형성하는 하나의 특정 패턴을 포함할 수 있다. 따라서 미리 결정된 패턴은 웨이퍼 상에 형성된 각각의 다이에 형성될 수 있다. 이러한 방식으로, 미리 결정된 패턴의 적어도 하나의 인스턴스(instance)는 웨이퍼 상의 각각의 다이에 형성될 수 있다. 부가하여, 각각의 다이는 미리 결정된 패턴의 하나의 인스턴스보다 더 많은 인스턴스들을 포함할 수 있다(예컨대, 다이 내 미리 결정된 패턴의 반복에 의존하여). 따라서, 미리 결정된 패턴은 웨이퍼 상에 형성된 하나보다 많은 수의 다이에서 그리고 다이 내 다수의 인스턴스들에서 형성될 수 있다.
미리 결정된 패턴은 또한 관심 패턴(pattern of interest; POI)을 포함할 수 있다. POI는 임의의 적합한 방식으로 사용자에 의해 선택될 수 있다(예컨대, 사용자가 관심을 갖는 패턴을 선택함으로써 수동으로). 소정의 실시예들에서, 상기 방법은 POI를 식별하는 단계를 포함한다. 예컨대, POI는 본 명세서에서 기술된 실시예들에 의해 선택될 수 있다(예컨대, 자동으로 또는 사용자로부터의 어떠한 입력도 없이). POI는 전자 설계 자동화(electronic design automation; EDA) 툴들로부터의 데이터, 설계 기반 비닝(design based binning; DBB)을 수행하도록 구성된 명시야(bright field; BF) 검사 시스템과 같은 검사 시스템에 의해 본 명세서에서 기술된 바와 같이 수행될 수 있는 설계 기반 비닝(design based binning; DBB), 및 다른 지식에 기초하여 식별될 수 있다. 예컨대, 임계 경로 정보(critical path information)와 같은 웨이퍼에 대한 설계에 관한 정보는 EDA 툴에 의해 생성될 수 있다. 그러한 예에서, 임계 경로 정보는 캘리포니아 서니베일의 Blaze DFM, Inc.부터 상업적으로 구입가능한 Blaze MO™ 최적화 소프트웨어와 같은 EDA 툴들, 및 캘리포니아 산호세의 Cadence Design Systems, Inc.로부터 상업적으로 구입가능한 툴들로부터 이용가능할 수 있다. EDA 툴에 의해 생성된 설계에 관한 그러한 임의의 정보는 POI를 식별하는데 사용될 수 있다. 예컨대, POI는 임계 경로의 일부를 형성하거나 POI와 동일한 층 또는 웨이퍼의 또 다른 층 상의 임계 경로에 또는 임계 경로 근처에 위치하는 패턴으로서 선택될 수 있다. 부가하여, 설계 데이터는 임의의 적합한 방식으로 하나 이상의 POI들에 대해 검색될 수 있다. 예컨대, 하나 이상의 POI들에 대하여 설계 데이터를 검색하는 것은 Kulkarni 등 및 Zafar 등에 의한 전술한 특허 출원들에 기술된 바와 같이 수행될 수 있다. 부가하여, POI(들)는 상기 특허 출원들에서 기술된 임의의 다른 방법 또는 시스템을 사용하여 선택되거나 식별될 수 있다.
일 실시예에서, 미리 결정된 패턴은 웨이퍼 상의 또 다른 패턴보다 프로세스 변동들에 더 민감한 패턴을 포함한다. 상기 방법은 프로세스 변동들에 상대적으로 민감한 패턴을 식별하는 단계를 포함할 수 있다. 그러한 미리 결정된 패턴은 EDA 툴들로부터의 데이터, 본 명세서에서 기술된 대로 수행될 수 있는 DBB, 및 다른 지식에 기초하여 식별될 수 있다. 예컨대, 그러한 실시예에서, 다른 패턴보다 프로세스 변동들에 더 민감한 패턴은 실험 결과들(예컨대, 패턴을 포함하고 있는 웨이퍼들에 대해 생성된 검사 결과들, 결함 검토 결과들, 계측 결과들, 실패 분석 결과들 등)에 기초하여 결정될 수 있다. 그러한 또 다른 실시예에서, 웨이퍼 상의 다른 패턴들보다 프로세스 변동들에 더 민감한 패턴은 경험적 데이터(예컨대, 치수, 간격, 패턴 밀도 등과 같은 웨이퍼 상에 형성된 패턴들의 하나 이상의 속성들에 관한 정보)에 기초하여 결정될 수 있다. 그러한 경험적 데이터는 당업계에 알려진 임의의 방식으로(예컨대, 웨이퍼에 대한 설계 데이터로부터) 획득될 수 있다. 이러한 방식으로, 소정의 실시예들에서, 상기 방법은 웨이퍼에 대한 설계 데이터를 사용하여 미리 결정된 패턴을 식별하는 단계를 포함한다.
또 다른 실시예에서, 상기 방법은 각각의 그룹 내 결함들의 위치들에 근접한 설계 데이터의 부분들이 적어도 유사하도록 웨이퍼 상에서 검출된 결함들을 그룹들로 비닝함으로써 미리 결정된 패턴을 식별하는 단계를 포함한다. 이러한 방식으로, 상기 방법은 DBB로서 지칭될 수 있는 결함들의 위치들에 근접한 설계 데이터에 기초하여 결함들을 비닝하는 단계를 포함할 수 있다. 그와 같이, 결함들의 상이한 그룹들은 설계 데이터의 상이한 부분들에 위치할 수 있고, 따라서 설계 데이터에서의 근접한 상이한 패턴들에 위치할 수 있다. 따라서, 결함들의 그룹들의 하나 이상의 속성들(예컨대, 각 그룹들에 포함된 결함들의 수)이 결함들에 더 민감하거나 더 높은 결함도를 나타내는 패턴들을 결정하는데 사용될 수 있다. 결함들에 더 민감하거나 더 높은 결함도를 나타내는 패턴은 미리 결정된 패턴으로서 선택될 수 있다. 따라서 그러한 패턴들은 제조 프로세스의 양품률에 더 관련되어 있다. 이러한 방식으로, 본 명세서에서 기술된 실시예들은 약한 패턴들을 식별하고 그러한 패턴들에 대한 동적인 CD 샘플링을 제공하기 위하여 설계-인식 검사 결과들의 적용을 위해 사용될 수 있다. 그와 같이, 그러한 패턴들에 대하여 본 명세서에서 기술된 바와 같이 계측 샘플링 계획들을 생성하는 것은 특히 유리할 수 있는데, 그 이유는 이러한 양품률 관련 패턴들에서 발생하는 프로세서 탈선들이 검사 결과들에 관계없이 고정된 샘플링 계획들에 비해 본 명세서에 기술된 샘플링 계획들을 사용하여 수행된 계측에 의해 검출될 가능성이 더 높기 때문이다. 전술한 바와 같이 결함들을 비닝하는 것은 앞서 참조에 의해 편입된 Kulkarni 등 및 Zafar 등에 의한 특허 출원들에 더 상세히 기술된 바와 같이 수행될 수 있다.
전술한 바와 같이, DBB의 결과들은 웨이퍼 상의 상이한 패턴들에 대응하는 결함들의 그룹들을 포함할 수 있다. 따라서, 미리 결정된 패턴에 위치한 결함들의 모집단은 웨이퍼에 대해 샘플링 계획이 생성되고 있는 해당 웨이퍼에 대해 수행된 DBB의 결과들에 기초하여 결정될 수 있다. 예컨대, DBB에 의해 결정된 바와 같은 미리 결정된 패턴에 대응하는 결함들의 그룹은 해당 미리 결정된 패턴에 대한 결함들의 모집단으로서 사용될 수 있다.
또 다른 실시예에서, 상기 방법은 웨이퍼의 검사 결과들을 웨이퍼 상의 미리 결정된 패턴의 위치들과 오버레이함으로써 결함들의 모집단을 식별하는 단계를 포함한다. 웨이퍼의 검사 결과들은 본 명세서에서 기술된 바와 같은 임의의 적합한 프로세스 및 임의의 적합한 검사 시스템을 사용하여 획득될 수 있다. 예컨대, 검사 결과들은 BF 검사 시스템, 전자 빔 기반 검사 시스템 또는 당업계에 공지된 임의의 다른 적합한 검사 시스템을 사용하여 획득될 수 있다. 이러한 방식으로, 본 명세서에서 기술된 실시예들에 의해 사용될 수 있는 검사 결과들은 BF, 암시야(dark field; DF), 및 전자 빔 검사 기술들을 포함한 여러 다양한 검사 기술들에 의해 생성될 수 있다. 검사 결과들은 또한 웨이퍼의 검사 동안 또는 웨이퍼를 검사하기 위해 사용된 검사 시스템에 의해 생성된 임의의 정보를 포함할 수 있다. 예컨대, 검사 결과들은 검사 시스템에 의해 보고된 결함 위치들, 검사 시스템에 의해 보고된 결함 크기들, 검사 시스템에 의해 생성된 패치 이미지(patch image)들과 같은 결함들의 이미지, 또는 검사 시스템에 의해 생성된 임의의 다른 출력을 포함할 수 있다.
웨이퍼의 검사 결과들을 웨이퍼 상의 미리 결정된 패턴의 위치들과 오버레이하는 것은 임의의 적합한 방식으로 수행될 수 있다. 예컨대, 상기 방법은 POI 템플릿들에 검사 결과들을 오버레이하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 미리 결정된 패턴의 위치들은 웨이퍼 상에 형성된 다이들 내의 미리 결정된 패턴의 위치(들)에 관한 정보, 웨이퍼 상에 형성된 다이들의 위치들에 관한 정보 등에 기초하여 결정될 수 있다. 따라서, 웨이퍼 상의 미리 결정된 패턴의 위치들은 웨이퍼 상의 또는 다이 내의 결함들의 위치들을 보여주는 웨이퍼의 맵(map) 또는 웨이퍼 상의 다이의 맵과 같은 검사 결과들과 오버레이될 수 있다. 이러한 방식으로, 미리 결정된 패턴 내에 위치한 결함들은 미리 결정된 패턴들의 위치들이 오버레이된 맵을 사용하여 식별될 수 있다.
도 1은 본 명세서에서 기술된 실시예들에 의해 사용될 수 있는 웨이퍼의 검사 결과들의 일 예를 도시한다. 특히, 도 1에 도시된 검사 결과들은 웨이퍼 맵(10)을 포함한다. 웨이퍼 맵(10)은 웨이퍼 상의 다이들에 대응하는 직사각형들(12)을 포함한다. 특히, 웨이퍼 맵 내의 각각의 직사각형은 웨이퍼 상에 형성된 다이를 나타낸다. 따라서, 비록 특정 개수의 직사각형들이 하나의 특정 배열로 도 1에 도시되었지만, 웨이퍼 맵 상의 직사각형들의 개수 및 배열은 웨이퍼에 대한 설계 및 웨이퍼 상의 다이들의 레이아웃에 따라 가변될 것이라는 점이 이해되어야 한다. 부가하여, 웨이퍼 맵(10)은 심볼들(14)을 포함하고, 각각의 심볼은 웨이퍼 상에서 검출된 결함의 위치를 나타낸다. 비록 특정 개수의 심볼들이 하나의 특정 배열로 도 1에 도시되었지만, 웨이퍼 맵 상의 심볼들의 개수 및 배열은 웨이퍼에 대한 검사 결과들(예컨대, 검사에 의해 검출된 결함들)에 따라 가변될 것이라는 점이 이해되어야 한다. 만약 그러한 웨이퍼 맵이 웨이퍼의 검사 결과들에 포함되거나 본 명세서에서 기술된 실시예들에 의해 생성된다면, 웨이퍼 상의 미리 결정된 패턴의 위치들은 웨이퍼 맵(10) 상에 오버레이될 수 있고, 미리 결정된 패턴 내에 위치하거나 미리 결정된 패턴의 위치들과 적어도 부분적으로 중첩하는 위치들을 갖는 결함들이 상기 맵에서 식별될 수 있다.
도 2는 웨이퍼의 검사 결과들을 웨이퍼 상의 미리 결정된 패턴의 위치들과 오버레이한 것의 일 실시예에 대한 결과들의 일 예를 도시한다. 특히, 도 2는 웨이퍼 상에 형성된 하나의 다이의 맵(16)을 도시한다. 상기 다이의 맵은 도 1에 도시된 것과 같은 웨이퍼 맵에 포함될 수 있고 그러한 웨이퍼 맵으로부터 추출될 수 있다. 맵(16)은 다이 내의 미리 결정된 패턴에 대응하는 직사각형들(18)을 포함한다. 특히, 맵(16)에서의 각각의 직사각형은 다이에 형성된 미리 결정된 패턴의 하나의 인스턴스에 대응한다. 따라서, 비록 특정 개수의 직사각형들이 하나의 특정 배열로 도 2에 도시되었지만, 상기 다이 내의 직사각형들의 개수 및 배열은 웨이퍼에 대한 설계에 따라 가변될 것이라는 점이 이해되어야 한다. 부가하여, 상기 맵에 도시된 각각의 직사각형은 동일한 미리 결정된 패턴의 하나의 인스턴스에 대응한다. 그러나 상기 맵은 상이한 방식들로(예컨대, 상이한 색상들, 상이한 미리 결정된 패턴들의 경계들에 대응하는 선들의 상이한 특성들 등에 의해) 표시될 수 있는 상이한 미리 결정된 패턴들에 대응하는 직사각형들을 도시할 수 있다. 부가하여, 하나보다 많은 수의 맵이 생성될 수 있고, 각각의 맵은 직사각형들 또는 다이 내의 상이한 미리 결정된 패턴들 중 하나에 대응하는 다른 표지들을 보여줄 수 있다.
맵(16)은 또한 심볼들(20)을 포함하고, 각각의 심볼은 다이에서 검출된 결함의 위치를 표시한다. 비록 특정 개수의 심볼들이 하나의 특정 배열로 도 2에 도시되었지만, 맵 내의 심볼들의 개수 및 배열은 검사 결과들(예컨대, 검사에 의해 검출된 결함들)에 따라 가변될 것이라는 점이 이해되어야 한다. 따라서, 맵(16)은 다이에서 검출된 결함들의 위치들과 오버레이된 다이 내에서 미리 결정된 패턴의 위치들을 보여준다. 그와 같이, 미리 결정된 패턴 내에 위치하거나 미리 결정된 패턴의 위치들과 적어도 부분적으로 중첩하는 위치들을 갖는 결함들은 직사각형들 중 하나의 직사각형 내에 적어도 부분적으로 위치한 심볼들에 대응하는 결함들로서 맵에서 식별될 수 있다. 특히, 직사각형들 내에 위치한 심볼들은 미리 결정된 패턴 내에 적어도 부분적으로 위치한 해당 결함들에 대응한다. 따라서 결함들의 모집단은 직사각형들 내에 적어도 부분적으로 위치한 심볼들에 대응하는 해당 결함들로서 식별될 수 있다. 미리 결정된 패턴 내에 위치하거나 미리 결정된 패턴의 위치들과 적어도 부분적으로 중첩하는 위치들을 갖는 결함들은 도 1에 도시된 웨이퍼 맵을 사용하여 유사한 방식으로 식별될 수 있다.
또 다른 실시예에서, 상기 방법은 웨이퍼 상에서 검출된 결함들의 위치들과 웨이퍼 상의 미리 결정된 패턴의 위치들을 비교함으로써 결함들의 모집단을 식별하는 단계를 포함한다. 예컨대, 웨이퍼 상에서 검출된 결함들의 위치들은 검사 시스템에 의해 보고되고 검사 결과들에 포함될 수 있다. 부가하여, 웨이퍼 상의 미리 결정된 패턴의 위치들은 전술한 바와 같이 결정될 수 있다. 따라서, 웨이퍼 상의 결함들의 위치들 및 웨이퍼 상의 미리 결정된 패턴의 위치들은 비교될 수 있고(예컨대, 결함들에 대한 x 및 y 좌표들과 미리 결정된 패턴에 대한 x 및 y 좌표를 비교함으로써), 이것은 임의의 적합한 방식으로 수행될 수 있고, 웨이퍼 상의 미리 결정된 패턴의 위치 내에 위치한 임의의 결함들이 식별되어 결함들의 모집단에 포함될 수 있다.
부가적인 실시예에서, 상기 방법은 웨이퍼 상에서 검출된 결함들에 근접한 패턴들을 데이터 구조로 저장된 하나 이상의 패턴들과 비교함으로써 결함들의 모집단을 식별하는 단계를 포함한다. 이러한 방식으로, 본 명세서에서 기술된 실시예들은 패턴 기반 매칭을 사용하여 결함들의 모집단을 식별할 수 있다. 예컨대, 패턴 라이브러리(pattern library)가 형성될 수 있고, 라이브러리 내의 패턴들은 결함 검사 결과들에 매칭될 수 있다. 라이브러리의 일부인 결함들에 대하여, 상기 방법은 검사 속성들을 수집하는 단계 및 본 명세서에서 더 기술되는 바와 같이 아웃라이어(outlier)들을 식별하는 단계(예컨대, 위치에 의해 식별된 결함들의 모집단들에 대하여)를 포함한다. 결함들의 모집단을 식별하기 위하여 매칭되는 패턴들은 웨이퍼 상에 프린트되는 패턴의 일부 및/또는 설계 데이터 공간 내 결함들의 위치들에 근접한 설계 데이터의 일부를 포함할 수 있다. 패턴 라이브러리를 사용하는 그러한 패턴 기반 매칭은 Kulkarni 등 및 Zafar 등에 의한 전술한 특허 출원들에 기술된 대로 더 수행될 수 있다.
웨이퍼의 조사 결과들은 개별 결함들의 하나 이상의 속성들을 포함할 수 있다. 이러한 방식으로, 결함들의 하나 이상의 속성들은 결함 검사 시스템에 의해 제공될 수 있다. 결함들의 하나 이상의 속성들은 예컨대, x 방향으로의 치수(예컨대, 폭), y 방향으로의 치수(예컨대, 길이), z 방향으로의 치수(예컨대, 높이), 형태, 밝기, 콘트라스트(contrast), 극성, 질감(texture), 결함들이 검출된 검사 결과들의 하나 이상의 속성들, 결함들이 검출된 검사의 하나 이상의 파라미터들 또는 이들의 소정 조합을 포함할 수 있다. 그러나, 소정의 실시예들에서, 상기 방법은 웨이퍼의 검사 결과들에 기초하여 개별 결함들의 하나 이상의 속성들을 결정하는 단계를 포함한다. 예컨대, 상기 방법은 웨이퍼의 검사 결과들에 기초하여(예컨대, 검사에 의해 생성된 결함들의 이미지들을 사용하여) 전술한 하나 이상의 속성들을 결정하는 단계를 포함할 수 있다. 부가하여, 결함들의 소정 속성들은 검사 시스템에 의해 결정되어 검사 결과들에 포함될 수 있는 반면, 결함들의 다른 또는 부가적인 속성들은 검사 시스템에 의해 결정되고 보고된 속성들 및/또는 검사 시스템의 임의의 다른 출력을 사용하여 본 명세서에서 기술된 실시예들에 의해 결정될 수 있다. 결함들의 하나 이상의 속성들은 임의의 다른 적합한 방식으로 결정될 수 있다.
또 다른 실시예에서, 상기 방법은 결함들의 모집단에 포함된 개별 결함들의 하나 이상의 속성들에 기초하여 결함들의 모집단의 하나 이상의 속성들을 결정하는 단계를 포함한다. 결함들의 모집단의 하나 이상의 속성들은 결함들의 모집단의 임의의 적합한 속성(들)을 포함할 수 있다. 예컨대, 만약 전술한 바와 같은 보고되거나 결정된 개별 결함들의 하나의 속성이 콘트라스트이면, 결함들의 모집단의 속성은 결함들의 모집단에 포함된 모든 결함들의 콘트라스트의 평균(mean), 중앙값(median), 에버리지(average) 등일 수 있다. 이러한 방식으로, 결함들의 모집단의 하나 이상의 속성들은 모집단에 포함된 개별 결함들의 하나 이상의 속성들의 소정 특성(예컨대, 평균, 중앙값, 에버리지 등)을 포함할 수 있다. 결함들의 모집단의 하나 이상의 속성들은 임의의 적합한 방식으로 결정될 수 있다.
전술한 바와 같이, 상기 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 결함들을 식별하는 단계를 포함한다. 이러한 방식으로, 상기 방법은 (미리 결정된 패턴에 대한 템플릿에 위치한 결함들 가운데) 대응하는 부모 모집단(parent population)으로부터 비정상적인 검사 속성들을 갖는 결함들을 식별하는 단계를 포함할 수 있다.
소정의 실시예들에서, 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 결함들을 식별하는 단계는 결함들의 모집단의 하나 이상의 통계적 분석을 포함한다. 예컨대, 검사 속성들(예컨대, 크기, 콘트라스트, 극성 등)을 사용하여 미리 결정된 패턴에 대한 검출된 결함들의 모집단 중에서 기술적 통계 분석(descriptive statistical analysis)을 수행함으로써, 비전형적인 패턴들이 CD 측정들과 같은 계측에 대해 식별될 수 있다. 이러한 방식으로, 본 명세서에서 기술된 실시예들은 본 명세서에서 더 기술되는 바와 같이 샘플링 사이트들(예컨대, CD 측정들이 수행되어야 하는 사이트들)을 결정하기 위해 사용될 수 있는 웨이퍼 상의 비정상적인 사이트들을 식별하기 위해 검사 속성들을 분석할 통계적 접근법을 사용할 수 있다.
도 3은 결함들의 모집단의 하나 이상의 속성들의 통계적 분석에 대한 일 실시예의 결과들의 일 예시를 도시한다. 특히, 도 3은 결함들의 모집단의 하나의 속성에 대한 도수분포도(histogram)(22)를 도시한다. 속성에 대한 도수분포도는 모집단에 포함된 개별 결함들의 속성들에 기초하여 결정될 수 있다. 그러한 도수분포도는 본 명세서에서 기술된 속성들 중 임의의 것에 대하여 결정될 수 있다. 부가하여, 도수분포도는 결함들의 모집단의 하나 이상의 속성들 또는 속성들의 조합에 기초하여 생성될 수 있다. 도수분포도(22)는 모집단에 포함된 결함들의 하나의 속성의 분포를 도시한다. 예컨대, 개별 결함들에 대한 속성의 값은 x 축을 따라 그려질 수 있고, 상이한 값들의 속성을 갖는 결함들의 개수는 y 축 상에 그려질 수 있다. 이러한 방식으로, 속성의 가장 빈번히 레코딩되거나 결정된 값들이 모집단에 대한 분포의 중심 근처에 나타나는 반면, 속성의 덜 빈번히 레코딩되거나 결정된 값들은 모집단에 대한 분포의 양 끝쪽에 나타난다. 즉, 분포의 형태에 의해 도시된 바와 같이, 대부분의 결함들은 속성의 값들 분포의 중심 근처에서 속성의 값들을 갖는 반면, 더 적은 수의 결함들이 분포의 에지들 근처에서 속성의 값들을 갖는다. 따라서, 분포의 에지들 근처에서 속성의 값들을 갖는 결함들은 속성의 비정상적인 값들을 갖는 것으로서 식별될 수 있고 따라서 모집단의 아웃라이어들인 것으로 결정될 수 있다.
분포의 에지들 근처에 있는 것으로 고려되는 속성의 값들은 통계적으로 결정될 수 있다. 예컨대, 속성의 값들 분포의 평균 및 표준 편차는 결함들의 모집단에 대해 결정될 수 있고, 도 3에 도시된 임계치들(24)은 평균으로부터의 2개의 표준 편차들인 속성의 값들에 대응할 수 있다. 따라서, 이 예시에서, 임계치들(24) 사이에 있는 속성의 값들을 갖는 결함들은 정상인 속성들을 갖는 것으로 결정될 수 있는 반면, 임계치들 사이에 있지 않은 속성들의 값들을 갖는 결함들은 모집단의 속성과 달리 비정상적인 속성들을 갖는 것으로 결정될 수 있다. 그와 같이, 임계치들 사이에 있지 않은 속성의 값들을 갖는 웨이퍼 상의 결함들의 위치들은 계측 동안 샘플링될 수 있는 웨이퍼 상의 영역들로서 본 명세서에서 기술된 실시예들에 의해 식별될 수 있다.
도 4는 정상적인 속성의 값들의 갖는 상이한 결함들의 일 예시 및 결함들이 포함된 결함들의 모집단의 속성과 달리 비정상적인 속성의 값을 갖는 결함의 일 예시를 도시한다. 특히, 웨이퍼 상의 미리 결정된 패턴에 위치한 결함들의 모집단에 포함된 개별 결함(26)은 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는다. 예컨대, 결함(26)은 도 3에 도시된 임계치들(24)의 외부에 있는 속성의 값을 가질 수 있다. 대조적으로, 결함(26)과 동일한 모집단에 포함된 도 4에 도시된 개별 결함들(28)은 결함들의 모집단의 하나 이상의 속성들에 비해 비정상적이지 않은 하나 이상의 속성들을 가질 수 있다. 예컨대, 결함들(28)은 도 3에 도시된 임계치들(24)의 안쪽에 있는 속성의 값들을 가질 수 있다. 따라서, 결함(26)은 결함(26)이 위치한 웨이퍼 상의 영역이 계측 동안 샘플링되도록 본 명세서에서 기술된 실시예들에 의해 식별될 수 있는 반면, 결함들(28)이 위치한 웨이퍼 상의 영역들은 계측 동안 샘플링되지 않는다. 그러한 예시에서, 결함(26)의 도 4에 도시된 CD(30)는 계측 동안 측정될 수 있다. 도 4에 도시된 바와 같이, CD(30)는 패턴의 두 라인 단부들 사이의 간격일 수 있다. 그러나, 결함(26)의 임의의 다른 CD 또는 임의의 다른 측정이 계측 동안에 결함(26) 상에서 수행될 수 있다.
또 다른 실시예에서, 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 것은 개별 결함들의 이미지들의 통계적 분석을 포함한다. 예컨대, 통계적 분석은 POI 템플릿에 속하는 결함들의 패치 이미지들(또는 스캐닝 전자 현미경(scanning electron microscope; SEM) 이미지들) 상에서 수행될 수 있다. 통계적 분석을 위한 입력 파라미터들은 밝기, 콘트라스트, 크기 등과 같은 여러 다양한 결함 속성들을 포함할 수 있고, 상기 결함 속성들은 결함 검사 시스템에 의해 제공되거나 본 명세서에서 더 기술되는 실시예들에 의해 결정될 수 있다. 이미지들의 통계적 분석은 본 명세서에서 더 기술되는 바와 같이 수행될 수 있다.
통계적 분석은 웨이퍼 상의 비정상적인 사이트들을 식별하기 위한 하나의 매력적인 접근법일 수 있다. 그러나, 비정상적인 사이트들은 임의의 다른 적합한 방식으로 식별될 수 있다. 예컨대, 웨이퍼의 검사 결과들(예컨대, 웨이퍼 상에서 검출된 결함들에 대한 검사 결과들)은 검출된 결함들의 속성들을 비교하기 위해, 그리고 속성들 간의 미묘한 차이들에 기초하여 결함들을 분리하기 위해 사용될 수 있다. 또 다른 예에서, 미리 결정된 패턴에서 검출된 결함들의 모집단에 포함된 결함들에 대한 패치 이미지들(또는 SEM 이미지들)은 비정상적인 또는 아웃라이어 패턴들 또는 결함들을 식별하기 위해 비교될 수 있다. 이미지들을 비교하는 것은 비정상적인 결함들 또는 아웃라이어들을 식별하기 위하여 색상, 밝기 등과 같은 본 명세서에서 기술된 속성들 중 임의의 것을 비교하는 것을 포함할 수 있다. 부가적인 예시에서, 하나 이상의 임계치들은 속성들 간의 미묘한 차이들에 기초하여 결함들을 분리하기 위해 검출된 결함들의 속성들에 적용될 수 있다. 하나 이상의 임계치들은 동적으로(예컨대, 웨이퍼에 대해 계측 샘플링 계획이 생성되고 있는 해당 웨이퍼에 대한 검사 결과들에 기초하여) 또는 검사에 앞서(예컨대, 다른 웨이퍼들 상에서 검출된 결함들의 속성들에 기초하여) 결정될 수 있다.
일 실시예에서, 하나 이상의 식별된 개별 결함들은 결함들의 모집단에 포함된 모든 개별 결함들보다 더 적은 수를 포함한다. 예컨대, 본 명세서에서 기술된 것과 같은 통계적 접근법은 웨이퍼 상의 비정상적인 사이트들을 식별하기 위해 사용될 수 있고, 그에 의해 본 명세서에서 더 기술되는 대로 수행될 수 있는 최종 샘플링 계획을 생성하기 위하여 데이터 감소를 수행한다. 특히, 데이터는 웨이퍼 상의 미리 결정된 패턴에 위치한 결함들만을 포함하는 결함들의 모집단을 식별함으로써 먼저 감소될 수 있다. 이러한 방식으로, 결함들의 모집단은 단지 웨이퍼 상에서 검출된 결함들의 서브세트 또는 그러한 결함들 모두 중 소정의 결함들만을 포함할 수 있다. 그러나, 미리 결정된 패턴에서 위치하고 검출된 결함들의 개수는 시간 및 비용 양쪽 면에서 엄청난, 미리 결정된 패턴에서의 모든 결함들의 계측 샘플링을 하기에 충분히 클 수 있다. 따라서 미리 결정된 패턴에서의 모든 결함들보다 더 적은 수의 결함들이 샘플링될 수 있다. 그러나, 웨이퍼 상에서 검출된 결함들과 무관하게 정적이었던 이전에 사용된 계측 샘플링 계획들과 달리, 본 명세서에서 기술된 실시예들은 검사 결과들에 기초하여 잠재적인 CD 실패 사이트들을 식별하기 위해 사용될 수 있다. 특히, 본 명세서에서 더 기술되는 바와 같이, 상기 방법은 샘플링 사이트들(예컨대, CD 샘플링 사이트들)을 단지 POI에 위치한 결함들의 사이트들로 감소시키기 위하여 검사 결과들(예컨대, 결함 데이터)과의 POI 위치 오버레이를 사용하는 단계를 포함할 수 있고, 상기 POI에 위치한 결함들의 사이트들은 단지 하나 이상의 비정상적인 속성들을 갖는 POI에 위치한 결함들의 사이트들로 더 감소될 수 있다. 이러한 방식으로, 샘플링 사이트들은 먼저 설계에 의해 감소되고 그 다음 비정상성(abnormality)에 의해 감소될 수 있다. 따라서, 본 명세서에서 기술된 실시예들은 하나 이상의 비정상적인 속성들을 나타내는 웨이퍼 상의 영역들을 동적으로 샘플링하기 위해 사용될 수 있고, 그 결과 가장 큰 변동들을 나타내는 사이트들이 계측 동안에 샘플링되어 웨이퍼 상의 특정 패턴에서 일어나고 있는 변화들에 관하여 중요한 정보를 제공한다.
소정의 실시예들에서, 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 것의 결과들은 미리 결정된 패턴이 그것이 형성된 웨이퍼 상의 다른 영역들보다 프로세스 변동들에 더 민감한 웨이퍼 상의 하나 이상의 영역들에 위치한 하나 이상의 개별 결함들을 포함한다. 예컨대, 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 결함들은 동일한 미리 결정된 패턴에서의 웨이퍼 상의 다른 영역들에서 발생하는 결함들보다 프로세스 변동들에 더 민감할 수 있다. 이러한 방식으로, 본 명세서에서 기술된 실시예들은 유리하게 결함들 및 결함들의 모집단의 속성들에 기초하여 샘플링에 대한 결함들을 식별하고, 그 결과 비정상적인, 따라서 패턴 및 프로세스 변동들에서의 미묘한 변화들에 잠재적으로 더 민감한 결함들이 샘플링된다. 그와 같이, 본 명세서에서 기술된 실시예들은 웨이퍼 및 프로세스에서의 변화들을 검출하는 것과 대부분 관련된 정보를 산출할 수 있는 계측 샘플링을 위한 사이트들을 선택하면서 데이터 감소를 수행할 수 있다.
본 방법은 또한, 하나 이상의 식별된 개별 결함들이 위치하는 웨이퍼 상의 하나 이상의 영역들이 계측 동안 샘플링되도록 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별한 것의 결과들에 기초하여 계측 샘플링 계획을 생성하는 단계를 포함한다. 이러한 방식으로, 상기 방법은 비전형적인 것으로 결정된 웨이퍼 상의 영역들에 대한 샘플링 계획을 생성하는 단계를 포함한다. 부가하여, 상기 방법은 여러 사이트들 및 설계 컨텍스트 가운데 비정상성을 보여주는 결함 검사 데이터를 참작하는 계측 샘플링 절차를 생성하는 단계를 포함한다. 예컨대, 본 명세서에서 더 기술되는 바와 같이, BF 검사 데이터와 같은 검사 데이터는 웨이퍼 상의 비정상적인(또는 아웃라이어) 사이트들을 식별하기 위해 사용될 수 있고, 계측 샘플링 계획은 CD 측정들과 같은 측정들이 계측 동안에 이러한 비정상적인 사이트들에서 수행되도록 생성될 수 있다. 이러한 방식으로, CD 샘플링 계획은 아웃라이어들의 샘플링을 위해 생성될 수 있다.
계측 샘플링 계획은 임의의 적합한 포맷(예컨대, 계측 시스템에 의해 사용될 수 있는 파일 포맷)으로 생성될 수 있다. 부가하여, 계측 샘플링 계획은 샘플링될 영역들로서 하나 이상의 식별된 개별 결함들이 위치하는 웨이퍼 상의 하나 이상의 영역들을 포함하는 새로운 계측 샘플링 계획을 형성하거나 기존의 계획에서의 샘플들 영역들을 하나 이상의 식별된 개별 결함들이 위치하는 웨이퍼 상의 하나 이상의 영역들로 바꿈으로써 기존의 계측 샘플링 계획을 변경함으로써 생성될 수 있다.
일 실시예에서, 상기 방법은 상이한 계측 샘플링 계획들이 상이한 미리 결정된 패턴들에 대해 생성되도록 웨이퍼 상의 상이한 미리 결정된 패턴들에 대해 별개로 상기 방법을 수행하는 단계를 포함한다. 예컨대, 상이한 계측 샘플링 계획들은 동일한 방법으로(예컨대, 동시에 그리고 별개로) 본 명세서에서 기술된 바와 같이 2 이상의 상이한 미리 결정된 패턴들에 대해 생성될 수 있다. 따라서, 상이한 미리 결정된 패턴들(예컨대, 웨이퍼 상에 형성된 상이한 패턴들 중 소정의 상이한 패턴들 또는 모든 상이한 패턴들) 각각에 대한 샘플링 계획은 대응하는 미리 결정된 패턴들에만 위치하는 비정상 결함들 또는 아웃라이어들에 기초하여 결정될 수 있다. 그와 같이, 미리 결정된 패턴들 각각에 대해 생성된 샘플링 계획은 대응하는 패턴에서만 발생하는 상이한 비정상적인 결함들 또는 아웃라이어들에 특히 민감할 수 있다. 그 결과, 본 명세서에서 기술된 바와 같이 생성된 샘플링 계획들은 상이한 미리 결정된 패턴들에 상이한 결함들을 야기하고 있는 상이한 프로세스 변동들에 특히 민감할 수 있고, 그에 의해 동시에 상이한 미리 결정된 패턴들에 대한 계측을 개선한다.
상이한 미리 결정된 패턴들(또는 임의의 단일의 미리 결정된 패턴)에 대한 샘플링 계획들은 또한 상이한 미리 결정된 패턴들의 우선순위에 기초하여 생성될 수 있다. 예컨대, 상이한 미리 결정된 패턴들에 할당된 우선순위는 미리 결정된 패턴들의 임계성 및 미리 결정된 패턴들의 다른 특성들(예컨대, 양품률 관련성(yield relevance))에 기초할 수 있다. 상이한 미리 결정된 패턴들의 우선순위는 앞서 참조에 의해 편입된 Kulkarni 등 및 Zafar 등에 의한 특허 출원들에 기술된 바와 같이 결정되고 할당될 수 있다. 따라서, 더 높은 우선순위를 갖는 미리 결정된 패턴에 대한 샘플링 계획은 더 낮은 우선순위를 갖는 미리 결정된 패턴에 대한 샘플링 계획보다 더 많은 샘플링 사이트들을 포함할 수 있다. 이러한 방식으로, 단일 웨이퍼 상의 상이한 미리 결정된 패턴들은 미리 결정된 패턴들에 할당된 우선순위에 기초하여 더 심하게 또는 덜 심하게 샘플링될 수 있다. 그와 같이, 더 많은 또는 더 적은 정보가 패턴들에 할당된 우선순위에 기초하여 상이한 미리 결정된 패턴들에 대해 획득될 수 있고, 그 결과 더 많은 정보가 더 낮은 우선순위의 미리 결정된 패턴들보다 더 높은 우선순위의 미리 결정된 패턴들에 대하여 계측 동안에 획득된다. 그 결과, 본 명세서에서 기술된 바와 같이 생성된 계측 샘플링 계획들은 특히 양품률에 관련된 계측 결과들을 획득하도록 사용될 수 있고, 그에 의해 계측 결과들의 값을 증가시키는 한편, 아마도 또한 계측 결과들을 획득함에 수반된 시간 및 비용을 감소시킨다.
또 다른 실시예에서, 계측 샘플링 계획을 생성하는 것은 동적으로 수행된다. 예컨대, 본 명세서에서 기술된 실시예들은 (예컨대, CD 측정들에 대하여) 동적 샘플링을 형성하기 위해 결함 검사 데이터를 사용할 수 있다. 특히, 계측 샘플링 계획들은 웨이퍼의 검사 결과들에 기초하여(예컨대, 웨이퍼 상에 형성된 미리 결정된 패턴들에 위치한 결함들에 관한 정보에 기초하여) 본 명세서에서 기술된 바와 같이 생성되기 때문에, 계측 샘플링 계획은 웨이퍼에 대해 검사 결과들이 생성되었던 각 해당 웨이퍼에 대해 생성될 수 있다. 따라서, 소정의 실시예들에서, 계측 샘플링 계획을 생성하는 것은 웨이퍼-대-웨이퍼 기반 상에서 수행된다. 그와 같이, 계측 샘플링 계획들은 본 명세서에서 기술된 바와 같이 동적으로 생성될 수 있고, 그 결과 측정들은 CD 측정들이 현재 사용된 계측 샘플이 계획들에 기초하여 수행되는 고정된 위치들에 대신하여 동적으로 결정된 샘플링 위치들에서 수행될 수 있다.
부가하여, 본 명세서에서 기술된 바와 같이, 계측에 의한 샘플링을 위해 선택된 웨이퍼 상의 영역들은 비정상적인, 그래서 프로세스 변동들에 더 민감한 결함들이 위치하는 위치들을 포함할 수 있다. 그러나 웨이퍼 상의 그러한 위치들은 시간에 걸쳐, 그리고 프로세스 변동들이 변화함에 따라 변화할 수 있다. 예컨대, 프로세스 변동(예컨대, 지역적인 포커스(regional focus) 및 노출, 막 두께 변동, 재료 조성 등)의 양에 따라, 웨이퍼 또는 다이 내의 특정 위치들은 상이한 정도의 변동을 나타낼 수 있다. 그러나, 전형적인 CD 측정들은 미리-정의된 샘플링 계획들 및 위치들에 기초하여 수행된다. 따라서, 프로세스 변동들 및 CD 사이트들의 민감도에 따라, 고정된 위치들은 진보된 프로세스에서 발생할 수 있는 CD에서의 미묘한 변화들을 반영할 수 없다. 대조적으로, 프로세스 변동들에 민감한 위치들이 샘플링에 대해 동적으로 선택되는, 본 명세서에서 기술된 바와 같이 생성된 계측 샘플링 계획들은 미묘한 변동들을 식별할 기회를 동적으로 증가시키고, 심지어 그러한 기회를 최적화할 수 있으며, 이것은 고정된 계측 샘플링 계획들에 비해 계측에 의해 빗나간 탈선들을 더 적게 야기할 것이다.
일 실시예에서, 계측은 CD 계측을 포함한다. 그러나, 상기 계측은 임의의 적합한 계측 시스템을 사용하여 임의의 적합한 측정들을 수행하는 단계를 포함할 수 있는 임의의 적합한 계측 프로세스를 포함할 수 있다. 예컨대, 계측 프로세스는 스캐터로메트리 시스템(scatterometry system)을 사용하여 CD를 측정하는 단계를 포함할 수 있다. 또 다른 예에서, 계측 프로세스는 원자 현미경(atomic force microscope; AFM)을 사용하여 거칠기(roughness)을 측정하는 단계를 포함할 수 있다. 또 다른 실시예에서, 계측 프로세스는 스캐닝 전자 현미경(SEM)을 사용하여 결함들의 프로파일을 측정하는 단계를 포함할 수 있다. 부가하여, 상기 계측은 하나 이상의 측정 기술들을 사용하여 결함들의 하나 이상의 상이한 측정들을 수행하는 단계를 포함할 수 있다. 본 명세서에서 기술된 상기 방법들은 또한 본 명세서에서 기술된 바와 같이 생성된 계측 샘플링 계획을 사용하여 웨이퍼의 계측을 수행하는 단계를 포함하거나 포함하지 않을 수 있다. 예컨대, 계측 샘플링 계획은 본 명세서에서 기술된 실시예들 또는 웨이퍼의 계측을 수행할 또 다른 시스템 또는 방법에 의해 사용될 수 있다.
본 명세서에서 기술된 모든 방법들은 저장 매체에 상기 방법 실시예들의 하나 이상의 단계들의 결과들을 저장하는 단계를 포함할 수 있다. 상기 결과들은 본 명세서에서 기술된 결과들 중 임의의 것을 포함할 수 있고, 당업계에 공지된 임의의 방식으로 저장될 수 있다. 저장 매체는 본 명세서에서 기술된 임의의 저장 매체 또는 당업계에 공지된 임의의 다른 적합한 저장 매체를 포함할 수 있다. 상기 결과들이 저장된 이후에, 상기 결과들은 저장 매체에 액세스될 수 있고, 본 명세서에서 기술된 방법 또는 시스템 실시예들 중 임의의 것에 의해 사용되고, 사용자에게로의 디스플레이를 위해 포맷팅되고, 다른 소프트웨어 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 예컨대, 상기 방법이 계측 샘플링 계획을 생성한 이후에, 상기 방법은 저장 매체의 계측 레시피에 계측 샘플링 계획을 저장하는 단계를 포함할 수 있다. 부가하여, 본 명세서에서 기술된 실시예들의 결과들 또는 출력은 저장되고, CD SEM과 같은 계측 시스템에 의해 액세스되어, 출력 파일이 계측 시스템에 의해 이해될 수 있음을 가정하여 계측 시스템은 계측을 위한 계측 샘플링 계획을 사용할 수 있다. 부가하여, 상기 결과들은 "영구적으로", "반영구적으로", 일시적으로 또는 소정의 시간 기간 동안 저장될 수 있다. 예컨대, 저장 매체는 랜덤 액세스 메모리(RAM)일 수 있고, 상기 결과들은 저장 매체에 반드시 무한히 지속되어야 하는 것은 아닐 수 있다.
전술한 방법의 실시예들 각각은 본 명세서에서 기술된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다. 부가하여, 전술한 방법의 각 실시예들은 본 명세서에서 기술된 실시예들 중 임의의 것에 의해 수행될 수 있다.
본 명세서에서 기술된 실시예들에 의해 생성된 계측 샘플링 계획들은 고정된 샘플링 계획들과 같은 다른 계측 샘플링 계획들보다 다수의 이점들을 제공한다. 예컨대, 본 명세서에서 기술된 바와 같이 생성된 계측 샘플링 계획들은 변동들(예컨대, CD 변동들)의 더 나은 변동들에 대한 미묘한 변화들(예컨대, CD에서의)을 시그널링할 계측 사이트들(예컨대, CD 사이트들)의 훨씬 개선된 선택을 야기할 것이다. 본 명세서에서 기술된 바와 같이 생성된 계측 샘플링 계획들은 또한 전통적인 접근법들이 놓칠 수 있는 변동들(예컨대, CD 변동들)을 검출한 기회를 증가시킬 것이다.
또 다른 실시예는 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행가능한 프로그램 명령들을 포함하는 캐리어 매체에 관한 것이다. 그러한 캐리어 매체의 일 실시예는 도 5에 도시된다. 특히, 캐리어 매체(32)는 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 시스템(36) 상에서 실행가능한 프로그램 명령들(34)을 포함한다.
컴퓨터-구현 방법은 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계를 포함한다. 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치한다. 상기 하나 이상의 결함들을 식별하는 단계는 본 명세서에서 기술된 실시예들 중 임의의 것에 따라 수행될 수 있다. 컴퓨터-구현 방법은 또한 하나 이상의 식별된 개별 결함들이 위치하는 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별 단계의 결과들에 기초하여 계측 샘플링 계획을 생성하는 단계를 포함한다. 계측 샘플링 계획을 생성하는 단계는 본 명세서에서 기술된 실시예들 중 임의의 것에 따라 수행될 수 있다.
프로그램 명령들에 의해 컴퓨터 시스템 상에서 실행가능한 컴퓨터-구현 방법은 본 명세서에서 기술된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다. 부가하여, 캐리어 매체는 본 명세서에서 기술된 바와 같이 더 구성될 수 있다.
본 명세서에서 기술된 방법들과 같은 방법들을 구현하는 프로그램 명령들(34)은 캐리어 매체(32)로 전달되거나 캐리어 매체(32) 상에 저장될 수 있다. 캐리어 매체는 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체일 수 있다. 캐리어 매체는 또한 판독-전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크 또는 자기 테이프와 같은 저장 매체일 수 있다.
컴퓨터 시스템(36)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 당업계에 공지된 임의의 다른 장치를 포함한 여러 다양한 형태들을 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 장치를 포함하도록 넓게 정의될 수 있다.
부가적인 실시예는 계측 샘플링 계획을 생성하도록 구성된 시스템에 관한 것이다. 상기 시스템은 웨이퍼 상의 결함들을 검출하도록 구성된 검사 시스템을 포함한다. 그러한 시스템의 일 실시예는 도 5에 도시된다. 예컨대, 도 5에 도시된 바와 같이, 상기 시스템은 검사 시스템(38)을 포함한다. 검사 시스템(38)은 웨이퍼(40) 상의 결함들을 검출하도록 구성된다.
일 실시예에서, 검사 시스템(38)은 광원(44)을 포함한다. 광원(44)은 당업계에 공지된 임의의 적절한 광원을 포함할 수 있다. 광원(44)은 빔 스플리터(beam splitter)(46)로 광을 지향하도록 구성될 수 있다. 빔 스플리터(46)는 거의 직각인 입사각으로 광원(44)으로부터의 광을 웨이퍼(40)로 지향시키도록 구성될 수 있다. 빔 스플리터(46)는 당업계에 공지된 임의의 적절한 광학 컴포넌트를 포함할 수 있다.
웨이퍼(40)로부터 반사된 광은 빔 스플리터(46)를 관통해 검출기(42)로 전달될 수 있다. 검출기(42)는 당업계에 공지된 임의의 적절한 검출기를 포함할 수 있다. 검출기(42)에 의해 생성된 출력은 웨이퍼(40) 상의 결함들을 검출하기 위해 사용될 수 있다. 예컨대, 컴퓨터 시스템(50)은 검출기에 의해 생성된 출력을 사용하여 웨이퍼(40) 상의 결함들을 검출하도록 구성될 수 있다. 컴퓨터 시스템은 웨이퍼 상의 결함들을 검출하기 위해 당업계에 공지된 임의의 방법 및/또는 알고리즘을 사용할 수 있다. 컴퓨터 시스템은 임의의 적합한 방식으로(예컨대, 당업계에 공지된 임의의 적합한 전송 매체를 포함할 수 있는, 도 5에 도시된 점선에 의해 표시된 하나 이상의 전송 매체에 의해) 검출기에 결합되어, 컴퓨터 시스템은 검출기에 의해 생성된 출력을 수신할 수 있다. 부가하여, 검출 시스템이 하나보다 많은 수의 검출기(미도시)를 포함한다면, 컴퓨터 시스템은 전술한 바와 같이 각 검출기에 결합될 수 있다. 컴퓨터 시스템(50)은 본 명세서에서 기술된 바와 같이 더 구성될 수 있다. 검사 동안에, 웨이퍼(40)는 스테이지(48) 상에 배치될 수 있다. 스테이지(48)는 당업계에 공지된 임의의 적합한 기계적 및/또는 로봇 어셈블리를 포함할 수 있다. 도 5에 도시된 검사 시스템은 또한 당업계에 임의의 적합한 컴포넌트들(미도시)을 포함할 수 있다.
도 5에 도시된 바와 같이, 검사 시스템은 웨이퍼로부터 정반사된(specularly refected) 광을 검출하도록 구성된다. 이러한 방식으로, 도 5에 도시된 검사 시스템은 BF 검사 시스템으로서 구성된다. 그러나, 검사 시스템은 DF 검사 시스템, 에지 콘트라스트(edge contrast; EC) 검사 시스템, 조리개 우선모드(aperture mode) 검사 시스템, 또는 당업계에 공지된 임의의 다른 광학 검사 시스템으로서 구성된 검사 시스템에 의해 대체될 수 있다. 부가하여, 검사 시스템은 하나 이상의 검사 모드들을 수행하도록 구성될 수 있다. 예컨대, 도 5에 도시된 검사 시스템은 광이 웨이퍼로 지향된 입사각 및/또는 광이 웨이퍼로부터 수집된 각을 변경함으로써 DF 검사를 수행하도록 구성될 수 있다. 또 다른 예에서, 검사 시스템은 조리개(aperture)들과 같은 하나 이상의 광학 컴포넌트들(미도시)이 조명 경로(illumination path) 및 수집 경로에 배치되어 검사 시스템이 EC 모드 검사 및/또는 조리개 우선모드 검사를 수행할 수 있도록 구성될 수 있다. 부가하여, 전술한 웨이퍼 검사 시스템은 레티클 검사 시스템에 의해 대체될 수 있고, 상기 레티클 검사 시스템은 당업계에 공지된 임의의 적합한 레티클 검사 시스템을 포함할 수 있다.
도 5는 여기서 본 명세서에서 기술된 시스템 실시예들에 포함될 수 있는 검사 시스템의 일 구성을 일반적으로 도시하도록 제공된다. 명백히, 본 명세서에 기술된 검사 시스템 구성은 상업적인 검사 시스템을 설계할 때 일반적으로 수행되는 바와 같이 검사 시스템의 성능을 최적화하도록 변경될 수 있다. 부가하여, 본 명세서에서 기술된 시스템들은 캘리포니아 산호세의 KLA-Tencor로부터 상업적으로 구입가능한 툴들의 Puma 9000 및 9100 시리즈와 같은 기존의 검사 시스템을 사용하여(예컨대, 기존의 검사 시스템에 본 명세서에서 기술된 기능을 추가함으로써) 구현될 수 있다. 그러한 소정의 시스템들에 대하여, 본 명세서에서 기술된 방법들은 (예컨대, 상기 시스템의 다른 기능에 추가하여) 상기 시스템의 선택적인 기능으로서 제공될 수 있다. 대안적으로, 본 명세서에서 기술된 시스템은 완전히 새로운 시스템을 제공하도록 "밑바닥부터" 설계될 수 있다.
또 다른 실시예에서, 도 5에 도시된 광학 검사 시스템은 전자 빔 검사 시스템에 의해 대체될 수 있다. 도 5의 시스템에 포함될 수 있는 상업적으로 구입가능한 전자 빔 검사 시스템들의 예들은 KLA-Tencor로부터의 eS25, eS30 및 eS31 시스템들을 포함한다.
상기 시스템은 또한 개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 결함들을 식별하도록 구성된 컴퓨터 시스템(36)을 포함한다. 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치한다. 컴퓨터 시스템은 본 명세서에 기술된 임의의 실시예들에 따라 하나 이상의 개별 결함들을 식별하도록 구성될 수 있다. 컴퓨터 시스템(36)은 또한 하나 이상의 개별 결함들이 위치하는 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 하나 이상의 식별된 개별 결함들에 기초하여 계측 샘플링 계획을 생성하도록 구성된다. 컴퓨터 시스템은 본 명세서에서 기술된 실시예들 중 임의의 것에 따라 계측 샘플링 계획을 생성하도록 구성될 수 있다. 컴퓨터 시스템(36)은 본 명세서에서 기술된 방법 실시예(들) 중 임의의 것의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
검사 시스템을 포함하는 시스템의 실시예들에서, 컴퓨터 시스템(36)은 당업계에 공지된 임의의 방식으로 검사 시스템에 결합될 수 있다. 예컨대, 컴퓨터 시스템(36)은 검사 시스템(38)의 컴퓨터 시스템(50)에 결합되어, 컴퓨터 시스템은 컴퓨터 시스템(50)에 의해 생성된 검사 결과들을 수신할 수 있다. 부가하여, 컴퓨터 시스템(36)은 이미지 데이터 및 신호들과 같은 검출기 또는 컴퓨터 시스템(50)의 임의의 다른 출력을 수신할 수 있다.
전술한 컴퓨터 시스템(36)은 프로세스, 검사, 계측, 리뷰 또는 다른 툴의 일부를 형성하지 않는 자립형 시스템으로서 구성될 수 있다. 그러한 실시예에서, 컴퓨터 시스템(36)은 "유선" 및/또는 "무선" 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 검사 시스템으로부터의 검사 결과들)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템과 다른 시스템 사이의 데이터 링크로서 역할할 수 있다. 부가하여, 컴퓨터 시스템(36)은 전송 매체를 통해 다른 시스템으로 데이터를 전송할 수 있다. 그러한 데이터는 예컨대, 컴퓨터 시스템에 의해 생성된 계측 샘플링 계획을 포함할 수 있다.
대안적으로, 컴퓨터 시스템(36)은 검사 시스템, 계측 시스템 또는 다른 툴의 일부를 형성할 수 있다. 예컨대, 컴퓨터 시스템(36)은 계측 시스템에 포함될 수 있다. 따라서, 계측 시스템은 그것의 컴퓨터 시스템에 의해 검사 시스템에 결함될 수 있고, 계측 시스템의 컴퓨터 시스템은 본 명세서에서 기술된 바와 같이 계측 샘플링 계획을 생성하도록 구성될 수 있다. 그러한 실시예에서, 검사 시스템은 본 명세서에서 기술된 바와 같은 BF 검사 시스템을 포함할 수 있고, 계측 시스템은 CD 측정 능력을 갖는 결함 검토 SEM을 포함할 수 있다. 또 다른 예에서, 컴퓨터 시스템(50)은 컴퓨터 시스템(36)에 대하여 전술한 바와 같이 구성될 수 있다. 이러한 방식으로, 검사 시스템에 포함된 컴퓨터 시스템은 전술한 바와 같이 하나 이상의 개별 결함들을 식별하고 전술한 바와 같이 계측 샘플링 계획을 생성하도록 구성될 수 있다. 그러한 실시예들에서, 컴퓨터 시스템(36)은 상기 시스템에 포함되거나 포함되지 않을 수 있다.
도 5에 도시된 시스템의 실시예들은 본 명세서에서 기술된 바와 같이 더 구성될 수 있다. 부가하여, 상기 시스템은 본 명세서에서 기술된 방법 실시예(들) 중 임의의 것의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
본 발명의 여러 다양한 양상들의 추가 변형예들 및 대안적인 실시예들은 이러한 설명의 관점에서 당업자들에게 명백할 수 있다. 예컨대, 컴퓨터-구현 방법들, 캐리어 매체들, 및 계측 샘플링 계획을 생성하기 위한 시스템들이 제공된다. 따라서, 이러한 설명은 단지 예시적인 것으로 해석되어야 하고 본 발명을 수행하는 일반적인 방식을 당업자들에게 제시할 목적을 위한 것이다. 본 명세서에서 도시되고 기술된 본 발명의 형태들은 현재 선호되는 실시예들로서 고려되어야 한다. 본 발명의 이러한 기술의 이점을 가진 다음, 당업자에게 명백한 바와 같이, 엘리먼트들 및 재료들은 본 명세서에서 예시되고 기술된 것들을 대신할 수 있고, 부품들 및 프로세스들은 역전될 수 있으며, 본 발명의 특정 특징들은 독립적으로 이용될 수 있다. 변경들은 이하의 청구항들에 기술된 본 발명의 사상 및 범위로부터 벗어나지 않으면서 본 명세서에서 기술된 엘리먼트들에 이루어질 수 있다.

Claims (23)

  1. 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법으로서,
    개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계 ― 상기 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치함 ―; 및
    상기 하나 이상의 식별된 개별 결함들이 위치하는 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별하는 단계의 결과들에 기초하여 상기 계측 샘플링 계획을 생성하는 단계
    를 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  2. 제1항에 있어서,
    상기 웨이퍼의 검사 결과들에 기초하여 상기 개별 결함들의 상기 하나 이상의 속성들을 결정하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  3. 제1항에 있어서,
    상기 결함들의 모집단에 포함된 상기 개별 결함들의 상기 하나 이상의 속성들에 기초하여 상기 결함들의 모집단의 상기 하나 이상의 속성들을 결정하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  4. 제1항에 있어서,
    상기 결함들의 모집단이 위치하는 상기 미리 결정된 패턴은 상기 웨이퍼 상의 다수 위치들에서 형성되는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  5. 제1항에 있어서,
    상기 미리 결정된 패턴은 상기 웨이퍼 상에 패터닝된 전체 설계의 단지 일 부분만을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  6. 제1항에 있어서,
    상기 미리 결정된 패턴은 관심 패턴(pattern of interest)을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  7. 제1항에 있어서,
    상기 미리 결정된 패턴은 상기 웨이퍼 상의 다른 패턴보다 프로세스 변동들에 더 민감한 패턴을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  8. 제1항에 있어서,
    상기 웨이퍼에 대한 설계 데이터를 사용하여 상기 미리 결정된 패턴을 식별하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  9. 제1항에 있어서,
    각 그룹들의 결함들의 위치들에 근접한 설계 데이터의 부분들이 적어도 유사하도록 상기 웨이퍼 상에서 검출된 결함들을 그룹들로 비닝(binning)함으로써 상기 미리 결정된 패턴을 식별하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  10. 제1항에 있어서,
    상기 웨이퍼의 검사 결과들과 상기 웨이퍼 상의 상기 미리 결정된 패턴의 위치들을 오버레이(overlay)함으로써 상기 결함들의 모집단을 식별하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  11. 제1항에 있어서,
    상기 웨이퍼 상에서 검출된 결함들의 위치들을 상기 웨이퍼 상의 상기 미리 결정된 패턴의 위치들과 비교함으로써 상기 결함들의 모집단을 식별하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  12. 제1항에 있어서,
    상기 웨이퍼 상에서 검출된 결함들에 근접한 패턴들을 데이터 구조에 저장된 하나 이상의 패턴들과 비교함으로써 상기 결함들의 모집단을 식별하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  13. 제1항에 있어서,
    상기 식별하는 단계는 상기 결함들의 모집단의 상기 하나 이상의 속성들의 통계적 분석을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  14. 제1항에 있어서,
    상기 식별하는 단계는 상기 개별 결함들의 이미지들의 통계적 분석을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  15. 제1항에 있어서,
    상기 하나 이상의 식별된 개별 결함들은 상기 결함들의 모집단에 포함된 상기 개별 결함들 모두보다 더 적은 개수를 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  16. 제1항에 있어서,
    상기 식별하는 단계의 결과들은 상기 미리 결정된 패턴이 그것이 형성되는 상기 웨이퍼 상의 다른 영역들보다 프로세스 변동들에 더 민감한 상기 웨이퍼 상의 상기 하나 이상의 영역들에 위치하는 상기 하나 이상의 개별 결함들을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  17. 제1항에 있어서,
    상이한 계측 샘플링 계획들이 상이한 미리 결정된 패턴들에 대해 생성되도록 상기 웨이퍼 상의 상기 상이한 미리 결정된 패턴들에 대하여 별개로 상기 방법을 수행하는 단계를 더 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  18. 제1항에 있어서,
    상기 계측 샘플링 계획을 생성하는 단계는 동적으로 수행되는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  19. 제1항에 있어서,
    상기 계측 샘플링 계획을 생성하는 단계는 웨이퍼-대-웨이퍼 기반(wafer-to-wafer basis)으로 수행되는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  20. 제1항에 있어서,
    상기 결함들을 패턴 결함들을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  21. 제1항에 있어서,
    상기 계측은 임계적 치수 계측(critical dimension metrology)을 포함하는,
    계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법.
  22. 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행가능한 프로그램 명령들을 포함하는 캐리어 매체로서,
    상기 컴퓨터-구현 방법은:
    개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하는 단계 ― 상기 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치함 ―; 및
    상기 하나 이상의 식별된 개별 결함들이 위치하는 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 식별하는 단계의 결과들에 기초하여 상기 계측 샘플링 계획을 생성하는 단계;
    를 포함하는,
    캐리어 매체.
  23. 계측 샘플링 계획을 생성하도록 구성되는 시스템으로서,
    웨이퍼를 검사하고 결함 검사 결과를 생성하도록 구성되는 검출기를 포함하는 검사 시스템(inspection system); 및
    프로세서를 포함하는 컴퓨터 시스템;
    을 포함하고,
    상기 프로세서는:
    상기 검사 시스템의 의해서 생성된 상기 결함 검사 결과를 수신하고;
    개별 결함들이 포함된 결함들의 모집단의 하나 이상의 속성들로부터 비정상적인 하나 이상의 속성들을 갖는 하나 이상의 개별 결함들을 식별하기 위해 상기 결함 검사 결과를 이용하며 ― 상기 결함들의 모집단은 웨이퍼 상의 미리 결정된 패턴에 위치함 ―; 그리고
    상기 하나 이상의 식별된 개별 결함들이 위치하는 상기 웨이퍼 상의 하나 이상의 영역들이 계측 동안에 샘플링되도록 상기 하나 이상의 식별된 개별 결함들에 기초하여 상기 계측 샘플링 계획을 생성하도록 구성되고,
    상기 컴퓨터 시스템은 상기 검사 시스템에 결합되는,
    계측 샘플링 계획을 생성하도록 구성되는 시스템.
KR1020107005289A 2007-08-10 2008-08-08 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들 KR101381309B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/837,208 2007-08-10
US11/837,208 US7711514B2 (en) 2007-08-10 2007-08-10 Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
PCT/US2008/072636 WO2009023571A1 (en) 2007-08-10 2008-08-08 Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan

Publications (2)

Publication Number Publication Date
KR20100071975A KR20100071975A (ko) 2010-06-29
KR101381309B1 true KR101381309B1 (ko) 2014-04-04

Family

ID=40347319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107005289A KR101381309B1 (ko) 2007-08-10 2008-08-08 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들

Country Status (4)

Country Link
US (1) US7711514B2 (ko)
KR (1) KR101381309B1 (ko)
IL (1) IL203616A (ko)
WO (1) WO2009023571A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7561983B2 (en) * 2006-09-29 2009-07-14 Varian Semiconductor Equipment Associates, Inc. Technique for improving ion implantation based on ion beam angle-related information
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8516399B2 (en) * 2009-02-18 2013-08-20 Mentor Graphics Corporation Collaborative environment for physical verification of microdevice designs
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP5275208B2 (ja) * 2009-12-02 2013-08-28 株式会社東芝 半導体装置の製造方法
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US9620426B2 (en) 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US8781781B2 (en) * 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8495527B2 (en) 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
CN102446786B (zh) * 2011-11-28 2013-12-04 上海华力微电子有限公司 一种半导体制程中的设备监控方法
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
TWI455224B (zh) * 2012-04-18 2014-10-01 Advanced Ion Beam Tech Inc 量測晶圓表面電荷的系統及方法
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9576861B2 (en) 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
KR102120522B1 (ko) * 2013-06-28 2020-06-09 케이엘에이 코포레이션 대표 타겟 부분집합의 선택 및 이용
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US9286675B1 (en) 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
CN107438795A (zh) * 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
US9767548B2 (en) * 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10190991B2 (en) 2016-11-03 2019-01-29 Applied Materials Israel Ltd. Method for adaptive sampling in examining an object and system thereof
US10262831B2 (en) * 2016-12-21 2019-04-16 Kla-Tencor Corporation Method and system for weak pattern quantification
US10761128B2 (en) 2017-03-23 2020-09-01 Kla-Tencor Corporation Methods and systems for inline parts average testing and latent reliability defect detection
US11216940B2 (en) 2019-04-15 2022-01-04 Canon Virginia, Inc. Metrology-based assisted defect recognition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030055848A (ko) * 2001-12-27 2003-07-04 삼성전자주식회사 웨이퍼상에 발생된 결함을 검출하는 방법 및 장치
US6859746B1 (en) * 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same

Family Cites Families (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) * 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) * 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (ja) * 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
DE69208413T2 (de) * 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
CA2131692A1 (en) 1992-03-09 1993-09-16 Sybille Muller An anti-idiotypic antibody and its use in diagnosis and therapy in hiv-related disease
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) * 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
JPH06177706A (ja) 1992-12-08 1994-06-24 Sony Corp 信号処理装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) * 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
DE69634089T2 (de) 1995-10-02 2005-12-08 Kla-Tencor Corp., San Jose Verbesserung der ausrichtung von inspektionsystemen vor der bildaufnahme
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) * 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) * 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6529621B1 (en) * 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
WO2000068738A1 (fr) * 1999-05-07 2000-11-16 Nikon Corporation Table de montage, micro-appareil, masque photographique, procede d'exposition, et procede de fabrication d'appareil
WO2000070332A1 (en) * 1999-05-18 2000-11-23 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) * 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
WO2001003380A1 (fr) * 1999-07-02 2001-01-11 Fujitsu Limited Dispositif d'attribution de services
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) * 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) * 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
WO2001086698A2 (en) 2000-05-10 2001-11-15 Kla-Tencor, Inc. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
KR100885940B1 (ko) * 2000-06-27 2009-02-26 가부시키가이샤 에바라 세이사꾸쇼 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US20030014146A1 (en) * 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP2003031477A (ja) * 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) * 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
CN1493157A (zh) * 2001-09-12 2004-04-28 ���µ�����ҵ��ʽ���� 图像编码方法和图像解码方法
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP3955450B2 (ja) * 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
WO2003036549A1 (en) 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
JP2004031709A (ja) * 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
EP1579274A4 (en) 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
AU2003247868A1 (en) 2002-07-15 2004-02-02 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (ko) * 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) * 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP3699960B2 (ja) 2003-03-14 2005-09-28 株式会社東芝 検査レシピ作成システム、欠陥レビューシステム、検査レシピ作成方法及び欠陥レビュー方法
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US6862156B1 (en) * 2003-05-30 2005-03-01 Western Digital Technologies, Inc. Disk drive including a disk plate having a damping member
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (ja) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd パターン解析方法及びパターン解析装置
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
KR101056142B1 (ko) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
WO2006015971A1 (en) * 2004-08-09 2006-02-16 Bracco Research Sa An image registration method and apparatus for medical imaging based on mulptiple masks
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
CN103439346B (zh) 2004-10-12 2017-10-20 恪纳腾技术公司 用于分类样品上的缺陷的计算机实现的方法和系统
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) * 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7749666B2 (en) * 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (ko) * 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
JP4203498B2 (ja) * 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン欠陥検査方法
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030055848A (ko) * 2001-12-27 2003-07-04 삼성전자주식회사 웨이퍼상에 발생된 결함을 검출하는 방법 및 장치
US6859746B1 (en) * 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same

Also Published As

Publication number Publication date
WO2009023571A1 (en) 2009-02-19
KR20100071975A (ko) 2010-06-29
IL203616A (en) 2015-06-30
US20090043527A1 (en) 2009-02-12
US7711514B2 (en) 2010-05-04

Similar Documents

Publication Publication Date Title
KR101381309B1 (ko) 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들
US9201022B2 (en) Extraction of systematic defects
JP6326465B2 (ja) ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのための方法
US7904845B2 (en) Determining locations on a wafer to be reviewed during defect review
JP6127170B2 (ja) ウェハ上で検出された欠陥をビン範囲に従って分けるように構成されたシステム
US7975245B2 (en) Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
JP5405453B2 (ja) 設計データ領域での検査データの位置を決める方法と装置
US10223492B1 (en) Based device risk assessment
US8194968B2 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8755045B2 (en) Detecting method for forming semiconductor device
KR20120125273A (ko) 검사 유도 오버레이 메트롤러지
KR20120093820A (ko) 시변 결함 분류 성능의 모니터링

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20170317

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180312

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190314

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200313

Year of fee payment: 7