KR101355728B1 - 평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어 - Google Patents

평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어 Download PDF

Info

Publication number
KR101355728B1
KR101355728B1 KR1020087026996A KR20087026996A KR101355728B1 KR 101355728 B1 KR101355728 B1 KR 101355728B1 KR 1020087026996 A KR1020087026996 A KR 1020087026996A KR 20087026996 A KR20087026996 A KR 20087026996A KR 101355728 B1 KR101355728 B1 KR 101355728B1
Authority
KR
South Korea
Prior art keywords
time
probe
pif
plasma
point2
Prior art date
Application number
KR1020087026996A
Other languages
English (en)
Other versions
KR20090007394A (ko
Inventor
더글라스 케일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090007394A publication Critical patent/KR20090007394A/ko
Application granted granted Critical
Publication of KR101355728B1 publication Critical patent/KR101355728B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

PIF (평면 이온 플럭스) 프로빙 장치를 이용하여 플라즈마 포텐셜 및 이온 플럭스와 같은 파라미터들의 상대 변화들 및/또는 절대 값들을 검출 및/또는 유도하는 방법 및 장치가 개시된다. 검출 및/또는 유도된 값들은 플라즈마 처리 프로세스들을 제어하는데 이용된다.
Figure R1020087026996
PIF (평면 이온 플럭스) 프로빙, 플라즈마 포텐셜, 이온 플럭스, 플라즈마 처리

Description

평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어{CONTROLLING PLASMA PROCESSING USING PARAMETERS DERIVED THROUGH THE USE OF A PLANAR ION FLUX PROBING ARRANGEMENT}
배경기술
본 발명은 일반적으로 기판 제조 기술에 관한 것이고, 보다 상세하게는 플라즈마 챔버에서 관련 평균 플라즈마 포텐셜을 측정하는 방법 및 장치에 관한 것이다.
기판, 예를 들어, 반도체 웨이퍼, MEMS 디바이스, 또는 플랫 패널 디스플레이 제조에 사용되는 것과 같은 유리 패널의 처리에서, 플라즈마가 종종 사용된다. 처리의 일부로서, 기판은 복수의 다이들, 또는 직사각형 영역들로 분할되는데, 이들 각각은 집적 회로가 될 것이다. 이후, 기판은 그 기판 상에 전기적 컴포넌트를 형성하기 위해 재료가 선택적으로 제거 (에칭) 및 증착 (증착법) 되는 일련의 단계들에서 처리된다.
전형적인 플라즈마 처리로서, 에칭 전에 경화된 에멀전의 박막 (즉, 포토레지스트 마스크와 같은 박막) 으로 기판을 코팅한다. 이후, 경화된 에멀전의 영역들을 선택적으로 제거하여, 하부층의 일부를 노출시킨다. 그런 다음, 이 기판을, 소위 척이라 불리는 모노 폴라 또는 바이 폴라 전극을 포함하는 기판 지지 구조체 상의 플라즈마 처리 챔버에 위치시킨다. 이후, 적절한 에천트 소스 가 스들 (예를 들어, C4F8, C4F6, CHF3, CH2F3, CF4, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2 등) 이 챔버로 흘러 들어가게 한다. 그런 다음, RF 에너지를 챔버에 인가하여 플라즈마를 형성한다.
아래에 나타낼 구상들을 모호하게하는 것을 방지하기 위해서, 플라즈마를 형성할 목적으로 인가된 모든 RF 에너지를 "챔버 RF"로도 지징할 것이다. 챔버 RF의 인가에 의해 생성된 플라즈마는 이온화된 종을 형성하고 기판의 노출된 영역을 에칭하는 표면 화학 반응을 개시하기 위해서 기판으로 보내지는 소스 가스들로부터 유도된 중성 분자 부분들 (라디칼 종) 을 형성한다. 이 RF 에너지는 용량성 및 유도성 수단 중 어느 하나에 의해 인가될 수 있다. 이 RF 에너지는 일 주파수에서 또는 다양한 주파수 (예를 들어, 2 MHz, 27 MHz 및 60 MHz) 에서 인가될 수도 있다. 이 RF 에너지는 챔버 그라운드에 대하여 플라즈마 내에서 포텐셜을 발생시키는데, 흔히 "플라즈마 포텐셜" 이라 지칭된다. 실제 응용에서, 플라즈마 포텐셜은 플라즈마를 지속시키기 위해 사용되는 인가된 챔버 RF 전력에 일치하는 시간 의존형이다. 그러나, 플라즈마 포텐셜 대신 평균화된 시간 (평균) 에 촛점을 맞춤으로써 타당성을 손상시키지 않고 이러한 논의를 실질적으로 간소화시킬 것이다. 이 평균 플라즈마 포텐셜을 Vp로 표시할 것이다.
플라즈마에 대한 챔버 RF의 용량성 결합의 대표적인 예로, 커플링 커패시터가 사용된다. 흔히 블록킹 커패시터로도 지칭되는 이 커패시터는 일반적으로, 기판 홀더 및 챔버 RF 소스 사이에 접속된다. 이 블록킹 커패시터를 통해 챔버 RF 에너지를 인가할 때, DC 전압이 이 블록킹 커패시터에 걸쳐서 발달할 것이라는 것은 당업자에게 잘 알려져 있다. 본원에서 이 전압을 "챔버 바이어스 전압" (Vchamber bias)이라 지칭할 것이다. 커패시터가 기판 홀더에 접속되기 때문에, 이 챔버 바이어스 전압 또한 챔버 그라운드에 대하여 챔버 홀더의 전압을 나타낸다. 챔버 바이어스 전압은 아래에 정의되고 논의될 "프로브 바이어스 전압" (Vb) 과는 신중하게 구별되어야 한다.
챔버에 대한 RF 에너지의 유도성 결합의 대표적인 예로, 기판 홀더는 제로 챔버 바이어스 전압 (Vchamber bias=0) 이 된다는 것이 고려될 수 있다. 모든 RF 에너지 커플링 방식에서, 챔버 벽 (기판 홀더 제외) 은 또한, Vwall이라 정의하는 챔버 그라운드에 대하여 포텐셜을 획득할 것이다. 그러나, 대부분의 응용의 실질적인 의의는, Vwall이 챔버 그라운드와 대략 동일하게 되는 경향이 있다는 것이다.
부가적으로, 플라즈마 전체를 에워싸고 이 플라즈마를 챔버 벽과 기판 홀더에서 분리하는 역할을 하는 플라즈마 쉬스 층 (plasma sheath layer) 이 발생한다. 플라즈마 전체를 에워싸는 이 쉬스 층은 또한 전계를 포함한다. 이 쉬스 영역을 이동시키는 하전 입자는 그 전계로 인한 힘을 경험할 것이고 순이득 또는 에너지의 손실 중 어느 하나를 갖는다. 획득된 순 에너지는 기판 표면/벽과 플라즈마 사이의 그 시간 종속성과 전위차에 의존할 것이다. 이 전위차를 "쉬스 포텐셜"(Vsheath) 이라고도 지칭한다.
앞의 논의로부터, 기판 홀더 상의 플라즈마의 경우에, 쉬스 포텐셜은 플라즈마 포텐셜 마이너스 챔버 바이어스 전압 (Vchamber sheath=Vp-Vchamber bias) 로 주어진다는 것 또한 알 수도 있다. 벽 표면 상의 플라즈마의 경우에, 쉬스 포텐셜은 플라즈마 포텐셜 마이너스 벽 전압 (Vchamber sheath=Vp-Vwall) 으로 주어진다. 플라즈마 포텐셜이 시간 종속성이기 때문에 쉬스 포텐셜은 시간 종속성이 될 것이라는 것 또한 예상할 수도 있다는 것을 주목한다.
상기 논의는 전형적인 파라미터 프로세스와 연관된 몇몇 파라미터들 (예를 들어, 쉬스 포텐셜) 에만 촛점을 맞춘다. 일반적으로, 상기 언급된 처리들의 결과들의 품질은, 예를 들어, 에너지에 영향을 주는 하전된 입자를 포함하는 수 많은 파라미터들에 민감하게 의존하는데, 이 하전된 종들은 주로 에너지를 얻는 한편 기판 위의 쉬스를 이동시키기 때문에 쉬스 포텐셜과 대응하는 경향이 있다. 그러나, 쉬스 포텐셜의 직접적인 측정은 종종 비현실적이다.
처리 결과에도 영향을 미치는 다른 예시적인 파라미터는 이온화된 가스 종이 기판으로 이동하는 속도 (즉, 이온 입자 플럭스) 이다. 그러나, 이온 입자 플럭스의 직접 측정은 실행하기 곤란하게 되는 경향이 있다. 종종, 이들 파라미터들 및 다른 파라미터들의 측정 가능한 절대값들이 없을 때, 이들 파라미터들 및 다른 파라미터들의 변화들은, 플라즈마 처리를 제어하는데에도 사용될 수도 있는 소중한 정보를 가져올 수도 있다. 따라서, 절대 측정치들이 가능하지 않더라도, 이들 파라미터들 및 다른 파라미터들의 값들의 상대적인 변화들의 검출이 매우 바람직하다.
앞의 관점에서, 본원에 나타난 발명은 다양한 이론적 토대를 개시하고 플라즈마 처리와 연관된 일정 파라미터들에서의 상대적인 변화를 검출하고/하거나 절대값들을 간접적으로 규정하는 다양한 기술들을 제안한다. 본 발명은 또한, 플라즈마 처리의 다양한 양태의 제어시 측정치 및/또는 검출 결과의 이용을 개시한다.
본 발명의 요약
본 발명은, 실시 형태에서, 기판 처리 프로세스를 제어하는 방법과 관련되고, 이 기판 처리 프로세스는 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된다. 이 방법은 PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계를 포함하는데, PIF 측정 장치는 플라즈마에 의해 기판이 처리되는 동안에 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함한다. 이 방법은 또한, PIF 프로브를 통해 상기 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계를 포함한다. PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 1 포텐셜 차는 플라즈마의 플라즈마 포텐셜과 동일하다. 이 방법은 또한, PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 동일하고, 플로팅 포텐셜은 전류가 PIF 프로브를 통해 흐르지 않을 때 충전 단계 동안 플라즈마 쉬스에 걸친 포텐셜 차의 값을 나타낸다. 시간 tpoint3에서의 플로팅 포텐셜이 달성되는 한편 RF가 PIF 프로브에 인가된다. 더욱이, 시간 tpoint2와 시간 tpoint3a 사이의 시간 차가 소정의 조건을 만족한다면, 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함한다.
다른 실시 형태에서, 본 발명은 기판 처리 프로세스를 제어하는 방법과 관련되는데, 이 기판 처리 프로세스는 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된다. 이 방법은 PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계를 포함하는데, PIF 측정 장치는 플라즈마에 의해 기판이 처리되는 동안에 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함한다. 이 방법은 또한, PIF 프로브를 통해 에너지를 플라즈마로 제공하도록 구성되는 에너지 소스를 이용하여 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성한다. 이외에도 이 방법은, PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 1 포텐셜 차는 플라즈마의 플라즈마 포텐셜과 동일하다. 이 방법은 또한, 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계로서, 시간 tpoint2에서의 프로브 바이어스 전압은 시간 tpoint2에서의 PIF 프로브의 표면과 그라운드 사이의 포텐셜 차를 나타낸다. 이 방법은 또한, 시간 tpoint2에서의 프로브 바이어스 전압이 소정의 조건을 만족한다면, 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함한다.
또 다른 실시 형태에서, 본 발명은 기판 처리 프로세스를 제어하는 방법과 관련되고 이 기판 처리 프로세스는 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된다. PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계로서, PIF 측정 장치는 플라즈마에 의해 기판이 처리되는 동안에 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함한다. 이 방법은, PIF 프로브를 통해 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계를 포함한다. 이 방법은 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계를 더 포함하고, 플라즈마 쉬스 양단의 제 1 포텐셜 차는 플라즈마의 플라즈마 포텐셜과 동일하다. 이 방법은 또한, 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계를 포함하고, 시간 tpoint2에서의 프로브 바이어스 전압은 시간 tpoint2에서의 상기 PIF 프로브의 표면과 그라운드 사이의 제 2 포텐셜 차를 나타낸다. 이 방법은 또한, PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 2 포텐셜 차는 프로브에 대해 인가된 RF 신호의 존재시 플로팅 포텐셜과 동일하고, 플로팅 포텐셜은 PIF 프로브를 통해 전류가 흐르지 않을 때 충전 단계 동안 플라즈마 쉬스 양단의 제 3 포텐셜 차의 값을 나타낸다. 이 방법은 시간 tpoint3에서의 프로브 바이어스 전압을 확인하는 단계를 더 포함하는데, 시간 tpoint3에서의 프로브 바이어스 전압은 시간 tpoint3에서의 PIF 프로브의 표면과 그라운드 사이의 제 4 포텐셜 차를 나타낸다. 이 제 4 포인트는 프로브 표면에 대한 인가된 RF의 제거 순간에서의 조건을 나타낸다. 이 방법은 또한, 시간 tpoint2에서의 프로브 바이어스 전압과 시간 tpoint3에서의 프로브 바이어스 전압 사이의 차를 확인하는 단계를 포함한다. 또한, 이 방법은, 시간 tpoint2에서의 프로브 바이어스 전압과 시간 tpoint3b에서의 프로브 바이어스 전압 사이의 차가 소정의 조건을 만족한다면 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하도록 제어 신호를 발생시키는 단계를 포함한다.
다른 실시 형태에서, 본 발명은 기판 처리 프로세스를 제어하는 방법과 관련되는데, 이 기판 처리 프로세스는 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된다. 이 방법은 PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계를 포함하는데, PIF 측정 장치는 플라즈마에 의해 기판이 처리되는 동안에 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함한다. 이 방법은, PIF 프로브를 통해 에너지를 상기 플라즈마로 제공하도록 구성되는 에너지 소스를 이용하여 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계를 더 포함한다. 그 외에도, 이 방법은 PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 1 포텐셜 차는 플라즈마의 플라즈마 포텐셜과 동일하다. 이 방법은 또한, 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계를 포함하는데, 시간 tpoint2에서의 프로브 바이어스 전압은 시간 tpoint2에서의 PIF 프로브의 상기 표면과 그라운드 사이의 제 2 포텐셜 차를 나타낸다. 또한, 이 방법은 PIF 측정 장치의 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계를 포함하는데, 플라즈마 쉬스 양단의 제 3 포텐셜 차의 값을 나타내는 플로팅 포텐셜과 동일하고, 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 충전 단계 동안 플라즈마 쉬스 양단의 제 3 포텐셜 차의 값을 나타낸다. 이 방법은 또한, 시간 tpoint3에서의 프로브 바이어스 전압을 확인하는 단계를 포함하는데, 시간 tpoint3에서의 프로브 바이어스 전압은 시간 tpoint3에서의 PIF 프로브의 표면과 그라운드 사이의 제 4 포텐셜 차를 나타낸다. 이 방법은 시간 tpoint2에서의 프로브 바이어스 전압과 시간 tpoint3에서의 프로브 바이어스 전압 사이의 차를 확인하는 단계를 더 포함한다. 이 방법은 시간 tpoint2에서의 프로브 바이어스 전압과 시간 tpoint3에서의 프로브 바이어스 전압 사이의 차를 이용하여 플라즈마의 전자 온도와, 유효 이온 질량 값을 확인하는 단계를 포함한다. 이 방법은 전자 온도가 소정의 조건을 만족한다면, 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 더 포함한다.
본 발명의 이들 특징 및 다른 특징들이 첨부 도면들과 함께 본 발명의 상세한 설명에서 이하 더 자세히 설명될 것이다.
도면의 간단한 설명
본 발명은, 유사한 참조 부호들은 유사한 엘리먼트들을 나타내는 첨부 도면들의 그림에서, 한정적인 방식이 아니라 예시적인 방식으로 도시되어 있다.
도 1은 PIF 프로브의 간략화된 다이어그램을 도시한다.
도 2는 본 발명의 실시 형태에 따른, PIF 프로브 측정 장치의 간략화된 다이어그램을 도시한다.
도 3은 PIF 시스템에 의해 경험된 대표적인 전압-전류 관계 (I-V 커브) 를 도시한다.
도 4는 전류 센서에 의해 수집된 전류 데이터의 대표적인 예를 제공한다.
도 5는 본 발명의 실시 형태에 따른 도 4에 나타난 데이터와 동일한 데이터를 시간에 대한 도함수를 플로팅한 도면이다.
도 6은 도 4 및 도 5에 플로팅된 예시적인 데이터를 얻기 위해 사용된 동일 조건들에 대하여 시간 대한 함수로서 예시적인 프로브 바이어스 전압 (Vb) 을 플로팅한다.
바람직한 실시 형태들의 상세한 설명
본 발명은 첨부한 도면들에 나타난 바와 같이 그 몇몇 바람직한 실시 형태들을 참조하여 상세히 설명될 것이다. 이하의 설명에서, 수 많은 구체적인 상세한 내용들은 본 발명의 완전한 이해를 제공하기 위해 전개된다. 하지만, 본 발 명은 이들 구체적인 상세한 내용들의 일부 또는 전부가 없이도 실시될 수도 있다는 것은 당업자에게 있어 자명한 것이다. 다른 견지에서, 본 발명을 불필요하게 모호하게 하지 않기 위해 주지의 프로세스 단계들 및/또는 구조들은 설명되지 않았다.
본원의 발명자는, 처리 결과가 이온화된 가스 종들이 기판으로 이동하는 속도 (즉, 이온 입자 플럭스) 에 의존한다는 것을 알았다. 이전에 논의된 바와 같이, 상기 처리들의 결과들은 또한, 이온화된 가스 종들의 충돌에 의해 기판 표면으로 이동된 에너지에 민감하게 의존한다고 일반적으로 알려져 있다. 이온이 제한된 에칭 공정의 경우 (에칭 처리시 매우 일반적인 발생) 는, 이 경우의 이온 에너지의 변화가 에칭 속도 및 에칭된 프로파일 단면의 기하와 밀접하게 상관된 것으로 잘 알려져 있는 예를 제공한다. 하전된 종들의 충돌 에너지에 대한 에칭 처리의 잘 알려진 민감도는 하전된 종들의 충돌 에너지의 인디케이터로서 역할을 할 수 있는 신호들을 검출하고 모니터링하게 하는 동기를 부여한다.
이온화된 가스 종들의 도달 속도 측정이 하전된 종들의 충돌 에너지의 인디케이터를 모니터링하는 것과 동시에 실행될 수 있다면 부가적인 이득을 얻는다. 기판 위로 쉬스가 이동하는 동안 하전된 종들의 충돌 에너지가 있는 기판이 처음으로 얻어지기 때문에, 하전된 종들이 있는 충돌 에너지와 기판 쉬스 포텐셜 사이에 직접적인 대응이 존재하는 경향이 있다.
불행하게도, 쉬스 포텐셜의 직접적인 측정은 보통 실질적이 않다. 그러나, 이전에 주목한 바와 같이, 기판 쉬스 포텐셜은 플라즈마 포텐셜과 챔버 바이어 스 포텐셜 사이의 차 (즉, Vsheath=Vp-Vchamber bias) 로부터 유도될 수 있다. 챔버 바이어스 포텐셜은 쉽게 측정 가능한 양이다. 챔버 바이어스 포텐셜이 고정 값이 되는 것으로 알려진 경우, 플라즈마 포텐셜에서 변화의 검출은 하전된 종들의 충돌 에너지의 변화들에 대한 바람직한 인디케이터로서 역할을 할 수 있다. 종래 기술을 사용한 플라즈마 포텐셜의 측정은 통상적으로 매우 곤란하고 많은 산업적으로 관련된 응용에 대하여 비현실적이다. 따라서, 플라즈마 포텐셜의 상관 측정에 관한 새롭고 보다 산업적으로 유용한 방법이 바람직하다.
실질적으로, 기판 표면에 충돌하는 각각의 이온에 의해 전달된 에너지는 값들의 범위를 스패닝한다. 주어진 에너지로 기판에 충돌하는 입자들의 수의 시간 평균은 IEDF (Ion Energy Distribution Function) 로 정의된다. 이들 에너지들의 분배 (IEDF) 는 쉬스 포텐셜의 시간 변화의 결과이다. 실질적으로 관심있는 대부분의 환경에서, IEDF의 완전한 세부사항을 아는 것은 필수적이지 않다. 오히려, 종종 IEDF의 지식으로부터 유도된 평균 이온 에너지를 아는 것으로 충분하다. 상기 논의로부터 예상될 수도 있는 바와 같이, 평균 이온 에너지의 변화는 플라즈마 포텐셜과 챔버 바이어스 포텐셜 사이의 차 (즉, Vsheath=Vp-Vchamber bias) 의 평균 값의 변화로부터 추론될 수 있다. 챔버 바이어스가 불변인 경우, 플라즈마 포텐셜의 변화만으로도 이러한 변화를 추론할 수 있다.
산업상 중요한 많은 응용에서, 중요한 것은 오로지 공칭 조건으로부터 편차들을 검출하는 것이다. 따라서, 이온 에너지 측정의 경우, 종종, 평균 에너지 의 변화를 검출할 수 있는 수단을 구비하는 것으로 충분하다. 이것은 절대 평균 이온 에너지 측정 대신 평균 이온 에너지의 임의의 관련 인디케이터에 의해 구현될 수 있다. 예를 들어, 쉬스 포텐셜에서 상대 변화가 검출된다면, 이것은 이온 에너지의 변화를 추론하기 위한 수단으로서 사용될 수 있다. 챔버 바이어스 전압이 불변이라고 측정되거나 알려진다면, 플라즈마 포텐셜 변화의 인디케이터의 모니터링은 또한 이 목적을 만족한다.
또한, 산업상 중요한 적용은, 플라즈마 포텐셜에서 검출된 변화들과 하전된 종들의 충돌 에너지 사이의 연관이 확립되지 않은 경우에 생긴다. 이러한 적용은 "챔버 핑거프린팅"이라 지칭되고 주어진 처리에 대하여 챔버의 "핑거프린트"를 확립하기 위해서 갖가지 챔버 파라미터들의 관련 변화들을 기록함으로써 구현한다. 후속 처리들을 실행할 때, 명목상으로 확립되어진 핑거프린트를 제외한 핑거프린트는 잘못된 조건을 제안한다. 이 환경에서, 플라즈마 포텐셜에서의 변화들의 검출은, 이러한 변화들을 챔버 내에서 발생하는 물리적인 작용들로 연결시키는데 필요한 부가적인 노력없이 챔버 핑거프린팅에 대한 입력으로서 역할을 할 수 있다.
또한, 많은 에칭 공정이 이온 플럭스와 이온 에너지의 변화들에 대해 민감한 것으로 잘 알려져있기 때문에, 이온 플럭스를 모니터하는 것과 기판으로 전달되는 평균 에너지 변화의 인디케이터를 모니터하는 것을 동시에 할 수도 있는 방법이 유익하다. 상기에서 주목된 바와 같이, 플라즈마 포텐셜의 변화들의 검출은 기판으로 전달되는 평균 에너지 변화들의 바람직한 인디케이터이다. 더욱이, 에칭 공정의 과정에서 빈번한 상기 정도들을 샘플링하는 방법 또한 유익하다. 특히, 10 ms 미만의 간격으로 이온 플럭스 및 플라즈마 포텐셜을 (상대적 또는 절대적으로) 샘플링하는 것이 바람직하다. 부가적으로, 이온 플럭스 및 이온 에너지를 기판 위의 복수의 장소에서 모티터링하는 수단 (즉, 설치 또는 기술) 을 구비하는 것 또한 유익하다. 따라서, 산업상 중요한 샘플링 빈도로 플라즈마 프로세싱 챔버에서의 플라즈마 포텐셜 및 이온 플럭스의 국부적인 변화들을 동시에 검출하는 방법 및 장치가 바람직하다.
이론에 얽매이지 않기를 희망하면서, 본원의 발명자는 RF 펄스에 의해 바이어싱된 전기 프로빙 시스템의 플라즈마 쉬스를 가로질러 통과하는 전류의 변화를 측정하여 이를 시간에 대한 도함수를 본원에 개시된 방법들에 의해 분석하여 플라즈마 포텐셜의 변화들과 관련된 결정을 얻을 수도 있다고 믿는다. 더욱이, 쉬스 플로팅 전압 또는 RF 챔버 바이어스 전압, 및 인가된 챔버 RF 전압의 적절한 측정들이 이용 가능하다면, 잘 알려진 캘리브레이션 기술을 사용하여 완전한 측정을 달성할 수 있다.
평균 이온 에너지, 플라즈마 포텐셜과 챔버 바이어스 전압 사이의 잘 알려진 관계들을 이용하여 평균 이온 에너지의 변화들을 추론하는 것이 가능하다. 그러나, 산업상 가장 중요한 응용으로, 플라즈마 포텐셜의 관련 표시는 충분하다. 즉, 측정은 고정된 특정값이 주어져서는 않되지만 기준값과 비교하여 계산될 수 있다. 예를 들어, 플라즈마 포텐셜 측정치가 기준치와 관련하여 얻어진 후, 다른 플라즈마 포텐셜 측정치가 이후의 시각에 동일한 기준치와 관련하여 얻어진다 면, 측정치들간의 차는 플라즈마 포텐셜의 변화를 나타내고 사용된 기준치의 정보에 의존하지 않는다. 챔버 바이어스 전압이 불변이라고 알려지거나, 또한 그 변화가 측정되었다면, 프라즈마 포텐셜의 변화들은, 이번에는, 평균 이온 에너지의 변화를 추론하는데 사용된다.
실시 형태에서, 이온 플럭스 및 플라즈마 포텐셜 이동은 평면 이온 플럭스 (PIF) 프로빙 장치로부터의 프로브 바이어스 전압 및 측정된 수집 전류의 분석으로부터 확인된다. PIF 측정 장치는 일반적으로, 플라즈마 챔버 벽의 범위를 넘어서 플라즈마 챔버 그 자체로 확장되지 않는 전류 수집 표면 (PIF 프로브) 을 이용하여 구현된다. 즉, PIF 프로브는 플라즈마 챔버 표면과 실질적으로 동일 평면에 있을 수도 있거나, 플라즈마 챔버 벽 쪽으로 우묵히 들어갈 수도 있다. PIF 프로브는 총괄적으로 "랑뮤어 프로브 (Langmuir Probes)" 로 알려진 플라즈마 프로빙 방법들의 종류 중 하나이다. "PIF 프로브" 방법은 참조 문헌 (US 특허 제 5,936,413 호) 으로서 본원에 포함된 우선권 특허에 보다 상세하게 설명된다. 그러나, PIF 프로브 작동의 하이라이트가 본 발명과 밀접한 관계에 있지 않다.
이제, 도 1을 참고하면, 본 발명의 실시 형태에 따른 PIF 프로브의 단순화된 다이어그램이 도시된다. 일반적으로, 프로브는 전류 수집 구조체, 전도 경로, 전기 절연 장벽으로 구성되는데, 전기 절연 장벽은 수집 디스크와 전도 경로를 이것이 임베딩된 영역에서 분리시킨다. 전류 수진 구조체가 임베딩된 영역은 일반적으로, 챔버 벽 또는 RF 그라운드된 카운트 전극으로 구성된다. 그러나, 기판 표면으로 전류 수집 구조체가 임베딩되는 것을 방지하는 방법 만큼 필수적인 것 은 없다.
전류 수집 구조체 (102) 는 플라즈마를 향하고, 일반적으로, 플라즈마 챔버 표면에 대하여 동일 평면이거나 우묵히 들어간 전도성 표면 영역 (103) 으로 구성된다. 실시 형태에서, 전류 수집 구조체 (102) 는 영역에서 원형이고, 배선이 부착될 수도 있는 금속화된 표면과 함께 도핑된 실리콘을 포함한다. 전류 수집 구조체 (102) 는 또한, 전도 경로 (106) 에 커플링되는데, 이 전도 경로 (106) 는 일반적으로, 커패시터 CPIF (206) 를 충전 및 방전하는 느린 과도 전류 및 전압으로서 챔버 그라운드에 대한 이온 플럭스 프로브의 전압 및 전류 특성을 측정할 수도 있는 전력/감지 전자기기 (미도시) 로 접속된다.
전류 수집 구조체 (102) 및 전도 경로 (106) 를 플라즈마 챔버 (미도시) 로부터 절연시키는 것은 전기 절연 장벽 (104) 이다. 실시 형태에서, 전기 절연 장벽 (104) 은 석영과 같은 유전체를 포함한다. 실시 형태에서, 전기 절연 장벽 (104) 은 질화 알루미늄, 산화 알루미늄 등과 같은 전기 절연 세라믹으로 구성된다. 실시 형태에서, 전기 절연 장벽 (104) 은 에어 (진공) 갭으로 구성되는데, 이 에어 갭은 그 갭 내부에 플라즈마 형성을 방지할 만큼 작지만 전도 경로 (106) 와 플라즈마 챔버 (미도시) 사이의 아킹을 방지할 만큼 충분히 크다.
이제, 도 2를 참고하면, 본 발명의 실시 형태에 따른 PIF 프로브 측정 장치의 간략화된 다이어그램이 도시된다. 일반적으로, PIF 프로브는 플라즈마 쉬스 (213) 및 플라즈마 (110) 를 향하고, 일반적으로, 언급된 바와 같이 플라즈마 챔버 표면 (212) 에 대하여 동일 평면에 있거나, 플라즈마 챔버 벽 쪽으로 우묵히 들어간 전도성 표면 영역으로 구성된다. 실시 형태에서, 펄스 프로브 RF 소스 (RF 버스트 소스)(202) 는 챔버 그라운드와 DC 블록킹 커패시터 CPIF (206) 의 단자 사이에 접속된다. 이 블록킹 커패시터는, 챔버 RF가 인가되는 앞서 언급된 블록킹 커패시터와는 차이가 있으므로 혼동하지 않아야 한다. 그러면 이번에는, DC 블록킹 커패시터 CPIF (206) 는, 플라즈마 쉬스 (213) 및 플라즈마 (110) 를 향하는 PIF 프로브 (208) 에 접속된다. 일반적으로, CPIF (206) 는 유전체 물질에 의해 서로 분리되고 절연된 2개의 금속 플레이트로서 구성된다.
플라즈마 쉬스 (프로브 쉬스)(213) 는 플라즈마 (110) 와 프로브의 표면 사이에 존재한다. 일반적으로, 플라즈마 쉬스는 이것의 양단에 인가된 (impressed) 전압에 응답하여 전류를 전도시킬 것이다. 플라즈마 쉬스 양단에 인가된 전압은 쉬스 전압 (Vsheath) 이라 지칭된다. 구체적으로, 플라즈마 쉬스 (213) 양단에 인가된 전압은 프로브 쉬스 전압 (Vprobe sheath) 이라 지칭된다. 실질적으로 중요한 대부분의 예에서, 플라즈마 (110) 전체가 등전위가 되는 것으로 여겨질 수도 있다. 이 등전위는, 챔버 그라운드에 대하여 측정될 때 플라즈마 포텐셜 (Vp) 이라 지칭된다. 쉬스 양단에 인가된 쉬스 전압 (Vsheath) 이 변할 수 있다면, 쉬스를 통하여 흐르게 되는 전도 전류는 잘 알려진 전압-전류 관계 (I-V 커브) 에 따라서 변할 것이다. 이러한 전압-전류 관계 (I-V 커브) 의 대표적인 예를 도 3에 다이어그램으로 도시한다.
도 2는 또한, 전류 센서 (204) 의 형태로 표면 (103) 에 의해 수집된 전류를 측정하도록 제공되는 수단을 도시한다. 분석의 편의상, 전류 센서 (204) 는 일반적으로 DC 내지 가청 범위의 주파수의 신호들에만 응답하도록 설계된다. 일반적으로, RF 범위의 주파수들의 신호들 (예를 들어, 500 KHz 이상) 은 받아들이지 않는다. 키로히호프의 전류 법칙의 응용은, 전류 센서 (204) 또한 플라즈마 쉬스 (213) 를 통해 전류를 측정한다는 것을 보여준다는 것을 주목한다.
도 4는 전류 센서 (204) 에 의해 수집된 데이터의 대표적인 예를 제공한다. 이 예시적인 데이터는 300 mm 기판을 에칭하기 위해 구성된 Lam Research 2300 ExelanTM에칭 시스템을 이용하여 수집되었다. 플라즈마는 20℃의 챔버 온도 및 기판 온도에서 27 MHz 챔버 RF에 의해 지속되었다. 다른 오퍼레이팅 파라미터들을 아래 표 1에 상세하게 나타낸다.
챔버 압력 : 약 60 내지 70 mTorr
27 MHz 전력 범위 : 약 1700 내지 2300W
아르곤 유량 : 약 200 내지 300 sccm
C4F8 유량 : 약 15 sccm 내지 약 20 sccm
O2 : 약 0 내지 약 5 sccm
분석의 편의상, 전류 센서 (204) 에 의해 수집된 전류 밀도 (단위 면적당 전류) 를 얻기 위해 수집 표면의 면적 (102) 에 의해 수집된 전류를 분할하는 것이 일반적인 관행이다. 이 관례에 따라서, 도 4는 시간의 함수로서 mA/㎠의 단위로 수집된 전류 밀도의 예를 플로팅한다.
도 5는 도 4에 나타낸 데이터를 시간에 대해 미분한 것을 제외하고는 동일한 데이터를 플로팅한 것이다. 전류 센서 (204) 는 주파수의 오디오 범위에만 응답하여 설계되었기 때문에, 도 4 및 도 5는 RF가 인가되는 RF 버스트 인터벌 (도 4 및 도 5의 포인트들 사이의 인터벌) 동안 완전한 RF 파형 (full RF waveform) 에 의해 야기된 전류 변화들을 보여주지 않는다. 사실상, 도 4 및 도 5에 도시된 것은 각각의 포인트에서 RF 사이클에 걸친 시간 평균으로서 얻어진 전류이다.
도 2로 돌아가서, 고 임피던스 전압 센서 (210) 또한 제공된다는 것을 볼 수 있다. 이 고 임피던스 전압 센서 (210) 는 일반적으로 DC 내지 가청 범위의 주파수의 신호들에만 응답하도록 설계된다. 일반적으로, RF 범위의 주파수들의 신호들 (예를 들어, 500 KHz 이상) 은 받아들이지 않는다. 고 임피던스 전압 센서 (210) 는 챔버 그라운드에 대하여 수집 표면 (103) 의 전압 측정을 제공한다. 이 전압이 프로브 바이어스 전압 (Vb) 이 되는 것으로 정의된다. 프로브 바이어스 전압 (Vb) 은, 플라즈마 쉬스 (213) 에 걸치는 전압으로 정의되는 도 3에 나타난 프로브 쉬스 포텐셜 (Vprobe sheath) 과는 주의하여 구별하여야 한다.
도 6은 도 4 및 도 5에 플로팅된 예시적인 데이터를 얻기 위해 사용된 동일 조건들에 대하여 시간에 대한 함수로서 예시적인 프로브 바이어스 전압 (Vb) 을 플로팅한다. 전압 센서 (210) 는 가청 주파수 범위에만 응답하도록 설계되기 때문에, 도 6은 RF가 인가되는 RF 버스트 인터벌 (도 6의 포인트 1과 3 사이의 인터벌) 동안 완전한 RF 파형으로 인한 전압 변화들을 도시하지 않는다. 사실상, 도시된 것은 각각의 포인트에서 RF 사이클에 걸친 시간 평균으로서 얻어진 전압이다. 상기 논의로 부터, 또한, 프로브 바이어스 전압은, 식 Vb = Vprobe sheath +Vp 를 이용함으로써, 프로브 쉬스 전압 (Vprobe sheath) 및 플라즈마 포텐셜 (Vp) 과 관련된다는 것을 주목할 수도 있다.
펄스 프로브 RF 소스 (RF 버스트 소스)(202) 는, 일반적으로 고정 진폭의 단일 주파수 RF의 인터벌 (RF 버스트 인터벌) 다음 프로브 RF 소스 (202) 가 턴 오프되는 동안의 인터벌 (정지 상태의 인터벌) 을 만든다. 도 4, 5, 6에 나타낸 데이터를 얻기 위해 사용된 예시적인 실시 형태에 대하여, RF가 턴 온되었던 RF 버스트 인터벌들 동안 11.5 MHz에서 주파수가 고정되었다. 이제, 오직 예를 들기 위해서만 주어지는 한 예로, RF 버스트 인터벌은 2.5 밀리초에서 고정되었고 RF가 오프되는 동안의 정지 상태의 인터벌은 30 밀리초에서 고정되었다. 정지 상태의 인터벌은, 일반적으로, 전하의 평형 구성을 구축하기 위해서 시스템에 대하여 충분히 길도록 선택된다. 전하의 이 평형 분배는, 시스템을 통하는 전도 전류의 순수 유량이 없도록 할 것이다.
전하 분배의 세부 사항은 챔버 설계의 세부사항과 챔버 RF 전압을 인가하는 방법에 의해 결정된다. 시스템이 이 평형 상태를 달성하고 전도 전류가 흐르지 않을 때 플라즈마 쉬스 (213) 에 걸친 전압 (쉬스 전압) 은 "플로팅 포텐셜" (Vf) 이 된다. 이 양은 도 3의 포인트 3a,5로 도시됨을 주목한다.
사실상, (프로브를 포함한) 챔버 내의 임의의 포인트에서 플라즈마 쉬스 양단 전압의 직접 측정은 매우 곤란하고 거의 이루어지지 않는다. 도 4, 5, 6을 얻기 위해 사용되고 상술된 예시적인 데이터 세트의 경우, 쉬스 양단 전압이 측정되지 않으므로 플로팅 포텐셜의 실제값 (Vf) 은 이용 가능하지 않았다. 그러나, 도 4의 검사는 포인트 0,3a, 및 5에서 전류 흐름이 제로라는 것을 보여준다. 플로팅 포텐셜은 전류가 흐르지 않는 플라즈마 쉬스의 양단 전압이 된다고 정의되기 때문에, 도 4의 포인트 0, 3a, 및 5는 프로브 쉬스 전압이 플로팅 포텐셜을 달성하는 조건을 나타낸다. 또한, 이러한 동일 포인트들이 포인트 0, 3, 및 5로서 도 6에도 도시된다는 것을 주의하여 주목한다.
이 사실은, 쉬스 플로팅 포텐셜이 하나의 영이 아닌 프로브 바이어스 전압들 (Vb) 보다 많은 포인트에서 발생할 수 있는 포인트를 분명히 나타낸다. 정지 상태의 인터벌 통안, 프로브 쉬스는 약 1 볼트의 프로브 바이어스 전압에 해당하는 도 6의 포인트 0에서의 플로팅 포텐셜을 달성한다. 주어진 예에 대하여 RF가 인가될 때의 인터벌 동안, 쉬스는 -67.5 볼트의 프로브 바이어스 전압에 해당하는 도 6의 포인트 3에서 플로팅 포텐셜을 달성한다.
버스트 RF의 인가 동안 쉬스 플로팅 포텐셜을 달성하기 위해 요구된 프로브 바이어스 전압에서의 변화는 다음과 같이 이해될 수도 있다. RF 버스트의 인가는 쉬스 양단에 나타나는 RF 전압 (VsheathRF) 이 된다. 그러나, 도 3에 의해 증명된 바와 같이, 프로브는 비선형 디바이스이다. 이 비선형성은 아래에 주어진 관계에 따라서 쉬스 포텐셜에서 DC 시프트가 된다는 것을 보여줄 수 있다.
Figure 112008076367270-pct00001
여기서, Te는 전자 온도이고 VsheathRF는 쉬스 양단의 전압 오실레이션의 진폭이다. 이 전압 시프트는, 전도 전류로 하여금 도 3의 Ⅰ-Ⅴ 관계에 따라 쉬스를 통해 흐르게 하는 플로팅 포텐셜로부터 떨어져 쉬스 전압을 이동시킨다. 전도 전류의 이 흐름은 CPIF의 플레이트 상에 전하를 축적하게 한다. 커패시터는, 상기 식으로 주어진 쉬스 포텐셜에서 RF 유도된 시프트에 대하여 반대 부호의 포텐셜에 도달할 때까지 계속해서 전하를 획득할 것이다. 결국, 새로운 평형 조건이 구축되는데, 플라즈마 쉬스가 플로팅 포텐셜로 리턴하는 것을 나타내는 순수 전류 흐름이 없다. 도 4, 5, 6의 예시적인 데이터의 구체예로, 이 시스템은 커패시터로 하여금 쉬스 전압을 플로팅 포텐셜로 리턴시키기 위해 -67.5 볼트의 값으로 충전하도록 요구한다.
결국, RF가 RF 버스트 소스 (202) 로부터 인가된 후, CPIF (206) 와 플라즈마 (110) 둘 다에 걸쳐서 인가된 프로브 RF 전압이 될 것이다. 버스트 RF 애플리케이션의 첫번째 예에서, CPIF (206) 는 충전되지 않고 그 단자들에 걸쳐서 제로 DC 포텐셜을 나타낸다. 더욱이, 버스트 RF 애플리케이션의 예에서, 프로브 쉬스 포텐셜에서 시프트가 존재한다.
대부분의 실시 형태에서, 프로브 RF 전압 진폭은 충분히 커서 도 3에 나타난 "전자 포화" 영역으로 쉬스를 바이어스하는 충분한 크기의 프로브 쉬스 포텐셜 시프트가 된다. 도 3, 4, 5, 6의 포인트 1은 프로브 RF가 먼저 인가되는 순간을 나타낸다. 이와 같이, 프로브 RF 전압 인가의 개시 시, 전도 전류는 CPIF (206) 로 흐를 것이다. 전자 포화로 들어가는 것을 달성하기에 충분하게 프로브 쉬스 전압이 시프트한다면, 충전 전류의 크기는 "전자 포화 전류"로 제한될 것이다.
전자 포화 전류, 또는 Ie는 전자 밀도 및 온도와 같은 플라즈마의 기본 파라미터들을 조건으로 하는 제한 조건을 나타낸다. 전자 포화 전류를 계산할 수도 있는 메커니즘 및 수단은 당업자에게 일반적으로 알려지 있다. 전류를 포화 값 (Ie) 으로 제한하는 메커니즘의 보다 세부 사항은 본 발명을 이해하는 것과 밀접한 관계가 없다.
관습상, 프로브 RF의 인가 동안 전자들은 플라즈마로부터 PIF 프로브를 향해 흐른다. 전류가 흐름에 따라, CPIF는 충전되기 시작하는데, 플레이트 (214) 에는 포지티브 전하, 플레이트 (216) 에는 네거티브 전하가 충전된다. 차례로, CPIF에 대한 이 충전 구성은 충전 CPIF로 흐르는 전류의 크기를 감소시킨다. 결국, 평형 구성이 달성된다. 이것은, 도 3에서, 포인트 1에서 포인트 2로 이동한 후, 결국 포인트 3a, 5로 이동하는 것으로 이해될 수 있다.
상기 주어진 일반적인 설명에 따라서, CPIF에 걸친 포텐셜은 인가된 RF에 의해 유도된 프로브 쉬스 전압 시프트가 오프셋하기 충분하게 도 6의 포인트 1부터 도 6의 포인트 3에 도달할 때까지 감소한다. 도 6의 포인트 3에 도달하기 위해서, 시스템은 도 6의 포인트 2를 거쳐서 통과해야 하는데, 이는 플라즈마 포텐셜과 관련될 수 있는 프로브 바이어스 전압을 나타낸다는 것을 주목한다. 이 특정 프로브 바이어스 전압의 중요성은 아래에서 더욱 상세하게 논의할 것이다. 도 6의 포인트 3으로 표시된 포텐셜에 도달할 때, CPIF에 의해 유도된 전류는 무시해도 좋고 프로브 바이어스 전압은 값 Vb-max를 달성하는데, 이는 이것의 플로팅 포텐셜 (Vf) 근처의 프로브 쉬스 포텐셜이 되고, 커패시터는 전하를 더 획득하는 것을 중단한다. 이 조건에서, 커패시터는 인가된 RF에 의해 유도된 쉬스 포텐셜의 이동을 평형시키기 위해 필요한 포텐셜까지 충전한다는 것을 주목한다. 이 평형 상태는 도 6의 포인트 3a, 5로 나타난다.
그러나, 일단 RF 버스트 소스 (202) 가 턴 오프된 후, 쉬스 전압의 RF 유도된 시프트가 제거된다. 이 때, 이 예에서 프로브 쉬스 전압은 도 6의 포인트 3으로 주어진 CPIF (206) 의 포텐셜에 의해 결정된다. 또한, 이것은 도 3의 포인트 3a에서 3b로의 불연속적인 점프로 나타난다. 프로브 쉬스 전압은 프로브 바이어스 전압에 의해 최대 네거티브 값에 도달하고 Vsheath-max와 처음으로 같게 된다. 달성된 프로브 쉬스 전압은 일반적으로, 도 3에 도시된 바와 같이 이온 포화 방식 (ion saturation regime) 으로 알려진 조건으로 쉬스를 바이어싱하는데 충분하다.
그라운드 (203) 로 흐르는 전류의 크기는 일반적으로, 이온 포화 전류, 또는 Ii-sat이라 지칭되고, 일반적으로, RF가 턴 오프될 때 발생하는 프로브 바이어스 전압의 변화에 의해 쉬스 (213) 가 도달되는 최대 전압 (Vsheath max(-)) 에 있다. Ii-sat은 전자 밀도 및 온도과 같은 플라즈마의 기본 파라미터들을 조건으로하는 제한 조건을 나타낸다. 전자 포화 전류를 계산하는 메커니즘 및 수단은 일반적으로 당업자에게 알려져 있다. 이 효과의 메커니즘 및 계산에 관한 보다 세부 사항은 본 발명을 이해하는 것과 밀접한 관계가 없다.
CPIF (206) 가 방전하기 시작함에 따라, 프로브 바이어스 전압 (Vb) 또한 감쇠하기 시작하여 플라즈마로부터 흘러 나오는 전류가 감소한다. 결국 이 도전 전류가 제로로 늦추어진다. 도전 전류가 제로 값에 이를 때, 프로브 쉬스 포텐셜은 플로팅 포텐셜 (Vf) 에 이를 것이며, 여기서 부가적인 전류 흐름은 없다. 감쇠 전류와 프로브 바이어스 접압 사이의 함수 관계를 분석하여 플라즈마를 특징으로 하는 다양한 파라미터들을 얻을 수 있다. 예를 들어, 포인트 3b부터 포인트 4로의 전류 감쇠의 선형 부분을 분석하여 전류 수집 표면 상에 입사하는 이온 플럭스를 측정할 수 있다.
부가적으로, 포인트 4에서 포인트 5로의 비선형 전류 감쇠를 분석하여 플라즈마 전자 온도를 추정할 수도 있다. 이러한 분석을 수행하는 수단은 종래 기술의 랑뮤어 프로브 (Langmuir Probes) 의 관점에 관하여 상세히 기록되어 있고 당 업자에게 잘 알려져 있다.
RF 버스트 인터벌 동안, 도 3, 4, 5, 6의 포인트 3에 도달될 때까지 PIF 시스템이 방출할 것이라는 것을 상기한다. 발명자는, 도 3, 4, 5, 6의 포인트 3에 도달하기 위해서 시스템은 도 3, 4, 5 6의 포인트 2를 통과해야만 한다는 것을 관찰한다. 도 3에서 보는 바와 같이, 포인트 2는, 도 3의 플롯에서 강화된 곡률 ("무릎") 이 시작되는 쉬스 전압 (Vp) 을 나타낸다.
이 고유 포인트에서, 쉬스 포텐셜이 플라즈마 포텐셜과 동등하게 되는 상황이 발생한다. 시스템이 도 3의 포인트 2를 통해 통과함에 따라서, "무릎"은 또한 프로브 전압의 함수로서 전류의 곡률 (슬로프) 에서 디스터번스를 유발한다. 이 디스터번스는 도 4에서 포인트 2로 플로팅된다. 프로브 전압이 발생하는 곳에서의 프로브 전압의 정확한 값과 이 디스터번스의 형상의 세부 사항은 쉬스 전압과 플라즈마 포텐셜의 전시간 (ful time) 종속 습성의 상호 작용의 매우 복잡한 평균 효과의 결과이다. 이 평균 효과의 세부 사항들은 본 발명과 밀접한 관계는 없다.
본 발명의 양태에 따라서, 도 4에서 포인트 2로 플로팅된 이 디스터번스는 시간에 대하여 전류 신호의 도함수를 취함으로써 더욱 증폭될 수도 있다. 이 증폭은, 자동 처리 제어, 엔드-포인팅, 시스템 결함 검출 등과 같은 응용들을 가능하게 하는 높은 신호대 잡음비를 갖는 신호를 얻는다.
도 4를 시간에 대하여 미분하여 플로팅한 도 5에서 예를 든다. 도 5는 포인트 2로 라벨링된 쉽게 구별 가능한 피크를 나타내는데, 이는 도 4에서 포인트 2로 표시된 곡률에서의 디스터번스에 해당한다. 이 포인트 2는 RF의 버스트 단계, 즉, PIF 시스템의 충전 단계 동안 발생하고 RF가 턴 온되는 시각 (포인트 1) 과 플라즈마 쉬스 포텐셜이 플로팅 포텐셜과 동일한 동안의 시각 (포인트 3) 사이에서 발생한다는 것을 주목한다. 프로브 바이어스 전압이 발생하는 곳에서의 프로브 바이어스 전압은, 도 4의 포인트 2의 전압이 도 5, 6의 포인트 2의 시각의 동일한 순간에 해당할 때의 도 6을 참고함으로써 찾아진다.
본 발명의 발명자는 플라즈마 포텐셜의 상대적인 측정이 이루어질 수도 있는 방법이 성취된다는 것을 믿는다. 요약하면, 방법은 다음과 같다:
버스트 RF 단계 동안 프로브 전류의 곡률이 꺽이는 포인트를 찾는다. 프로브 전류를 시간에 대하여 미분하고 버스트 RF의 인가 동안 발생하는 전류의 도함수에서 피크를 검색함으로써 완료하는 것이 가장 좋다.
(상술된 바와 같이) 전류 곡률이 꺽어지는 시각을 주목한다 (이 꺽이는 점은 예를 들어 전류 미분 플롯에서 발견된 피크에 대응한다).
단계 2에의해 결정된 바와 같이 프로브 전압을 결정하기 위해 시간의 함수로서 프로브 전압을 검사한다. 이제, 이 전압을 Vplasma potential-probe라 정의한다.
본 발명의 발명자는, 상기 절자에 의해 찾은 프로브 바이어스 전압이 플라즈마 포텐셜의 상대적인 측정이라는 것을 믿는다. 쉬스 전압의 세부 사항도 프로브 바이어스 전압의 이 값에 대하여 확인될 수 있다면, 이 방법은 절대적이 될 수 있다. RF 바이어스의 인가 후, 시스템은 쉬스가 플로팅 포텐셜이 되는 것으로 발견되는 값에서 프로브 바이어스 전압 Vb-max (도 6의 포인트 3) 을 획득할 것이라는 것을 상기한다.
이론에 얽매이지 않기를 희망하면서, 발명자는 또한, Vplasma potential-probe와 Vb-max 사이의 차가 쉬스 플로팅 포텐셜과 플라즈마 포텐셜 사이의 차의 측정도 나타낸다는 것을 믿는다. 이 관찰은, 잘 구축된 랑뮤어 프로브 이론이 쉬스 플로팅 전압과 플라즈마 포텐셜 모두가 동일한 기준에 대하여 측정될 때 쉬스 플로팅 전압과 플라즈마 포텐셜 사이의 차에 대한 다음 관계를 주장하기 때문에 유익하게 진단 값을 갖는다. 이 관계를 아래의 식 2로 나타낸다 (Braithwaite 등 J. Phys. D: Appl. Phys. 36 (2003)2837-2844):
Figure 112008076367270-pct00002
상기 식 2에서, M은 포지티브 이온 종들의 질량 또는 다수의 이온 종들의 경우의 적절한 유효 질량이고, T는 전자 온도이고, m은 전자 질량이다. Te를 측정하기 위해 PIF 방법 또한 사용될 수 있기 때문에, Mi는 쉬스 플로팅 전압과 플라즈마 포텐셜 사이의 차로부터 유도될 수 있다는 것을 안다. 이 차는, 차례로, 상술된 본 발명의 방법으로부터 결정할 수 있다.
본 발명의 실시 형태의 적절한 응용이 본원에 많이 있는데, 특히 플라즈마 처리 챔버에서 플라즈마를 이용하는 기판 처리 프로세스를 제어하는 것이다. 자동 프로세스 제어, 엔드-포인팅, 보수 관리 모니터링, 시스템 결함 검출 등의 일부로서 본 제어를 수행하여, 감시하거나, 알람을 생성하거나, 프로세스에서의 트랜지션에 영향을 미치게 할 수도 있다. 이 트랜지션은 예를 들어, 엔드포인트 조건이 검출된다면 엔드포인트 취급 절차를 개시하는 단계를 포함할 수도 있다. 다른 예로서, 이 트랜지션은, 결함 조건이 검출된다면 결함 취급 절차를 개시하는 단계를 포함할 수도 있다. 다른 예로서, 이 트랜지션은 플라즈마 프로세스의 새로운 하위단계를 시작하는 단계를 포함할 수도 있다. 이들 트랜지션들은, 예를 들어, 소정의 윈도 내에 있는 본 발명의 실시 형태들을 이용하여 검출된 값은 어떤 소정의 값과 같거나, 미만이거나, 초과한다면 수행될 수도 있다.
예를 들어, 버스트 RF 단계에서 프로브 전류 곡률이 꺽이는 시간 tpoint2 (예를 들어, 도 4와 도 5의 포인트 2) 와 버스트 RF 단계 동안 프로브 쉬스 전압이 플로팅 포텐셜을 달성하는 시간 tpoint3a 사이의 시간 차의 변화들은 플라즈마 이온 에너지의 변화들에 대한 프락시로서 이용될 수도 있다. 논의된 바와 같이, 이 꺽이는 점은 도 5에서 보는 바와 같이 시간 미분을 취하는 것과 같은 기술들에 의해 더욱 신뢰적으로 검출될 수도 있다. 이 시간 차는, 예를 들어, 이온 에너지가 수용 가능한 오퍼레이팅 조건을 반영하는 경우, 프로세스 단계의 엔드포인트가 도달된 경우, 프로세스 결함 조건이 발생한 경우 등을 확인하기 위해 소정의 윈도 또는 소정의 값에 대하여 비교될 수도 있다.
비슷하게, 어떤 기준 전압과 관련되어 있는 (상기 언급된 꺽인점이 프로브 전압 곡률에서 비슷한 시각 tx로 프로브 전류 곡선의 버스트 RF 단계에서 발생하는 서로 관련된 시각 tx에 의해 프로브 전압이 얻어지는) 프로브 전류 꺽인점의 버스트 RF 단계에 대응하는 프로브 전압의 크기의 변화들 또한 플라즈마 이온 에너지의 변화들에 대한 프락시로서 사용될 수도 있다. 버스트 RF 단계 프로브 전류 꺽인점에 대응하는 프로브 전압의 크기는, 예를 들어, 이온 에너지가 수용 가능한 오퍼레이팅 조건을 반영하는 경우, 프로세스 단계의 엔드포인트가 도달되는 경우, 프로세스 결함 조건이 발생하는 경우 등을 확인하기 위해 소정의 윈도 또는 소정의 값에 대하여 비교될 수도 있다.
본 발명의 실시 형태의 다른 응용으로서, 시간에 걸쳐서 Vplasma potential-probe와 Vb-max 사이의 확인된 차를 이용하여 플라즈마 화학에서의 변화들을 검출하도록 이용될 수도 있다. 그런 다음, 플라즈마 화학에서의 변화들의 검출은 (주어진 층이 에칭될 때를 결정하는 단계와 같은) 엔드-포인트, 자동 프로세스 제어, 보수 관리 모니터링, 및/또는 시스템 결함 검출과 같은 응용을 위해 사용될 수도 있고, 이전에 논의된 바와 같이, Vplasma potential-probe와 Vb-max 사이의 차는 쉬스 플로팅 포텐셜과 플라즈마 포텐셜 간의 사이의 측정을 나타낸다. 이번에는, 쉬스 플로팅 포텐셜과 플라즈마 포텐셜 사이의 차는 식 2에 의해 유효 이온 질량 M과 관련된다. 다른 말로, 유효 이온 질량 M의 변화는 Vplasma potential-probe와 Vb-max의 값에 영향을 미친다. Vplasma potential-probe와 Vb-max 사이의 차를 시간에 걸쳐서 감시함으로써, 유효 이온 질량의 변화들은 앞에 언급된 응용들에서 사용하기 위해 확인될 수 있다.
실시 형태에서, 유효 이온 질량 M이 독립적으로 확인된다면, 전자 온도의 변화 Te는 식 2와 (언급된 바와 같이, Vplasma potential-probe와 Vb-max 사이의 차와 관련되는) 쉬스 플로팅 포텐셜과 플라즈마 포텐셜 사이의 차를 이용하여 유도될 수도 있다. 그러한 것으로서, 본 발명의 실시 형태들은, 많은 프로세스들에서 감시하기 위한 중요 파라미터인 전자 온도 (Te) 의 변화들을 검출하는 기술을 제공한다.
다른 응용들 또한 가능하다. 예를 들어, (플라즈마 포텐셜이 논의된 바와 같은 프로브 전압과 관련되는) 관련 플라즈마 포텐셜로부터 유도된 상기 논의된 하나 이상의 파라미터들 (예를 들어, 이온 에너지, 유효 이온 질량, 전자 온도 등) 은 진행 중인 보수 관리 프로그램의 일부로서 챔버 조건을 감시하도록 이용될 수도 있다. 대안으로 또는 부가적으로, 버스트 RF 단계 프로브 전류 및/또는 버스트 RF 단계 프로브 전압은 진행 중인 보수 관리 프로그램의 일부로서 챔버 조건을 감시하도록 이용될 수도 있다. 이러한 모니터링은, 플라즈마 챔버의 현재 상태의 플라즈마 처리 시스템의 오퍼레이터 및/또는 부분들 뿐만 아니라 수행될 필요가 있는 다가올 있음직한 보수 관리 작업들에도 정보를 제공한다.
본 발명을 몇가지 바람직한 실시형태들의 면에서 설명하였지만, 본 발명의 범위 내에 속하는 많은 변경들, 치환들, 및 균등물들이 존재한다. 예를 들어, 도 2는, 본 발명의 실시 형태에 따른 상대적 플라즈마 포텐셜을 확인하기 위해서 사용될 수도 있는 장치를 개시하였지만, 다른 장치들이 이용될 수도 있다. 예 를 들어, 가변 전압 소스를 바이어스 표면 (103) 에 이용하는 것이 가능하다. 이 가변 전압 소스는, 도 3의 포인트 1에서 포인트 0, 3a, 및 5로의 트랜지션을 달성하기 위해 사용될 수도 있는 다양한 시간 의존성 파형 (예를 들어, 구형파, 삼각파, 사인파 등) 을 공급할 수도 있다. 유사하게, 수동적 및/또는 능동적 컴포넌트들의 적절한 장치들도 바이어스 전압을 표면 (103) 에 인가하는데 사용될 수도 있다. 다른 예로서, 본 발명의 설명하기 위해서 에칭 애플리케이션이 이용되더라도, 다른 응용들 (예를 들어, 상이한 유형들의 플라즈마 증착) 또한 본 발명으로부터 유익할 수도 있다. 본 발명의 방법들을 구현하는 많은 다른 방식들이 존재함에 유의할 필요가 있다.
예시적인 실시형태들 및 최선의 방식을 개시하였지만, 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 주제 및 사상 내에 유지되면서, 개시된 실시형태들에 대한 수정들 및 변화들이 이루어질 수도 있다.

Claims (44)

  1. 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된 기판 처리 프로세스를 제어하는 방법으로서,
    PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계로서, 상기 PIF 측정 장치는 상기 플라즈마에 의해 상기 기판이 처리되는 동안에 상기 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함하는, 상기 PIF 측정 장치 제공 단계;
    상기 PIF 프로브를 통해 상기 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 상기 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 1 포텐셜 차는 상기 플라즈마의 플라즈마 포텐셜과 동일한, 상기 시간 tpoint2를 확인하는 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 동일하고, 상기 플로팅 포텐셜은 전류가 상기 PIF 프로브를 통해 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 포텐셜 차의 값을 나타내는, 상기 시간 tpoint3a를 확인하는 단계;
    상기 시간 tpoint2와 상기 시간 tpoint3a 사이의 시간 차가 소정의 조건을 만족한다면, 상기 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함하는, 기판 처리 프로세스 제어 방법.
  2. 제 1 항에 있어서,
    상기 시간 tpoint2는 상기 충전 단계 동안 적어도 상기 PIF 프로브를 통해 흐르는 전류의 시간 도함수를 분석함으로써 결정되고, 상기 시간 tpoint2는 상기 PIF 프로브를 통해 흐르는 상기 전류의 상기 시간 도함수의 피크를 검출함으로써 확인되고, 상기 피크는 상기 충전 단계가 시작되는 시간과 상기 시간 tpoint3a 사이에서 발생하는, 기판 처리 프로세스 제어 방법.
  3. 제 1 항에 있어서,
    상기 에너지 소스는 버스트 RF 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  4. 제 1 항에 있어서,
    상기 에너지 소스는 가변 전압 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  5. 제 4 항에 있어서,
    상기 가변 전압 소스는 시간 의존성 파형을 발생시키도록 구성되는, 기판 처리 프로세스 제어 방법.
  6. 제 1 항에 있어서,
    상기 시간 차가 소정 윈도 값 내에 있다면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  7. 제 1 항에 있어서,
    상기 시간 차가 소정 값 이상이라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  8. 제 1 항에 있어서,
    상기 시간 차가 소정 값 이하라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  9. 제 1 항에 있어서,
    상기 트랜지션은 엔드포인트 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  10. 제 1 항에 있어서,
    상기 트랜지션은 결함 조건 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  11. 제 1 항에 있어서,
    상기 트랜지션은 상기 기판 처리 프로세스의 상이한 하위단계의 시작을 나타내는, 기판 처리 프로세스 제어 방법.
  12. 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된 기판 처리 프로세스를 제어하는 방법으로서,
    PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계로서, 상기 PIF 측정 장치는 상기 플라즈마에 의해 상기 기판이 처리되는 동안에 상기 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함하는, 상기 PIF 측정 장치 제공 단계;
    상기 PIF 프로브를 통해 상기 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 상기 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 1 포텐셜 차는 상기 플라즈마의 플라즈마 포텐셜과 동일한, 상기 시간 tpoint2를 확인하는 단계;
    상기 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계로서, 상기 시간 tpoint2에서의 상기 프로브 바이어스 전압은 상기 시간 tpoint2에서의 상기 PIF 프로브의 상기 표면과 그라운드 사이의 포텐셜 차를 나타내는, 상기 시간 tpoint2에서의 프로브 바이어스 전압 확인 단계; 및
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압이 소정의 조건을 만족한다면, 상기 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함하는, 기판 처리 프로세스 제어 방법.
  13. 제 12 항에 있어서,
    상기 시간 tpoint2는 상기 충전 단계 동안 적어도 상기 PIF 프로브를 통해 흐르는 전류의 시간 도함수를 분석함으로써 결정되고, 상기 시간 tpoint2는 상기 PIF 프로브를 통해 흐르는 상기 전류의 상기 시간 도함수의 피크를 검출함으로써 확인되고, 상기 피크는 상기 충전 단계가 시작할 때의 시간과 시간 tpoint3a 사이에서 발생하고, 상기 시간 tpoint3a는 상기 PIF 측정 장치의 상기 충전 단계 동안의 시간을 나타내고 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 같고, 상기 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 포텐셜 차의 값을 나타내는, 기판 처리 프로세스 제어 방법.
  14. 제 12 항에 있어서,
    상기 에너지 소스는 버스트 RF 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  15. 제 12 항에 있어서,
    상기 에너지 소스는 가변 전압 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  16. 제 15 항에 있어서,
    상기 가변 전압 소스는 시간 의존성 파형을 발생시키도록 구성되는, 기판 처리 프로세스 제어 방법.
  17. 제 12 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압이 소정의 윈도 값 내에 있다면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  18. 제 12 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압이 소정 값 이상이라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  19. 제 12 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압이 소정 값 이하라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  20. 제 12 항에 있어서,
    상기 트랜지션은 엔드포인트 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  21. 제 12 항에 있어서,
    상기 트랜지션은 결함 조건 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  22. 제 12 항에 있어서,
    상기 트랜지션은 상기 기판 처리 프로세스의 상이한 하위단계의 시작을 나타내는, 기판 처리 프로세스 제어 방법.
  23. 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된 기판 처리 프로세스를 제어하는 방법으로서,
    PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계로서, 상기 PIF 측정 장치는 상기 플라즈마에 의해 상기 기판이 처리되는 동안에 상기 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함하는, 상기 PIF 측정 장치 제공 단계;
    상기 PIF 프로브를 통해 상기 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 상기 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 1 포텐셜 차는 상기 플라즈마의 플라즈마 포텐셜과 동일한, 상기 시간 tpoint2 확인 단계;
    상기 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계로서, 상기 시간 tpoint2에서의 상기 프로브 바이어스 전압은 상기 시간 tpoint2에서의 상기 PIF 프로브의 상기 표면과 그라운드 사이의 제 2 포텐셜 차를 나타내는, 상기 시간 tpoint2에서의 프로브 바이어스 전압 확인 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 동일하고, 상기 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 제 3 포텐셜 차의 값을 나타내는, 상기 시간 tpoint3a 확인 단계;
    상기 시간 tpoint3에서의 프로브 바이어스 전압을 확인하는 단계로서, 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압은 상기 시간 tpoint3에서의 상기 PIF 프로브의 상기 표면과 상기 그라운드 사이의 제 4 포텐셜 차를 나타내는, 상기 시간 tpoint3에서의 프로브 바이어스 전압 확인 단계;
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 차를 확인하는 단계; 및
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 상기 차가 소정의 조건을 만족한다면, 상기 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함하는, 기판 처리 프로세스 제어 방법.
  24. 제 23 항에 있어서,
    상기 시간 tpoint2는 상기 충전 단계 동안 적어도 상기 PIF 프로브를 통해 흐 르는 전류의 시간 도함수를 분석함으로써 결정되고, 상기 시간 tpoint2는 상기 PIF 프로브를 통해 흐르는 상기 전류의 상기 시간 도함수의 피크를 검출함으로써 확인되고, 상기 피크는 상기 충전 단계가 시작할 때의 시간과 시간 tpoint3a 사이에서 발생하고, 상기 시간 tpoint3a는 상기 PIF 측정 장치의 상기 충전 단계 동안의 시간을 나타내고 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 같고, 상기 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 포텐셜 차의 값을 나타내는, 기판 처리 프로세스 제어 방법.
  25. 제 23 항에 있어서,
    상기 에너지 소스는 버스트 RF 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  26. 제 23 항에 있어서,
    상기 에너지 소스는 가변 전압 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  27. 제 26 항에 있어서,
    상기 가변 전압 소스는 시간 의존성 파형을 발생시키도록 구성되는, 기판 처리 프로세스 제어 방법.
  28. 제 23 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 상기 차가 소정의 윈도 값 내에 있다면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  29. 제 23 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 상기 차가 소정 값 이상이라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  30. 제 23 항에 있어서,
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 상기 차가 소정 값 이하라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  31. 제 23 항에 있어서,
    상기 트랜지션은 엔드포인트 취급 절차를 나타내는, 기판 처리 프로세스 제 어 방법.
  32. 제 23 항에 있어서,
    상기 트랜지션은 결함 조건 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  33. 제 23 항에 있어서,
    상기 트랜지션은 상기 기판 처리 프로세스의 상이한 하위단계의 시작을 나타내는, 기판 처리 프로세스 제어 방법.
  34. 기판 처리 챔버 내에서 플라즈마를 이용하여 기판을 처리하도록 구성된 기판 처리 프로세스를 제어하는 방법으로서,
    PIF (평면 이온 플럭스) 측정 장치를 제공하는 단계로서, 상기 PIF 측정 장치는 상기 플라즈마에 의해 상기 기판이 처리되는 동안에 상기 플라즈마의 플라즈마 쉬스에 노출된 표면을 갖는 적어도 PIF 프로브를 포함하는, 상기 PIF 측정 장치 제공 단계;
    상기 PIF 프로브를 통해 상기 플라즈마로 에너지를 제공하도록 구성되는 에너지 소스를 이용하여 상기 PIF 측정 장치를 위한 충전 단계 및 정지 단계를 교대로 생성하는 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint2를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 1 포텐셜 차는 상기 플라즈마의 플라즈마 포텐셜과 동일한, 상기 시간 tpoint2 확인 단계;
    상기 시간 tpoint2에서의 프로브 바이어스 전압을 확인하는 단계로서, 상기 시간 tpoint2에서의 상기 프로브 바이어스 전압은 상기 시간 tpoint2에서의 상기 PIF 프로브의 상기 표면과 그라운드 사이의 제 2 포텐셜 차를 나타내는, 상기 시간 tpoint2에서의 프로브 바이어스 전압 확인 단계;
    상기 PIF 측정 장치의 상기 충전 단계 동안의 일 시간을 나타내는 시간 tpoint3a를 확인하는 단계로서, 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 동일하고, 상기 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 제 3 포텐셜 차의 값을 나타내는, 상기 시간 tpoint3a 확인 단계;
    상기 시간 tpoint3에서의 프로브 바이어스 전압을 확인하는 단계로서, 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압은 상기 시간 tpoint3에서의 상기 PIF 프로브의 상기 표면과 상기 그라운드 사이의 제 4 포텐셜 차를 나타내는, 상기 시간 tpoint3에서의 프로브 바이어스 전압 확인 단계;
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 차를 확인하는 단계;
    상기 시간 tpoint2에서의 상기 프로브 바이어스 전압과 상기 시간 tpoint3에서의 상기 프로브 바이어스 전압 사이의 상기 차를 이용하여 상기 플라즈마의 전자 온도와, 유효 이온 질량 값을 확인하는 단계; 및
    상기 전자 온도가 소정의 조건을 만족한다면, 상기 기판 처리 프로세스에서 알람과 트랜지션 중 적어도 하나를 생성하는 제어 신호를 발생시키는 단계를 포함하는, 기판 처리 프로세스 제어 방법.
  35. 제 34 항에 있어서,
    상기 시간 tpoint2는 상기 충전 단계 동안 적어도 상기 PIF 프로브를 통해 흐르는 전류의 시간 도함수를 분석함으로써 결정되고, 상기 시간 tpoint2는 상기 PIF 프로브를 통해 흐르는 상기 전류의 상기 시간 도함수의 피크를 검출함으로써 확인되고, 상기 피크는 상기 충전 단계가 시작할 때의 시간과 시간 tpoint3a 사이에서 발생하고, 상기 시간 tpoint3a는 상기 PIF 측정 장치의 상기 충전 단계 동안의 시간을 나타내고 상기 플라즈마 쉬스 양단의 제 2 포텐셜 차는 플로팅 포텐셜과 같고, 상기 플로팅 포텐셜은 상기 PIF 프로브를 통해 전류가 흐르지 않을 때 상기 충전 단계 동안 상기 플라즈마 쉬스 양단의 포텐셜 차의 값을 나타내는, 기판 처리 프로세스 제어 방법.
  36. 제 34 항에 있어서,
    상기 에너지 소스는 버스트 RF 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  37. 제 34 항에 있어서,
    상기 에너지 소스는 가변 전압 소스를 나타내는, 기판 처리 프로세스 제어 방법.
  38. 제 37 항에 있어서,
    상기 가변 전압 소스는 시간 의존성 파형을 발생시키도록 구성되는, 기판 처리 프로세스 제어 방법.
  39. 제 34 항에 있어서,
    상기 전자 온도가 소정의 윈도 값 내에 있다면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  40. 제 34 항에 있어서,
    상기 전자 온도가 소정 값 이상이라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  41. 제 34 항에 있어서,
    상기 전자 온도가 소정 값 이하라면 상기 소정의 조건을 만족하는, 기판 처리 프로세스 제어 방법.
  42. 제 34 항에 있어서,
    상기 트랜지션은 엔드포인트 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  43. 제 34 항에 있어서,
    상기 트랜지션은 결함 조건 취급 절차를 나타내는, 기판 처리 프로세스 제어 방법.
  44. 제 34 항에 있어서,
    상기 트랜지션은 상기 기판 처리 프로세스의 상이한 하위단계의 시작을 나타내는, 기판 처리 프로세스 제어 방법.
KR1020087026996A 2006-04-04 2007-04-03 평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어 KR101355728B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/398,306 2006-04-04
US11/398,306 US7413672B1 (en) 2006-04-04 2006-04-04 Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
PCT/US2007/065890 WO2007121087A2 (en) 2006-04-04 2007-04-03 Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement

Publications (2)

Publication Number Publication Date
KR20090007394A KR20090007394A (ko) 2009-01-16
KR101355728B1 true KR101355728B1 (ko) 2014-01-27

Family

ID=38610299

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026996A KR101355728B1 (ko) 2006-04-04 2007-04-03 평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어

Country Status (8)

Country Link
US (1) US7413672B1 (ko)
EP (1) EP2016204A4 (ko)
JP (1) JP4929347B2 (ko)
KR (1) KR101355728B1 (ko)
CN (1) CN101460656B (ko)
MY (1) MY147900A (ko)
TW (1) TWI411035B (ko)
WO (1) WO2007121087A2 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN102084474B (zh) * 2008-07-07 2012-11-14 朗姆研究公司 在等离子体处理室中检测去夹紧的电容耦合静电(cce)探针装置及其方法
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US8164353B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation RF-biased capacitively-coupled electrostatic (RFB-CCE) probe arrangement for characterizing a film in a plasma processing chamber
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
CN104320899A (zh) * 2008-07-07 2015-01-28 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
KR101606734B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
CN102804929B (zh) * 2009-06-30 2015-11-25 朗姆研究公司 用于处理室的预测性预防性维护的方法和装置
IES20090733A2 (en) * 2009-09-22 2011-03-30 Donal O'sullivan Sensor for measuring plasma parameters
US20120283973A1 (en) * 2011-05-05 2012-11-08 Imec Plasma probe and method for plasma diagnostics
US9383460B2 (en) 2012-05-14 2016-07-05 Bwxt Nuclear Operations Group, Inc. Beam imaging sensor
US9535100B2 (en) 2012-05-14 2017-01-03 Bwxt Nuclear Operations Group, Inc. Beam imaging sensor and method for using same
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040166612A1 (en) 2002-06-05 2004-08-26 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371943A (en) * 1980-10-06 1983-02-01 General Dynamics Corporation/Convair Div. External means for detecting normal zones in superconducting magnets or coils
JP3292531B2 (ja) * 1993-01-15 2002-06-17 忠弘 大見 高周波励起プラズマの計測装置
FR2738984B1 (fr) 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
JP2005149761A (ja) * 2003-11-11 2005-06-09 Sony Corp プラズマ発生用の電源装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040166612A1 (en) 2002-06-05 2004-08-26 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation

Also Published As

Publication number Publication date
JP2009532916A (ja) 2009-09-10
WO2007121087B1 (en) 2008-10-02
TWI411035B (zh) 2013-10-01
WO2007121087A3 (en) 2008-05-22
CN101460656A (zh) 2009-06-17
WO2007121087A2 (en) 2007-10-25
EP2016204A4 (en) 2014-04-09
KR20090007394A (ko) 2009-01-16
TW200809958A (en) 2008-02-16
CN101460656B (zh) 2011-07-13
EP2016204A2 (en) 2009-01-21
US7413672B1 (en) 2008-08-19
MY147900A (en) 2013-01-31
JP4929347B2 (ja) 2012-05-09

Similar Documents

Publication Publication Date Title
KR101355728B1 (ko) 평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어
KR100582013B1 (ko) 윈도우 타입 프로브, 플라즈마 감시장치, 및, 플라즈마처리장치
JP5709912B2 (ja) プラズマ処理システムにおけるクリーニングまたはコンディショニングプロセスのエンドポイント決定方法及び装置
JP4508423B2 (ja) プラズマ処理システムにおいてエッチング終点を決定するための方法および装置
US6326794B1 (en) Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
KR101366470B1 (ko) 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치
CN102484062B (zh) 测量晶片偏压的方法与装置
KR101355741B1 (ko) 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치
CN101926233B (zh) 检测无约束等离子事件的系统
CN102598200B (zh) 用于测量等离子体参数的传感器
KR20110039241A (ko) 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
KR20070020226A (ko) V-i프로브 진단을 이용한 플라즈마 에칭 종료점 검출방법
JP2002063999A (ja) プラズマ電位測定方法と測定用プローブ
JPH11354509A (ja) プラズマエッチングの終点検出方法及びプラズマエッチング装置
KR20100043143A (ko) 플라즈마 처리 장치 및 플라즈마 방전 상태 감시 장치
JP4355197B2 (ja) ガス絶縁電気装置の部分放電診断方法及びガス絶縁電気装置の部分放電診断装置
JP2005156452A5 (ko)
de Castro et al. End-point detection of polymer etching using Langmuir probes
Winkler et al. E-beam probe station with integrated tool for electron beam induced etching
JP2893391B2 (ja) プラズマパラメータ測定装置
KR20100106088A (ko) 플라즈마 진단장치
JP5140032B2 (ja) ガス絶縁電気装置の部分放電診断方法
JP2011113917A (ja) プラズマ監視用プローブ、プラズマ監視装置及びプラズマ処理装置
KR20090116391A (ko) 플라즈마 검사 방법 및 이를 수행하기 위한 장치
JPH04275422A (ja) ドライエッチング装置におけるモニタリング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant