KR101315558B1 - 처리 시스템 - Google Patents

처리 시스템 Download PDF

Info

Publication number
KR101315558B1
KR101315558B1 KR1020087026258A KR20087026258A KR101315558B1 KR 101315558 B1 KR101315558 B1 KR 101315558B1 KR 1020087026258 A KR1020087026258 A KR 1020087026258A KR 20087026258 A KR20087026258 A KR 20087026258A KR 101315558 B1 KR101315558 B1 KR 101315558B1
Authority
KR
South Korea
Prior art keywords
gas
processing system
process gas
gas distribution
inlet
Prior art date
Application number
KR1020087026258A
Other languages
English (en)
Other versions
KR20080110652A (ko
Inventor
다카시 에노모토
마사아키 하기하라
아키테루 고
신지 하마모토
마사후미 우라카와
아서 에이치 쥬니어 라플랭
에드워드 헬러
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080110652A publication Critical patent/KR20080110652A/ko
Application granted granted Critical
Publication of KR101315558B1 publication Critical patent/KR101315558B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

가스 주입 시스템은 프로세스 가스를 공정 챔버 내에 분배하는 디퓨저를 포함한다. 가스 주입 시스템은 부식성 프로세스 가스를 수반하는 폴리실리콘 에칭 시스템에서 이용될 수 있다.

Description

처리 시스템{TREATMENT SYSTEM}
<관련 출원과의 상호 참조>
본 출원은 2006년 3월 30일자로 출원한 미국 특허출원 11/392,949호에 기초하여, 이 출원일을 우선일로 기산한다. 이 선행 출원의 전체 내용은 본 명세서에 원용된다.
본 발명은 진공 공정 시스템용 가스 분배 시스템에 관한 것이며, 보다 구체적으로는 진공 공정 시스템에서 프로세스 가스를 도입하기 위한 가스 분배 시스템에 관한 것이다.
반도체 공정 시에, (건식)플라즈마 에칭 프로세스는, 실리콘 기판 상에 패터닝된 비아(via) 또는 콘택부(contact) 내에서 또는 미세선을 따라 물질을 제거하거나 에칭하는데 이용될 수 있다. 이 플라즈마 에칭 프로세스는 일반적으로 공정 챔버에서, 반도체 기판과 그 위에 패터닝된 보호용 마스크층, 예컨대 포토레지스트층과의 위치 결정을 수반한다.
기판을 챔버 내에 배치하면, 진공 펌프를 조절하여 주위 프로세스 압력을 달성하면서, 이온화 가능한 해리성 가스 혼합물을 미리 정해진 유속으로 챔버 내에 도입한다. 그런 다음, 무선주파수(RF) 전력을 유도적으로 또는 용량적으로 전달함으로써 또는 예컨대 ECR(Electron Cyclotron Resonance)를 사용한 마이크로파 전력을 통해 가열된 전자에 의해, 존재하는 가스종의 일부가 이온화될 때, 플라즈마가 형성된다. 또한, 가열된 전자는 분위기(ambient) 가스종의 일부 종을 해리시켜, 노출된 표면 에칭 화학반응에 적합한 반응성의 종을 생성하도록 기능한다.
플라즈마가 형성되면, 기판의 선택된 표면이 그 플라즈마에 의해 에칭된다. 원하는 반응물과 이온 집단의 적절한 농도를 포함하는 적절한 조건을 달성하여 기판의 선택된 영역에서 다양한 기능부(feature)(예컨대, 트렌치, 비아, 콘택부 등)를 에칭하기 위해 프로세스가 조절된다. 에칭이 필요한 그러한 기판 재료로는 이산화실리콘(SiO2), 저유전 상수(즉, 로우-k)의 유전체 재료, 폴리실리콘, 및 질화실리콘가 있다.
기판 표면에서 에칭 프로세스를 용이하기 하기 위해 프로세스 가스 화학반응이 선택되면, 공정 챔버의 내부 표면은 엄격한 환경(harsh environment)에 놓이게 된다. 이 프로세스 가스는 공정 챔버의 구성요소에 해로울 수 있는 부식성 가스를 포함할 수 있고, 기판의 오염을 초래할 수 있기 때문에, 집적 회로(IC)의 제조 시에 수율이 저감된다.
본 발명은 기판을 처리하는 시스템과, 프로세스 가스로 기판을 처리하기 위한 시스템에 관한 것이다.
일 실시형태에 따르면, 부식성 가스를 이용하여 기판 상에서 에칭 프로세스를 수행하기 위한 처리 시스템을 개시하며, 기판에 대한 오염을 최소화하면서 기판 상에 프로세스 가스를 균일하게 분배하도록 부식성 가스를 분사하는 가스 분배 시스템이 설계된다.
다른 실시형태에 따르면, 프로세스 공간을 비롯한, 프로세스 챔버를 포함하는 처리 시스템이 개시된다. 프로세스 가스 공급 시스템은 프로세스 챔버와 유체 소통하며, 프로세스 가스의 흐름을 프로세스 챔버에 도입하도록 구성된다. 가스 분배 시스템은 프로세스 챔버에 연결되며, 유입구를 통해 프로세스 가스의 흐름을 수납하여, 플리넘(plenum) 내의 프로세스 가스의 흐름을, 프로세스 공간과 유체 소통하는 복수의 개구부에 분배하도록 구성된다. 가스 분배 시스템은, 그 가스 분배 시스템에 대한 유입구에 위치하며, 프로세스 가스 흐름의 모멘텀을 플리넘에 분산시키도록 구성되는 프로세스 가스 디퓨저(diffuser)를 포함한다. 프로세스 챔버에 연결된 홀더는 프로세스 챔버에서의 기판을 프로세스 가스에 노출되게 지지하도록 구성된다. 프로세스 챔버에 연결된 진공 펌핑 시스템은 프로세스 챔버를 배기시키도록 구성된다.
첨부 도면에서,
도 1a 내지 도 1c는 박막을 패턴 에칭하는 순서를 개략적으로 나타내는 도면이다.
도 2는 본 발명의 실시형태에 따른 플라즈마 공정 시스템을 개략적으로 나타 내는 도면이다.
도 3은 본 발명의 다른 실시형태에 따른 플라즈마 공정 시스템을 개략적으로 나타내는 도면이다.
도 4는 본 발명의 다른 실시형태에 따른 플라즈마 공정 시스템을 개략적으로 나타내는 도면이다.
도 5는 본 발명의 다른 실시형태에 따른 플라즈마 공정 시스템을 개략적으로 나타내는 도면이다.
도 6a와 도 6b는 본 발명의 다른 실시형태에 따른 가스 분배 시스템을 나타내는 도면이다.
도 7a와 도 7b는 본 발명의 다른 실시형태에 따른 가스 분배 시스템을 나타내는 도면이다.
도 8은 본 발명의 다른 실시형태에 따른 가스 분배 시스템을 나타내는 도면이다.
이하에서는 진공 또는 플라즈마 공정 시스템의 특정 기하학적 구조 및 다양한 구성요소의 설명과 같은 특정 상세 내용에 대해 설명할 것이며, 이것은 설명의 목적일 뿐 제한의 의도는 없다. 그러므로, 이들 특정 상세 내용과 다른 기타 실시형태로도 본 발명이 실시될 수 있음이 이해될 것이다.
재료 공정 방법론에 있어서, 패턴 에칭은, 에칭 시에 밑에 있는 재료에 패턴을 전사하기 위한 마스크를 제공하기 위하여 포토레지스트 등의 감광성 재료로 된 박막을 기판의 상면에 부착한 다음, 패터닝하는 것을 포함한다. 일반적으로, 감광성 재료의 패터닝은, 예컨대 마이크로리소그래피 시스템을 이용하여 감광성 재료의 레티클(및 연관된 옵틱스)를 통해 방사원에 노광시킨 다음, 현상 용매를 이용하여 감광성 재료의 조사 영역(포지티브 포토레지스트의 경우) 또는 비조사 영역(네거티브 레지스트의 경우)을 제거하는 것을 수반한다.
예를 들면, 도 1a 내지 도 1c에 도시하는 바와 같이, 패턴(2)을 구비한 감광층(3)을 포함하는 마스크(패터닝된 포토레지스트)는 기판(5) 상에, 예컨대 다결정 실리콘(폴리실리콘)층의 박막(4)과 같은 재료에 기능부 패턴을 전사하는데 이용될 수 있다. 폴리실리콘 게이트 등의 기능부(6)를 형성하기 위해 예컨대 건식 플라즈마 에칭을 이용하여 패턴(2)이 박막(4)에 전사되고, 에칭 완료 시에, 그 마스크(3)가 제거된다. 종래적으로, 건식 에칭 프로세스에는 할로겐 함유 가스(예컨대, HBr, Cl2, NF3 등) 등의 부식성 프로세스 가스의 이용이 수반된다. 본 발명자들은 그러한 가스를 이용하면 가스 분배 시스템에서 입자 오염이 발생할 수 있음을 관찰하였다. 통상, 가스 분배 시스템의 내부 캐비티(cavity)는 그러한 프로세스 가스에 의한 부식에 취약할 수 있는 베어 메탈(bare metal) 표면을 포함한다.
일 실시형태에 따르면, 플라즈마 공정 시스템(1)은 도 2에 도시하는 바와 같이, 플라즈마 공정 챔버(10)와, 피처리 기판(25)이 부착되는 기판 홀더(20)와, 진공 펌핑 시스템(50)을 포함한다. 기판(25)은 반도체 기판, 웨이퍼 또는 액정 디스플레이일 수 있다. 플라즈마 공정 챔버(10)는 기판(25)의 표면에 인접한 공정 영역(45)에서 플라즈마의 생성이 용이하도록 구성될 수 있다. 기판(25)에의 오염물질의 도입을 줄이거나 최소화하도록 구성되어 있는 가스 분배 시스템(40)을 통해, 이온화 가능한 가스 또는 혼합된 프로세스 가스들이 도입된다. 프로세스 가스의 정해진 흐름을 위해, 진공 펌핑 시스템(50)을 이용하여 프로세스 압력을 조정한다. 미리 정해진 재료 프로세스에 따른 재료를 생성하거나, 및/또는 기판(25)의 노출 표면으로부터의 재료 제거를 돕기 위하여 플라즈마를 이용할 수 있다. 플라즈마 공정 시스템(1a)은 200 mm 기판, 300 mm 기판 또는 그 이상의 임의의 원하는 사이즈의 기판을 공정하도록 구성될 수 있다.
기판(25)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨대, 정전기 클램핑 시스템) 등의 클램핑 시스템(28)을 통해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는 기판 홀더(20) 및 기판(25)의 온도를 조정 및/또는 제어하도록 구성되어 있는 가열 시스템(도시 생략)이나 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템이나 냉각 시스템은 냉각시 기판 홀더(20)로부터 열을 받아 열교환 시스템(도시 생략)에 열을 전달하거나, 가열시 열교환 시스템으로부터의 열을 기판 홀더(20)에 전달하는 열전달 유체의 재순환 흐름을 포함할 수 있다. 다른 실시형태에서는, 내열 소자 등의 가열/냉각 소자, 또는 열전 가열기/냉각기가 기판 홀더(20)뿐만 아니라, 플라즈마 공정 챔버(10)의 챔버벽 및 그 플라즈마 공정 시스템(1a) 내부의 임의의 다른 구성 요소에 내장될 수 있다.
또한, 기판(25)과 기판 홀더(20) 간에 가스갭 열 전도성을 향상시키기 위해 배면 가스 공급 시스템(26)을 통해 기판(25)의 배면에 열전달 가스가 전달될 수 있 다. 온도 상승 또는 강하 시에 기판의 온도 제어가 필요할 때 그러한 시스템을 이용할 수 있다. 예컨대, 배면 가스 공급 시스템은 2영역 가스 분배 시스템을 포함할 수 있으며, 이 시스템에서 헬륨 가스갭 압력은 기판(25)의 중심과 가장자리 사이에서 독립적으로 변할 수 있다.
도 2에 도시한 실시형태에서는 기판 홀더(20)가 전극을 포함할 수 있으며, 이 전극을 통해 프로세스 공간(45) 내의 공정 플라즈마에 RF 전력이 공급된다. 예컨대, 기판 홀더(20)는 RF 전력이 RF 발생기(30)로부터 선택적 임피던스 매칭 네트워크(32)를 통해 기판 홀더(20)에 전송됨으로써 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 형성하여 유지하도록 전자를 가열시킬 수 있다. 이 구성에서는 시스템이 반응성 이온 에칭(RIE: Reactive Ion Etch) 리액터(reactor)로서 동작할 수 있으며, 챔버 및 상부 가스 주입 전극은 접지면으로서 기능한다. RF 바이어스용의 통상 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위 내에 있을 수 있다. 플라즈마 공정을 위한 RF 시스템은 해당 분야에 종사하는 업자(당업자)들에게 잘 알려져 있다.
한편, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 더욱이, 임피던스 매칭 네트워크(32)는 반사 전력을 줄임으로써 RF 전력을 플라즈마 공정 챔버(10) 내의 플라즈마에 전달하는 것을 향상시킬 수 있다. 매칭 네트워크 토폴로지(예컨대, L형, π형, T형 등) 및 자동 제어 방법은 당업자들에게 잘 알려져 있다.
진공 펌핑 시스템(50)은 펌핑 속도가 초당 약 5000 리터(그 이상)까지 가능 한 터보 분자 진공 펌프(TMP : Turbo-Molecular Pump)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용된 종래의 플라즈마 공정 장치에서는, 초당 1000 내지 3000 리터 TMP를 채용할 수 있다. TMP는 통상 약 50 mTorr 미만의 저압 공정에 유용하다. 고압 공정(즉, 약 100 mTorr 초과)의 경우, 기계식 부스터(booster) 펌프 및 건식 러핑(roughing) 펌프를 이용할 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시 생략)가 플라즈마 공정 챔버(10)에 연결될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 매사추세츠주 안도버 소재)로부터 구매 가능한 Type 628B Baratron 절대 정전용량 압력계일 수 있다.
컨트롤러(55)는, 마이크로프로세서와, 메모리와, 디지털 I/O 포트를 포함하고, 이 디지털 I/O 포트는, 플라즈마 공정 시스템(1a)에 입력을 전달하여 그 입력을 활성화하는 것뿐만 아니라 플라즈마 공정 시스템(1a)으로부터의 출력을 모니터하기에 충분한 제어 전압을 생성할 수 있다. 또한, 컨트롤러(55)는 RF 발생기(30)와, 임피던스 매칭 네트워크(32)와, 가스 분배 시스템(40)과, 진공 펌프 시스템(50)뿐만 아니라, 기판 가열/냉각 시스템(도시 생략)과, 배면 가스 전달 시스템(28), 및/또는 정전기 클램핑 시스템(26)에 연결될 수 있으며, 이들과 정보를 교환할 수 있다. 예컨대, 메모리에 저장된 프로그램은 기판(25) 상에서 플라즈마 이용 프로세스를 수행하기 위하여 프로그램 레시피에 따라, 전술한 플라즈마 공정 시스템(1a)의 구성요소에의 입력을 활성화하는데 이용될 수 있다. 컨트롤러(55)의 일례는 미국 텍사스주 오스틴 소재의 Dell Corporation으로부터 구매할 수 있는 DELL PRECISION WORKSTATION 610TM이다.
컨트롤러(55)는 플라즈마 공정 시스템(1a)에 대해 국부적으로 배치될 수도, 또는 플라즈마 처리 시스템(1a)에 대해 원격으로 배치될 수도 있다. 예컨대, 컨트롤러(55)는 직접 접속, 인트라넷, 및/또는 인터넷을 이용하여 플라즈마 공정 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(55)는 예컨대 고객 위치(즉, 장치 메이커 등)에서 인트라넷에 연결될 수도 있고, 또는 벤더 위치(즉, 장비 제조업자)에서 인트라넷에 연결될 수도 있다. 이와 다르게 또는 추가적으로, 컨트롤러(55)는 인터넷에 연결될 수 있다. 또한, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(55)에 액세스하여 직접 접속, 인트라넷, 및/또는 인터넷을 통해 데이터를 교환할 수 있다.
도 3에 도시한 실시형태의 플라즈마 공정 시스템(1b)은 도 2의 실시형태와 유사할 수 있으며, 도 2를 참조하여 설명한 구성요소 외에도, 플라즈마 밀도를 잠재적으로 상승시키고 및/또는 플라즈마 공정 균일성을 향상시키기 위해, 정지식, 또는 기계 혹은 전기 회전식 자계 시스템(60)을 더 포함한다. 또한, 컨트롤러(55)는 회전 속도 및 자계 세기를 조절하기 위하여 자계 시스템(60)에 연결될 수 있다. 회전식 자계의 설계 및 구현은 당업자들에게 잘 알려져 있다.
도 4에 도시한 실시형태의 플라즈마 공정 시스템(1c)은 도 2 또는 도 3의 실시형태와 유사할 수 있으며, RF 발생기(72)로부터 선택적 임피던스 매칭 네트워크(74)를 통해 RF 전력이 공급될 수 있는 상부 전극(70)을 더 포함할 수 있다. 상 부 전극에의 RF 전력 인가 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위 내에 있을 수 있다. 또한, 하부 전극에의 전력 인가 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위 내에 있을 수 있다. 또, 컨트롤러(55)는 상부 전극(70)에의 RF 전력의 인가를 제어하기 위하여 RF 발생기(72)와 임피던스 매칭 네트워크(74)에 연결된다. 상부 전극의 설계 및 구현은 당업자들에게 잘 알려져 있다. 상부 전극(70)과 가스 분배 시스템(40)은 도시한 바와 같이, 동일한 챔버 어셈블리 내에 설계될 수 있다.
도 5에 도시한 실시형태의 플라즈마 공정 시스템(1d)은 도 2와 도 3의 실시형태와 유사할 수 있으며, RF 발생기(82)로부터 선택적인 임피던스 매칭 네트워크(84)를 통해 RF 전력이 공급되는 유도 코일(80)을 더 포함할 수 있다. RF 전력은 유도 코일(80)로부터 유전체 윈도우(도시 생략)를 통해 플라즈마 공정 영역(45)에 유도적으로 연결된다. 유도 코일(80)에의 RF 전력의 인가 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위 내에 있을 수 있다. 마찬가지로, 척(chuck) 전극에의 전력 인가 주파수도 약 0.1 ㎒ 내지 약 100 ㎒의 범위 내에 있을 수 있다. 또한, 슬롯형 패러데이 실드(도시 생략)를 채용하여 유도 코일(80)과 플라즈마 간의 용량성 결합을 줄일 수 있다. 또한, 유도 코일(80)에의 전력 인가를 제어하기 위하여 컨트롤러(55)를 RF 발생기(82)와 임피던스 매칭 네트워크(84)에 연결할 수 있다. 다른 실시형태에서는 유도 코일(80)이 TCP(Transformer Coupled Plasma) 리액터에서와 같이 위에서부터 플라즈마 공정 영역(45)과 통하는 "스파이럴" 코일 또는 "팬케익" 코일일 수 있다. 유도 결합 플라즈마(ICP : Iductively Coupled Plasma) 소스 또는 TCP 소스의 설계 및 구현은 당업자들에게 잘 알려져 있다.
한편, 플라즈마는 ECR(Electron Cyclotron Resonance)를 이용하여 형성될 수 있다. 다른 실시형태에서는, 플라즈마가 헬리콘파의 론칭(launching)에서 형성된다. 다른 실시형태에서는 플라즈마는 전파하는 표면파로부터 형성된다. 전술한 각각의 플라즈마 소스는 당업자들에게 잘 알려져 있다.
이하에서는 프로세스 가스를 진공 공정 시스템에 도입하기 위한 가스 분배 시스템에 대해 설명한다. 가스 분배 시스템은, 예컨대 도 2 내지 도 5에서 설명한 플라즈마 공정 시스템 중 임의의 하나에서 또는 도 2 내지 도 5의 시스템으로부터의 임의의 특징들이 조합된 플라즈마 공정 시스템에서 이용될 수 있다.
이제 도 6a와 도 6b를 참조하여, 일 실시형태에 따른 가스 분배 시스템(100)을 설명한다. 가스 분배 시스템(100)은 공정 챔버에 연결되며, 프로세스 가스 공급 시스템으로부터 가스 공급 유입구(110)를 통해 프로세스 가스의 흐름을 수납하여 플리넘(plenum)(132) 내의 프로세스 가스의 흐름을, 공정 챔버 내의 프로세스 공간과 유체 소통하는 복수의 개구부(138)에 분배하도록 구성되어 있다. 또한, 가스 분배 시스템(100)은 그 가스 분배 시스템(100)에 대한 유입구(110)에 위치한 프로세스 가스 디퓨저(diffuser)(120)를 포함하고, 그 프로세스 가스 디퓨저(120)는 플리넘 압력의 비균일성이 저감되는 방식으로 프로세스 가스가 복수의 개구부(138)의 각각에 분배되도록 프로세스 가스 흐름의 모멘텀을 플리넘(132)에 분산시키게 구성되어 있다.
도 6a에 도시하는 바와 같이, 가스 분배 시스템(100)은 공정 챔버에 연결되도록 구성된 상부 어셈블리(140)를 포함할 수 있다. 상부 어셈블리(140)는 전극 어셈블리를 포함할 수도, 포함하지 않을 수도 있다. 상부 어셈블리(140)는 도 2, 도 3, 도 5에서와 같이 접지에 연결될 수도 있거나, 도 4에서와 같이 전원(도면 부호 70)에 연결될 수도 있다. 상부 어셈블리(140)는 가스 공급 유입구(110)가 형성되는 제1 플레이트(142)와, 그 제1 플레이트(142)에 연결된 제2 플레이트(144)를 구비한 전극 어셈블리를 포함할 수 있고, 제1 플레이트와 제2 플레이트의 조합체는 제2 플레이트(144)에 형성된 지지단(support shelf)(148)과 제1 플레이트(142)의 표면(146) 사이에 프로세스 가스 디퓨저(120)를 유지하도록 구성되어 있다. 엘라스토머 O링 등의 진공 밀폐 장치를 이용하여 제1 플레이트(142), 제2 플레이트(144)와 프로세스 가스 디퓨저(120)의 사이에 진공 실링을 제공할 수 있다. 한편, 프로세스 가스 디퓨저(120)를 비롯한 어셈블리(140)는 일체의 부품(monolithic piece)을 포함할 수 있다.
또한, 가스 분배 시스템(100)은, 상부 어셈블리(140)에 연결되어 프로세스 가스 디퓨저(120)로부터 프로세스 가스의 흐름을 수납하도록 구성되어 있는 가스 주입 시스템(130)을 포함한다. 가스 주입 시스템(130)은 하우징(134)과, 하우징(134)에 연결된 가스 분배 플레이트(136)를 포함하며, 가스 분배 플레이트(136)는 플리넘(132)으로부터 공정 챔버 내의 공정 공간으로 프로세스 가스의 균일한 흐름을 용이하게 하는 복수의 개구부(138)를 포함한다.
도 6b에 도시하는 바와 같이, 프로세스 가스 디퓨저(120)는 제2 플레이트(144)의 지지단(148)에 의해 포착되도록 구성된 립부(lip)(129)를 포함하며, 가스 공급 유입구(110)와 연결되도록 구성된 디퓨저 유입구(122)와, 가스 주입 시스템(130) 내의 플리넘(132)과 연결되도록 구성된 디퓨저 유출구(124)와, 디퓨저 유입구(122)로부터 디퓨저 유출구(124)로 확장되는 발산로(divergent passage)(126)를 더 포함한다. 발산로(126)는 원뿔형 통로를 포함할 수 있으며, 디퓨저벽(128)의 반각(half angle)은 약 20도 이하이다. 바람직하게는, 디퓨저벽(128)의 반각이 약 18도 이하인 것이 좋고, 더 바람직하게는 디퓨저벽의 반각이 15도 이하인 것이 좋다. 도 6b에 도시하는 바와 같이, 디퓨저 유출구(124)에서의 유출구 면적은 디퓨저 유입구(122)에서의 유입구 면적보다 더 크다. 유출구 면적이 유입구 면적의 2배일 때, 가스 분배 플레이트(136)에 대한 프로세스 가스 흐름의 충돌과 연관된 압력 회복률(recovery)은 4배로 감소한다. 유출구 면적이 유입구 면적의 4배일 때, 가스 분배 플레이트(136)에 대한 프로세스 가스 흐름의 충돌과 연관된 압력 회복률은 16배로 감소한다.
가스 분배 플레이트(136) 내의 복수의 개구부(138)는 그 수가 약 1개 내지 약 1000개의 범위 내에 있을 수 있고, 약 10개 내지 100개의 범위 내에 있는 것이 바람직하다. 가스 분배 플레이트(136)는 각각의 직경이 약 0.5 mm 내지 약 10 mm의 범위 내에 있는 복수의 개구부(138)를 갖도록 설계될 수 있으며, 각 개구부의 직경이 약 0.5 mm 내지 2 mm의 범위 내에 있는 것이 바람직하다. 한편, 가스 분배 플레이트(136)는 각각의 길이가 약 1 mm 내지 약 20 mm의 범위 내에 있는 복수의 개구부(138)를 갖도록 설계될 수 있으며, 각 개구부의 길이가 약 1 mm 내지 3 mm의 범위 내에 있는 것이 바람직하다.
프로세스 가스 디퓨저(120)를 이용하고 복수의 개구부(138) 중 하나 이상을 그 디퓨저 유출구(124) 바로 맞은편에 배치하지 않음으로써, 특히 디퓨저 유출구(124) 근처에서 플리넘(132) 내의 압력의 변화가 줄어들 수 있고, 또 복수의 개구부(138)를 통과한 프로세스 가스가 비균일한 플럭스일 가능성이 완화될 수 있다. 또한, 플리넘 높이가 줄어들 수 있고, 플리넘(132)의 유입구면과 가스 분배 플레이트(136) 사이에서 플리넘(132) 내에 배치되어 종래에 사용되었던 배플 플레이트를 사용할 필요가 없으므로, 가스 주입 시스템(130)의 전체 두께를 줄일 수 있다. 가스 주입 시스템(130)은 유전체 재료로 제조될 수 있다. 플리넘 높이는 약 5 mm 미만으로 설계될 수 있으며, 바람직하게는 플리넘 높이를 약 3 mm 미만으로 설계하는 것이 좋다.
상부 어셈블리(140)와, 프로세스 가스 디퓨저(120)와, 가스 주입 시스템(130)을 포함하는 가스 분배 시스템(100)은 알루미늄이나 양극산화 알루미늄(anodized aluminum) 등의 금속 또는 세라믹으로 제조될 수 있다. 이들 구성요소 의 임의의 하나는 석영, 실리콘, 질화실리콘, 탄화실리콘, 알루미나, 질화알루미늄, 사파이어, 탄소 등 또는 이들 중 2개 이상의 조합물로 제조될 수 있다. 또한, 이들 구성요소의 내부 표면과 같은 이들 구성요소의 임의의 하나는 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3, 또는 DyO3를 포함한 재료로 코팅될 수 있다. 한편, 이들 표면은 3족 원소들로 코팅될 수 있다.
일례에 있어서, 상부 어셈블리(140)는 표면 양극산화 처리된 알루미늄으로 또는 표면 양극산화 처리되지 않은 알루미늄으로 제조된다. 상부 어셈블리(140)는 전극 어셈블리로서 기능할 수 있고, 무선주파수(RF) 전력원 등의 전원에 연결될 수 있다. 가스 주입 시스템(130)은 상부 어셈블리(140)로부터의 RF 전력을 가스 주입 시스템(130)을 통해 프로세스 공간 내의 프로세스 가스에 공급하기 위해, 석영 등의 유전체 재료로 제조될 수 있다. 또한, 프로세스 가스 디퓨저(120)도 석영 등의 유전체 재료로 제조될 수 있다. 프로세스 가스가 HBr, Cl2, NF3 등의 부식성 가스를 함유하는 경우, 공정 챔버 내의 기판의 오염을 최소화하기 위해 프로세스 가스 디퓨저(120)와 가스 주입 시스템(130)을 석영으로 제조할 수 있다.
이제 도 7a와 도 7b를 참조하여, 다른 실시형태에 따른 가스 분배 시스템(200)을 설명한다. 이 가스 분배 시스템(200)은 도 6a의 실시형태와 유사할 수 있으며, 동일한 참조 부호는 같은 부분을 나타낸다. 가스 분배 시스템(200)은, 공정 챔버에 연결되며, 가스 공급 유입구(110)를 통해 프로세스 가스 공급 시스템으로부터 프로세스 가스의 흐름을 수납하여, 플리넘(132) 내의 프로세스 가스의 흐름을, 공정 챔버 내의 프로세스 공간과 유체 소통하는 복수의 개구부(138)에 분배하도록 구성되어 있다. 더욱이, 가스 분배 시스템(200)은 그 가스 분배 시스템(200)에 대한 유입구(110)에 위치한 프로세스 가스 디퓨저(200)를 포함하고, 그 프로세스 가스 디퓨저(220)는 플리넘 압력의 비균일성이 저감되는 방식으로 프로세스 가스를 복수의 개구부(138)의 각각에 분배하도록 프로세스 가스의 흐름의 모멘텀을 플리넘(132)에 분산시키게 구성되어 있다.
도 7b에 도시하는 바와 같이, 프로세스 가스 디퓨저(220)는 제2 플레이트(144)의 지지단(148)에 의해 포착되도록 구성되는 립부(229)를 포함하고, 가스 공급 유입구(110)와 연결되도록 구성된 유입구(222)와, 가스 주입 시스템(130) 내의 플리넘(132)과 연결되도록 구성된 디퓨저 유출구(224)와, 디퓨저 유입구(222)에서 디퓨저 유출구(224)로 확장되는 발산로(226)를 더 포함한다. 프로세스 가스 디퓨저(220)는 디퓨저 유출구(224)에 위치한 하나 이상의 오리피스(227)를 구비한 오리피스 플레이트(orifice plate)(228)를 더 포함한다. 발산로(226)는, 도 6b에 도시하는 바와 같이, 디퓨저 유입구(222)로부터 디퓨저 유출구(224)로 점차적으로 변하는 단면을 포함할 수도 있고, 또는 도 7b에 도시하는 바와 같이 유입구 섹션(223)으로부터 유출구 섹션(225)으로 급격하게 변하는 단면을 포함할 수도 있다. 예컨대, 유입구 섹션(223)의 유입구 직경은 유출구 섹션(225)의 유출구 직경으로 급격하게 확장될 수 있다.
이제 도 8을 참조하여, 다른 실시형태에 따른 가스 분배 시스템(300)을 설명한다. 가스 분배 시스템(300)은, 공정 챔버에 연결되며, 가스 공급 유입구(310)를 통해 프로세스 가스 공급 시스템으로부터 프로세스 가스의 흐름을 수납하여, 플리넘(332) 내의 프로세스 가스의 흐름을, 공정 챔버 내의 프로세스 공간과 유체 소통하는 복수의 개구부(338)에 분배하도록 구성되어 있다. 더욱이, 가스 분배 시스템(300)은 그 가스 분배 시스템(300)에 대한 유입구(310)에 위치한 프로세스 가스 디퓨저(320)를 포함하고, 그 프로세스 가스 디퓨저(320)는 플리넘 압력의 비균일성이 저감되는 방식으로 프로세스 가스가 복수의 개구부(338)의 각각에 분배되도록 프로세스 가스 흐름의 모멘텀을 플리넘(332)에 분산시키게 구성되어 있다.
도 8에 도시하는 바와 같이, 가스 분배 시스템(300)은 공정 챔버에 연결되도록 구성된 상부 어셈블리(340)를 포함할 수 있다. 상부 어셈블리(340)는 전극 어셈블리를 포함하거나 포함하지 않을 수 있다. 상부 어셈블리(340)는 도 2, 도 3과 도 5에서와 같이 접지에 연결될 수도 있고, 또는 도 4(도면 부호 70)에서와 같이 전력원에 연결될 수도 있다. 예를 들면, 상부 어셈블리(340)는, 가스 공급 유입구(310)가 형성되어 있는 제1 플레이트(342)와, 그 제1 플레이트(342)에 연결된 제2 플레이트(344)를 구비한 전극 어셈블리를 포함할 수 있으며, 제1 플레이트와 제2 플레이트의 조합체는 제2 플레이트(344)에 형성된 지지단(348)과 제1 플레이트(342)의 표면(343)의 사이에서 프로세스 가스 디퓨저(320)를 유지하도록 구성되어 있다. 엘라스토머 O링 등의 진공 밀폐 장치를 이용하여 제1 플레이트(342), 제2 플레이트(344)와 프로세스 가스 디퓨저(320)의 사이에 진공 실링을 제공할 수 있다. 한편, 프로세스 가스 디퓨저(320)를 비롯한 어셈블리(340)는 일체의 부품을 포함한다.
또한, 가스 분배 시스템(300)은, 상부 어셈블리(340)와 통합되며 가스 분배 디퓨저(320)로부터 프로세스 가스의 흐름을 수납하도록 구성된 가스 주입 시스템(330)을 포함한다. 가스 주입 시스템(330)은 제2 플레이트(344)에 형성된 오목부(334)와, 그 제2 플레이트(344)에 연결된 가스 분배 플레이트(336)를 포함하며, 이 가스 분배 플레이트(336)는 플리넘(332)으로부터 공정 챔버 내의 처리 공간으로 프로세스 가스의 균일한 흐름을 용이하게 하는 복수의 개구부(338)를 포함한다. 프로세스 가스 디퓨저(320)는 도 6b에 도시하는 바와 같은 프로세스 가스 디퓨 저(120)를 포함할 수도 있고, 또는 도 7b에 도시하는 바와 같은 프로세스 가스 디퓨저(220)를 포함할 수도 있다.
가스 분배 플레이트(336) 내의 복수의 개구부(338)는 그 수가 약 1개 내지 약 1000개의 범위 내에 있을 수 있고, 그 수가 약 10개 내지 약 100개의 범위에 있는 것이 바람직하다. 가스 분배 플레이트(336)는 각각의 직경이 약 0.5 mm 내지 약 10 mm의 범위 내에 있을 수 있는 복수의 개구부(338)를 갖도록 설계될 수 있으며, 바람직하게는 각 개구부의 직경이 약 0.5 mm 내지 약 2 mm의 범위 내에 있는 것이 좋다. 한편, 가스 분배 플레이트(336)는 각각의 길이가 약 1 mm 내지 약 20 mm의 범위 내에 있을 수 있는 복수의 개구부(338)를 갖도록 설계될 수 있으며, 바람직하게는 각 개구부의 길이가 약 1 mm 내지 약 3 mm의 범위 내에 있는 것이 좋다.
프로세스 가스 디퓨저(320)를 이용하고 복수의 개구부(332) 중 하나 이상을 그 디퓨저 유출구 바로 맞은편에 배치하지 않음으로써, 특히 디퓨저 유출구 근처에서 플리넘(332) 내의 압력의 변화가 줄어들 수 있고, 또 복수의 개구부(338)를 통과한 프로세스 가스가 비균일한 플럭스일 가능성이 완화될 수 있다. 또한, 플리넘 높이가 줄어들 수 있고, 플리넘(332)의 유입구면과 가스 분배 플레이트(336) 사이에서 플리넘(332) 내에 배치되어 종래에 사용되었던 배플 플레이트를 사용할 필요가 없으므로, 가스 주입 시스템(330)의 전체 두께를 줄일 수 있다. 가스 주입 시스템(330)은 유전체 재료로 제조될 수 있다. 플리넘 높이는 약 5 mm 미만으로 설계될 수 있으며, 바람직하게는 플리넘 높이를 약 3 mm 미만으로 설계하는 것이 좋다.
상부 어셈블리(340)와, 프로세스 가스 디퓨저(320)와, 가스 주입 시스템(330)을 포함하는 가스 분배 시스템(300)은 알루미늄이나 양극산화된 알루미늄(anodized aluminum) 등의 금속, 또는 세라믹으로 제조될 수 있다. 예컨대, 이들 구성요소의 임의의 하나는 석영, 실리콘, 질화실리콘, 탄화실리콘, 알루미나, 질화알루미늄 등으로 제조될 수 있다. 또한, 이들 구성요소의 내부 표면과 같은 이들 구성요소의 임의의 하나는 산화알루미늄 또는 산화이트륨 등의 세라믹 재료로 코팅될 수 있다. 이들 구성요소의 내부 표면과 같은 이들 구성요소의 임의의 하나는 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3, 또는 DyO3를 포함한 재료로 코팅될 수 있다.
일례에 있어서, 상부 어셈블리(340)는 표면 양극산화 처리된 알루미늄으로 또는 표면 양극산화 처리되지 않은 알루미늄으로 제조된다. 상부 어셈블리(340)는 전극 어셈블리로서 기능할 수 있고, 무선주파수(RF) 전력원 등의 전원에 연결될 수 있다. 가스 분배 플레이트(336)는 석영 등의 유전체 재료로 제조될 수도 있고, 또는 상부 어셈블리(340)로부터의 RF 전력을 프로세스 공간 내의 프로세스 가스에 공급하기 위해, 알루미늄이나 양극산화 알루미늄으로 제조될 수 있다. 또한, 프로세스 가스 분배기(320)는 석영 등의 유전체 재료로 제조될 수 있다. 예를 들면, 프로세스 가스가 HBr, Cl2, NF3 등의 부식성 가스를 함유하는 경우, 공정 챔버 내의 기판의 오염을 최소화하기 위해 프로세스 가스 디퓨저(320)는 석영으로 제조될 수 있고, 가스 분배 플레이트(336) 및 오목부(334)의 내부 표면이 코팅될 수 있다. 선택적으로, 가스 분배 플레이트(336) 내의 복수의 개구부(338)와 정렬된 복수의 관통 구멍(through-hole)을 갖는 희생 가스 분배 플레이트(337)를 사용할 수도 있다. 희생 가스 분배 플레이트(337)는 석영, 실리콘, 질화실리콘, 탄화실리콘, 알루미나, 질화알루미늄 등으로 제조될 수 있다.
이상에서 본 발명의 소정의 실시형태들에 대해서만 상세하게 설명하였지만, 당업자에게는 본 발명의 신규한 지침 및 장점으로부터 크게 벗어나지 않고서 이들 실시형태의 다양한 변형예들이 가능할 수 있음이 쉽게 이해될 것이다. 따라서, 그러한 모든 변형예들은 본 발명의 범위 내에 포함되는 것이다.

Claims (19)

  1. 프로세스 공간을 포함한 프로세스 챔버와,
    상기 프로세스 챔버와 유체 소통하며, 프로세스 가스의 흐름을 상기 프로세스 챔버에 도입하도록 구성되는 프로세스 가스 공급 시스템과,
    상기 프로세스 챔버에 연결되며, 유입구를 통해 상기 프로세스 가스의 상기 흐름을 수납하여, 플리넘(plenum) 내의 상기 프로세스 가스의 상기 흐름을, 상기 프로세스 공간과 유체 소통하는 복수의 개구부에 분배하도록 구성되는 가스 분배 시스템으로서, 상기 가스 분배 시스템에 대한 상기 유입구에 위치하며 상기 프로세스 가스의 상기 흐름의 모멘텀을 상기 플리넘에 분산시키도록 구성되는 프로세스 가스 디퓨저(diffuser)를 포함하는 상기 가스 분배 시스템과,
    상기 프로세스 챔버에 연결되며, 상기 프로세스 챔버에서의 기판을 상기 프로세스 가스에 노출되게 지지하도록 구성되는 홀더와,
    상기 프로세스 챔버에 연결되며 상기 프로세스 챔버를 배기시키도록 구성되는 진공 펌핑 시스템과,
    상기 프로세스 챔버에 연결되며, 상기 홀더의 맞은편에 배치되는 상부 전극
    을 포함하고,
    상기 가스 분배 시스템은 유전체 재료로 형성되고,
    상기 상부 전극은, 무선주파수(RF) 발생기에 연결되며, 상기 RF 발생기로부터의 RF 전력을 상기 프로세스 가스에 결합하여 상기 프로세스 공간에 플라즈마를 형성하도록 구성되고,
    상기 가스 분배 시스템은 상기 상부 전극와 상기 홀더 사이에 배치되는 것인 처리 시스템.
  2. 제1항에 있어서, 상기 프로세스 가스 디퓨저는, 상기 프로세스 가스 공급 시스템의 유출구에 연결된 유입구와, 상기 플리넘에 연결된 유출구를 구비한 발산로(divergent passage)를 포함하고, 상기 발산로의 유출구는 상기 발산로의 유입구의 개구부보다 큰 개구부를 포함하는 것인 처리 시스템.
  3. 제2항에 있어서, 상기 발산로는 반각(half angle)이 20도 이하인 원뿔형 통로를 포함하는 것인 처리 시스템.
  4. 제2항에 있어서, 상기 발산로는 반각이 18도 이하인 원뿔형 통로를 포함하는 것인 처리 시스템.
  5. 제2항에 있어서, 상기 발산로는 반각이 15도 이하인 원뿔형 통로를 포함하는 것인 처리 시스템.
  6. 제2항에 있어서, 상기 프로세스 가스 디퓨저는 상기 발산로의 상기 유출구에 오리피스 플레이트(orifice plate)를 더 포함하는 것인 처리 시스템.
  7. 제6항에 있어서, 상기 발산로는 유입구 직경을 갖는 원통형 유입구와, 상기 유입구 직경보다 큰 유출구 직경을 갖는 원통형 유출구를 포함하는 것인 처리 시스템.
  8. 제7항에 있어서, 상기 유입구 직경은 상기 유출구 직경으로 급격히 변화하는(step out) 것인 처리 시스템.
  9. 제1항에 있어서, 상기 가스 분배 시스템의 하나 이상의 내부 표면 상에 도포된 코팅부(coating)를 더 포함하는 처리 시스템.
  10. 제9항에 있어서, 상기 코팅부는 양극화층(anodic layer)인 것인 처리 시스템.
  11. 제9항에 있어서, 상기 코팅부는 하나 이상의 3족 원소를 함유하는 것인 처리 시스템.
  12. 제9항에 있어서, 상기 코팅부는 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3, 또는 DyO3를 포함한 재료를 함유하는 것인 처리 시스템.
  13. 제1항에 있어서, 상기 가스 분배 시스템은 그 위에 코팅부를 갖는 알루미늄으로 형성되는 것인 처리 시스템.
  14. 제1항에 있어서, 상기 가스 분배 시스템은 석영, 알루미나, 질화알루미늄, 사파이어, 실리콘, 질화실리콘, 탄화실리콘, 또는 탄소, 또는 이들 중 2 이상의 조합물로 형성되는 것인 처리 시스템.
  15. 삭제
  16. 삭제
  17. 제1항에 있어서, 상기 가스 분배 시스템은, 석영, 사파이어, 알루미나, 질화알루미늄, 실리콘, 탄화실리콘, 또는 질화실리콘, 또는 이들 중 2개 이상의 조합물로 형성되는 것인 처리 시스템.
  18. 제1항에 있어서, 상기 플리넘은 높이가 5 mm 이하인 원통형 체적(cylindrical volume)을 포함하는 것인 처리 시스템.
  19. 제1항에 있어서, 상기 플리넘은 높이가 3 mm 이하인 원통형 체적을 포함하는 것인 처리 시스템.
KR1020087026258A 2006-03-30 2007-01-25 처리 시스템 KR101315558B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/392,949 2006-03-30
US11/392,949 US7743731B2 (en) 2006-03-30 2006-03-30 Reduced contaminant gas injection system and method of using
PCT/US2007/061041 WO2007117741A2 (en) 2006-03-30 2007-01-25 A reduced contaminant gas injection system and method of using

Publications (2)

Publication Number Publication Date
KR20080110652A KR20080110652A (ko) 2008-12-18
KR101315558B1 true KR101315558B1 (ko) 2013-10-08

Family

ID=38573891

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026258A KR101315558B1 (ko) 2006-03-30 2007-01-25 처리 시스템

Country Status (5)

Country Link
US (1) US7743731B2 (ko)
JP (1) JP5185251B2 (ko)
KR (1) KR101315558B1 (ko)
CN (1) CN101460655B (ko)
WO (1) WO2007117741A2 (ko)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5223377B2 (ja) 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
ATE551439T1 (de) * 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US9671837B2 (en) * 2012-10-04 2017-06-06 Compass Datacenters, Llc Air dam for a datacenter facility
US20170196125A1 (en) 2012-03-12 2017-07-06 Compass Datacenters Llc Sidewall-connected hvac units for modular datacenter facilities
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024748A (en) * 1989-01-26 1991-06-18 Fujitsu Limited Microwave plasma processing apparatus
KR20010076391A (ko) * 2000-01-20 2001-08-11 조셉 제이. 스위니 플라즈마 챔버용 가요성 있게 현가된 가스 분배 매니폴드
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
EP0704551B1 (en) * 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH1050677A (ja) * 1996-07-31 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
TW516113B (en) * 1999-04-14 2003-01-01 Hitachi Ltd Plasma processing device and plasma processing method
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2004207751A (ja) * 2001-08-28 2004-07-22 Nec Kagoshima Ltd 基板処理装置及び方法
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP2005260046A (ja) * 2004-03-12 2005-09-22 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置用部材
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
CN101871099B (zh) * 2004-07-12 2013-09-25 应用材料公司 通过气体分散器弯曲性的等离子体均匀度控制
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024748A (en) * 1989-01-26 1991-06-18 Fujitsu Limited Microwave plasma processing apparatus
KR20010076391A (ko) * 2000-01-20 2001-08-11 조셉 제이. 스위니 플라즈마 챔버용 가요성 있게 현가된 가스 분배 매니폴드
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ

Also Published As

Publication number Publication date
CN101460655B (zh) 2012-08-29
US20070235136A1 (en) 2007-10-11
KR20080110652A (ko) 2008-12-18
CN101460655A (zh) 2009-06-17
WO2007117741A2 (en) 2007-10-18
JP2009532873A (ja) 2009-09-10
JP5185251B2 (ja) 2013-04-17
WO2007117741A3 (en) 2007-12-13
US7743731B2 (en) 2010-06-29

Similar Documents

Publication Publication Date Title
KR101315558B1 (ko) 처리 시스템
US7416677B2 (en) Exhaust assembly for plasma processing system and method
KR101446358B1 (ko) 챔버 구성품, 도관 제조 방법, 및 처리 시스템
KR101148442B1 (ko) 기판을 처리하기 위한 플라즈마 프로세싱 시스템
JP5122966B2 (ja) 表面波プラズマソース
JP5086083B2 (ja) 基板を処理するための方法
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP5242162B2 (ja) 表面波プラズマソース
US20180330930A1 (en) Method of cleaning plasma processing apparatus
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
JP2010010154A (ja) チャンバー部品を介してプロセス流体を導入する方法及びシステム
TWI394200B (zh) 經由腔室構件導入處理流體的方法與系統
TW202008464A (zh) 電漿處理方法及電漿處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160831

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170830

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee