JP2009532873A - 汚染を低減したガス注入システム及びその使用方法 - Google Patents

汚染を低減したガス注入システム及びその使用方法 Download PDF

Info

Publication number
JP2009532873A
JP2009532873A JP2009503104A JP2009503104A JP2009532873A JP 2009532873 A JP2009532873 A JP 2009532873A JP 2009503104 A JP2009503104 A JP 2009503104A JP 2009503104 A JP2009503104 A JP 2009503104A JP 2009532873 A JP2009532873 A JP 2009532873A
Authority
JP
Japan
Prior art keywords
processing
gas
gas distribution
inlet
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009503104A
Other languages
English (en)
Other versions
JP5185251B2 (ja
Inventor
隆 榎本
正明 萩原
明輝 高
新二 濱元
理史 浦川
ラフレイム,アーサー,エイチ,ジュニア
ヘラー,エドワード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009532873A publication Critical patent/JP2009532873A/ja
Application granted granted Critical
Publication of JP5185251B2 publication Critical patent/JP5185251B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

ガス注入システムは加工チェンバーに加工ガスを分配する拡散器を含む。本ガス注入システムを、腐食性加工ガスを含むポリシリコンエッチングシステムで用いてもよい。

Description

本願発明は真空加工システムのためのガス分配システムに関し、より詳細には真空加工システムに加工ガスを導入するためのガス分配システムに関する。
本出願は2006年3月30日に出願した米国特許出願番号第11/392,949号に基づきその優先権を主張する。該出願の全体を参照により完全に本願に援用する。
半導体加工の最中に、(ドライ)プラズマエッチング加工を利用して、シリコン基板に形成した微細な線に沿って又はビア若しくはコンタクトの内部で、物質を除去即ちエッチングすることができる。プラズマエッチング加工は一般に、パターンを形成した保護マスク層(例えばフォトレジスト層)を表面に有する半導体基板を、加工チェンバーに配置することを伴う。
基板をチェンバーの内部に配置したら、イオン化ガスと解離性ガスの混合ガスを、チェンバーに、既定の流入率で導入する。同時に真空ポンプが働き、雰囲気加工圧を保つ。その後、無線周波数(RF)の電力の(誘導性若しくは容量性の)伝送又は例えば電子サイクロトロン共鳴(ECR)を用いたマイクロ波の電力の伝送により加熱された電子により、内部の微量のガス種がイオン化すると、プラズマが形成される。また、加熱された電子は、雰囲気ガス種のいくらかの種を解離させるのに役立ち、暴露した表面をエッチングする化学反応に適した反応性の種を生成するのに役立つ。
プラズマが形成されると、基板の選択した表面がプラズマによりエッチングされる。この加工は適切な状態を得るために調整される。適切な状態とは、基板の選択した領域に様々な機能(例えばトレンチ、ビア、コンタクト等)をエッチングするために望ましい反応物質とイオンの集団の適切な濃度を含む。エッチングを必要とするこのような基板材料は、二酸化シリコン(SiO)、低誘電率(即ちlow−k)誘電物質、ポリシリコン、及び窒化シリコンを含む。
加工ガスの化学反応は、基板の表面でエッチング加工を促進するように選択されている一方で、加工チェンバーの内部の表面に厳しい環境をもたらす。加工ガスは腐食性のガスを含むことがある。これは加工チェンバーの部品に有害でありうるし、基盤の汚染につながる可能性がある。これにより集積回路(IC)の製造における歩留まりが悪化してしまう。
本願発明は、基盤を処理するためのシステムに関し、加工ガスを用いて基盤を処理するためのシステムに関する。
1つの実施例により、腐食性ガスを用いて基盤にエッチング加工を実行するための処理システムを記載する。これにより、基盤上に加工ガスを均一に分配しつつ、基盤への汚染を最小化するために、腐食性ガスを拡散するためのガス分配システムを設計する。
別の実施例により、加工空間を含む加工チェンバーを含む処理システムを記載する。加工ガス供給システムは加工チェンバーと流体連結しており、加工ガスの流れを加工チェンバーに導入するように構成される。ガス分配システムは加工チェンバーと結合し、並びに、入口を通して加工ガスの流れを受け取り、及び、プレナム中の加工ガスの流れを加工空間と流体連結する複数の開口に分配するように構成される。ガス分配システムは、ガス分配システムの入口に位置し、加工ガスの流れの運動量をプレナム中に拡散させるように構成される、加工ガス拡散器を含む。保持器が加工チェンバーに結合し、基板を加工チェンバー内で加工ガスに曝すために支持するように構成される。真空ポンプシステムが加工チェンバーに結合し、加工チェンバーから排気するように構成される。
後述の記載において、説明の目的は限定ではない。個別の詳細を述べるが(例えば、真空又はプラズマ加工システムの特定の形状並びに種々の部品の記載)、本発明を、これら個別の詳細とは異なる他の実施例において実施してもよいということを理解しなければならない。
材料加工の方法論において、パターンのエッチングは、フォトレジストのような感光性物質の薄い層を、基板の上面に塗ることを含む。次にこの層はマスクとなるパターンを付加され、これにより、エッチングにおいてその下の材料にこのパターンを転写する。感光性物質にパターンを付加することは、一般に、次の工程を含む。まず感光性物質を、レチクル(及び関連する光学系)を通して照射源に露出する。ここで例えばマイクロリソグラフィーシステムを用いる。次に感光性物質の照射を受けた部分(ポジティブフォトレジストの場合)又は照射を受けなかった部分(ネガティブフォトレジストの場合)を取り除く。この際に現像溶媒を用いる。
例えば、図1A〜図1Cに示す通り、パターン2を有する感光性物質の層3(例えばパターンを付加したフォトレジスト)を含むマスクを用いて、基板5の上の薄膜4(例えば多結晶シリコン(ポリシリコン)の層)のような材料に機能パターンを転写することができる。パターン2を薄膜4に転写する際に、例えばドライプラズマエッチングを用いる。これにより機能6(例えばポリシリコンゲート)を形成する。エッチングが終わると、マスク3を取り除く。通常、ドライエッチング加工は腐食性の加工ガス(例えばHBr、Cl、NF等のハロゲンを含むガス)の使用を含む。発明者は、このようなガスを用いると、ガス分配システムから粒子汚染が生じうることを観察した。典型的には、ガス分配システムの内部キャビティはベアメタルの表面を含み、これはこのような加工ガスによって腐食されうる。
1つの実施例によれば、図2に示すプラズマ加工システム1は、プラズマ加工チェンバー10、基板保持器20(その上に加工対象の基板25を備え付ける)、真空ポンプシステム50を含む。基板25は、半導体の基板、ウェハー又は液晶ディスプレイでありうる。プラズマ加工チェンバー10は、基板25の表面の近くの加工空間45にプラズマを生成するように構成されうる。イオン化ガス又は加工ガスの混合を、ガス分配システム40を通して導入する。ガス分配システム40は、汚染物質を基板25に導入することを削減する又は最小化するように構成される。ある加工ガスの流れに対して、加工圧は、真空ポンプシステム50を用いて調節される。プラズマを用いて、既定の材料加工に特有の物質を生成し、及び/又は、基板25の露出した表面から物質を取り除くことを助けることができる。プラズマ加工システム1aは、いかなる所望の寸法の基板(例えば200mm基板、300mm基板、又はより大きい基板)でも加工するように構成することができる。
基板25を、基板保持器20に、取付システム28を用いて取付けることができる。取付システム28は、例えば機械的取付システム又は電気的取付システム(例えば静電取付システム)である。更に、基板保持器20は、加熱システム(図示していない)又は冷却システム(図示していない)を含むことができる。加熱システム又は冷却システムは、基板保持器20及び基板25の温度を調整及び/又は制御するように構成される。加熱システム又は冷却システムは、熱伝導流体の再循環する流れを含んでもよい。熱伝導流体は基板保持器20から熱を受け取り、熱を熱交換システム(図示していない)に伝達する(冷却の場合)。又は、熱伝導流体は、熱を熱交換システムから基板保持器20に伝達する(加熱の場合)。別の実施例では、加熱/冷却要素(例えば抵抗性加熱要素又は熱―電気加熱器/冷却器要素)を、基板保持器20、プラズマ加工チェンバー10のチェンバー壁、及びプラズマ加工システム1aの内部のいかなる他の部品に含んでもよい。
加えて、熱伝導ガスを基板25の裏面に供給することができる。これには裏面ガス供給システム26を用いる。これにより、基板25と基板保持器20の間のガスギャップ熱伝導を改善する。このようなシステムを、基板の温度制御が、高められた又は低められた温度で必要な場合に用いることができる。例えば、裏面ガス供給システムは、2区画のガス分配システムを含むことができる。ここでヘリウムのガスギャップ圧を独立に基板25の中央と端との間で変更できる。
図2に示す実施例では、基板保持器20は、電極を含むことができる。この電極を通じて、RF電力が加工空間45の加工プラズマに伝えられる。例えば、基板保持器20に、電気的にRF電圧でバイアスをかけることができる。このときにRF発電器30からのRF電力の伝送を用い、任意でインピーダンス整合網32を通して基板保持器20に送る。このRFバイアスはプラズマを生成し保持するために電子を熱する役割を果たすことができる。この構成では、システムはリアクティブイオンエッチング(RIE)炉として動作することができる。ここでチェンバー及び上部のガス注入電極は接地面の役割を果たす。RFバイアスの典型的な周波数は、約0.1MHzから約100MHzの間の値を取りうる。プラズマ加工のためのRFシステムは当業者には周知である。
代わりに、RF電力を基板保持器の電極に複数の周波数で印加する。更に、インピーダンス整合網32は、プラズマ加工チェンバー10におけるプラズマへのRF電力の伝送を、反射電力を削減することによって改善できる。整合網のトポロジー(例えばL型、π型、T型等)及び自動制御方法は当業者には周知である。
真空ポンプシステム50は、ターボ分子真空ポンプ(TMP)を含むことができる。これは、約5000リットル毎秒以上までの速度で排気できる。真空ポンプシステム50は、チェンバーの圧力を調整するためのゲートバルブを含む。ドライプラズマエッチングのために用いられる従来のプラズマ加工装置では、1000〜3000リットル毎秒のTMPを用いることができる。TMPは低圧(典型的には約50mトルより低い)の加工に有益である。高圧の加工(即ち約100mトルより高い)のためには、機械式ブースターポンプ及びドライ粗引きポンプを用いることができる。更に、チェンバーの圧力を監視するための装置(図示していない)を、プラズマ加工チェンバー10に結合することができる。この圧力測定装置は、例えば、Type 628B Baratron(商標)絶対静電容量型圧力計でありうる。これは米国マサチューセッツ州アンドーバーのMKS Instruments社から購入できる。
制御器55は超小型処理装置、記憶装置、及びデジタルI/Oポートを含む。制御器55は、プラズマ加工システム1aと通信し、入力をアクティベートするのに十分な制御電圧を生成できる。同様に、制御器55は、プラズマ加工システム1aからの出力を監視するのに十分な制御電圧を生成できる。更に、制御器55は、RF発電器30、インピーダンス整合網32、ガス分配システム40、真空ポンプシステム50、基板加熱/冷却システム(図示していない)、裏面ガス供給システム26、及び/又は静電取付システム28と結合することができ、情報を交換することができる。例えば、記憶装置に格納されているプログラムを用いて、基板25にプラズマで補助される加工を行うために、加工レシピによって、プラズマ加工システム1aの前述の要素に対する入力を、アクティベートすることができる。制御器55の1つの例は、米国テキサス州オースチンのDELL社から入手可能なDELL(登録商標)Precision(商標)ワークステーション610である。
制御器55は、プラズマ加工システム1aに対して局所的に配置することができる。又は、制御器55は、プラズマ加工システム1aに対して遠隔に配置することができる。例えば、制御器55は、プラズマ加工システム1aと、直接接続、イントラネット、及び/又はインターネットを用いて、データを交換できる。制御器55は、例えば、顧客の場所(即ちデバイスメーカー等)で、イントラネットに接続できる。又は、制御器55は、例えば、ベンダーの場所(即ち装置製造業者)で、イントラネットに接続できる。代わりに又は加えて、制御器55は、インターネットに接続できる。更に、別の計算機(即ち制御器、サーバー、等)が、制御器55にアクセスして、直接接続、イントラネット、及び/又はインターネットを用いて、データを交換できる。
図3に示す実施例では、プラズマ加工システム1bは、図2の実施例と類似することができ、固定の又は機械的に若しくは電気的に回転する磁場システム60の何れかを更に含む。図2を参照して記載した要素に加えて、これにより潜在的にプラズマの密度を上げ及び/又はプラズマ加工の均一性を向上する。更に、制御器55は、磁場システム60に結合することができる。これにより、回転の速度と磁場の強さを制御する。回転する磁場の設計と実装は当業者には周知である。
図4に示す実施例では、プラズマ加工システム1cは、図2又は図3の実施例と類似することができ、上位電極70を更に含むことができる。上位電極70に、RF発電器72からRF電力を、省略可能なインピーダンス整合網74を通して結合できる。RF電力を上位電極に印加する際の周波数は、約0.1MHzから約200MHzの範囲にまたがり得る。加えて、電力を下位電極に印加する際の周波数は、約0.1MHzから約100MHzの範囲にまたがり得る。更に、制御器55は、RF発電器72及びインピーダンス整合網74に結合する。これにより、RF電力の上位電極への印加を制御する。上位電極の設計と実装は当業者には周知である。上位電極70及びガス分配システム40は、図示する通り、同一のチェンバー組立の内部に設計することができる。
図5に示す実施例では、プラズマ加工システム1dは、図2又は図3の実施例と類似することができ、誘導コイル80を更に含むことができる。誘導コイル80に、RF発電器82からRF電力を、省略可能なインピーダンス整合網84を通して結合できる。RF電力は、誘導コイル80から、誘電窓(図示していない)を通ってプラズマ加工空間45に誘導で接続される。RF電力を誘導コイル80に印加する際の周波数は、約10MHzから約100MHzの範囲にまたがり得る。同様に、電力をチャック電極に印加する際の周波数は、約0.1MHzから約100MHzの範囲にまたがり得る。加えて、穴あきファラデーシールド(図示していない)を用いて、誘導コイル80とプラズマの間の容量結合を低減することができる。更に、制御器55は、RF発電器82及びインピーダンス整合網84に結合することができる。これにより、電力の誘導コイル80への印加を制御する。別の実施例では、誘導コイル80は、スパイラルコイル又はパンケーキコイルでありうる。これらはトランスフォーマー結合プラズマ炉におけるように上からプラズマ加工空間45に結合する。誘導結合プラズマ(ICP)源又はトランスフォーマー結合プラズマ(TCP)源の設計と実装は当業者には周知である。
代わりに、プラズマを電子サイクロトロン共鳴を用いて生成することができる。また別の実施例では、ヘリコン波を発射してプラズマを生成する。また別の実施例では、プラズマを伝播する表面波から生成する。前述の各プラズマ源は当業者には周知である。
後述の議論では、加工ガスを真空加工システムに導入するためのガス分配システムを記載する。このガス分配システムは、例えば、図2〜図5に記載のプラズマ加工システム又は図2〜図5のシステムからの特徴のいかなる組み合わせの1つでも有するプラズマ加工システムのいかなる1つにおいても(番号40で図示するように)活用してもよい。
さて図6A及び図6Bを参照し、ガス分配システム100を1つの実施例により示す。ガス分配システム100は、加工チェンバーに結合するように構成される。ガス分配システム100は、加工ガスの流れを加工ガス供給システムからガス供給入口110を通して受け取り、加工ガスの流れをプレナム132の内部から複数の開口138を通して流体連結する加工チェンバー内の加工空間に分配するように構成される。更に、ガス分配システム100は、加工ガス拡散器120を含む。加工ガス拡散器120は、ガス分配システム100の入口110に位置する。ここで加工ガス拡散器120は、プレナム圧における非均一性を減少させるような仕方で加工ガスを複数の開口138の各々に分配するような仕方でプレナム132に加工ガスの流れの運動量を拡散するように構成される。
図6Aに示す通り、ガス分配システム100は上位組立140を含んでもよい。上位組立140は加工チェンバーに結合するように構成される。上位組立140は、電極組立を含んでもよく、含まなくてもよい。上位組立140は、図2、図3及び図5におけるように電気的に接地と接続してもよい。又、上位組立140は、図4(番号70)におけるように電力と接続してもよい。上位組立140は、電極組立を含むことができる。電極組立は、第1の板142と第2の板144を有する。第1の板142を通して、ガス供給入口110が形成される。第2の板144は第1の板142に結合する。ここで第1の板142と第2の板144の結合は、加工ガス拡散器120を、第2の板144に形成される支持棚148と、第1の板142の表面146の間に保持するように構成される。真空密閉装置、例えばエラストマーのOリングを用いて、第1の板142、第2の板144と加工ガス拡散器120の間に真空シールを提供してもよい。代わりに、加工ガス拡散器120を含む組立140は、一体の部品を含んでも良い。
加えて、ガス分配システム100は、ガス注入システム130を含む。ガス注入システム130は上位組立140に結合する。ガス注入システム130は加工ガスの流れを加工ガス拡散器120から受け取るように構成される。ガス注入システム130はハウジング134及びガス分配板136を含む。ガス分配板136はハウジング134に結合する。ここでガス分配板136は複数の開口138を含む。複数の開口138は、プレナム132から加工チェンバー内の加工空間への加工ガスの均一な流れを促進する。
図6Bに示す通り、加工ガス拡散器120は、縁129を含む。縁129は、第2の板144の支持棚148により捉えられるように構成される。加工ガス拡散器120は、拡散器入口122、拡散器出口124及び発散路126を更に含む。拡散器入口122は、ガス供給入口110に結合するように構成される。拡散器出口124は、ガス注入システム130のプレナム132に結合するように構成される。発散路126は、拡散器入口122から、拡散器出口124に延長する。発散路126は、円錐状の路を含んでもよい。ここで拡散器壁128の半角は約20度以下である。望ましくは、拡散器壁128の半角は約18度以下である。より望ましくは、拡散器壁128の半角は約15度以下である。図6Bに示す通り、拡散器出口124の出口面積は、拡散器入口122の入口面積よりも大きい。出口面積が入口面積の倍大きい場合、ガス分配板136への加工ガスの流れの衝撃に関連する圧力回収は4分の1になる。出口面積が入口面積の4倍大きい場合、ガス分配板136への加工ガスの流れの衝撃に関連する圧力回収は16分の1になる。
ガス分配板136にある複数の開口138は、約1開口から約1000開口の数に渡ることができる。望ましくは、ガス分配板136にある複数の開口138は、約10開口から約100開口の数に渡ってもよい。ガス分配板136は、複数の開口138をもって設計することができる。ここで各開口は約0.5mmから約10mmに渡る直径を有する。望ましくは、ここで各開口は約0.5mmから約2mmに渡る直径を有する。代わりに、ガス分配板136は複数の開口138をもって設計することができる。ここで各開口は約1mmから約20mmに渡る長さを有する。望ましくは、ここで各開口は約1mmから約3mmに渡る長さを有する。
加工ガス拡散器120を利用することにより、かつ、複数の開口138の1つ以上を拡散器出口124の直接の向かい側に配置しないことにより、プレナム132内部の圧力の変動を減少できる。とりわけ拡散器出口124の近辺においてそうである。また、複数の開口138を通る加工ガスの均一でない流動の可能性を削減できる。加えて、プレナムの高さを低くしてもよい。また、従来用いていた、プレナム132内部に位置する、プレナム132の入口面とガス分配板136との間のバッフル板を取り除いてもよい。これによりガス注入システム130の全般的な厚さを削減できる。ガス注入システム130は、誘電性の材料から作成できる。プレナムの高さは約5mmより小さく設計してもよい。望ましくは、プレナムの高さは約3mmより小さく設計できる。
上位組立140、加工ガス拡散器120及びガス注入システム130を含むガス分配システム100はアルミニウム若しくは陽極酸化アルミニウムのような金属又はセラミックから作成してもよい。これらの部品のいかなる1つも、石英、シリコン、窒化シリコン、炭化シリコン、アルミナ、窒化アルミニウム、サファイア、炭素、その他、又はこれらの2つ以上のいかなる組み合わせからも作成してよい。加えて、これらの部品のいかなる1つも(例えばこれらの部品の内部表面)、セラミック材料(例えば酸化アルミニウム又は酸化イットリウム)で被膜できる。例えば、これらの部品のいかなる1つも(例えばこれらの部品の内部表面)、Al、Sc、Sc、YF、La、Y又はDyOを含む材料で被膜してもよい。代わりに、これらの表面を、第3族元素で被膜してもよい。
1つの例では、上位組立140は、アルミニウムから作成される。ここで表面を陽極酸化処理してもしなくともよい。上位組立140は、電極組立の役に立つことができる。上位組立140は、電力源に接続できる。電力源とは例えば無線周波数(RF)電力源である。ガス注入システム130は、誘電性の材料(例えば石英)から作成できる。これにより、上位組立140からガス注入システム130を通して加工空間中の加工ガスへのRF電力の結合を許可する。加えて、加工ガス拡散器120は、誘電性の材料(例えば石英)から作成できる。加工ガスが腐食性のガス(例えばHBr、Cl、NF等)を含む場合は、加工ガス拡散器120及びガス注入システム130は、石英から作成できる。これにより、加工チェンバーにおける基板の汚染を最小化する。
さて図7A及び図7Bを参照し、ガス分配システム200を別の実施例により示す。ガス分配システム200は、図6Aの実施例に類似することができる。ここで同様の参照番号は同様の部品を示す。ガス分配システム200は加工チェンバーに結合するように構成される。ガス分配システム200は、加工ガスの流れを加工ガス供給システムからガス供給入口110を通して受け取り、加工ガスの流れをプレナム132の内部から複数の開口138を通して流体連結する加工チェンバー内の加工空間に分配するように構成される。更に、ガス分配システム200は、加工ガス拡散器220を含む。加工ガス拡散器220は、ガス分配システム200の入口110に位置する。ここで加工ガス拡散器220は、プレナム圧における非均一性を減少させるような仕方で加工ガスを複数の開口138の各々に分配するような仕方でプレナム132に加工ガスの流れの運動量を拡散するように構成される。
図7Bに示す通り、加工ガス拡散器220は、縁229を含む。縁229は、第2の板144の支持棚148により捉えられるように構成される。加工ガス拡散器220は、拡散器入口222、拡散器出口224及び発散路226を更に含む。拡散器入口222は、ガス供給入口110に結合するように構成される。拡散器出口224は、ガス注入システム130のプレナム132に結合するように構成される。発散路226は、拡散器入口222から、拡散器出口224に延長する。加工ガス拡散器220は、オリフィス板228を更に含む。オリフィス板228は拡散器出口224に位置する1つ以上のオリフィス227を有する。発散路226は、拡散器入口222から、拡散器出口224に連続的に変化する断面(図6Bにおけるようなもの)を含んでもよい。又は、発散路226は、入口部223から、出口部225に急に変化する断面(図7Bにおけるようなもの)を含んでもよい。例えば、入口部223の入口直径は、出口部225の出口直径に急に拡大する。
さて図8を参照し、ガス分配システム300を別の実施例により示す。ガス分配システム300は、加工チェンバーに結合するように構成される。ガス分配システム300は、加工ガスの流れを加工ガス供給システムからガス供給入口310を通して受け取り、加工ガスの流れをプレナム332の内部から複数の開口338を通して流体連結する加工チェンバー内の加工空間に分配するように構成される。更に、ガス分配システム300は、加工ガス拡散器320を含む。加工ガス拡散器320は、ガス分配システム300の入口310に位置する。ここで加工ガス拡散器320は、プレナム圧における非均一性を減少させるような仕方で加工ガスを複数の開口338の各々に分配するような仕方でプレナム332に加工ガスの流れの運動量を拡散するように構成される。
図8に示す通り、ガス分配システム300は上位組立340を含んでもよい。上位組立340は加工チェンバーに結合するように構成される。上位組立340は、電極組立を含んでもよく、含まなくてもよい。上位組立340は、図2、図3及び図5におけるように電気的に接地と接続してもよい。又、上位組立340は、図4(番号70)におけるように電力と接続してもよい。例えば、上位組立340は、電極組立を含むことができる。電極組立は、第1の板342と第2の板344を有する。第1の板342を通して、ガス供給入口310が形成される。第2の板344は第1の板342に結合する。ここで第1の板342と第2の板344の結合は、加工ガス拡散器320を、第2の板344に形成される支持棚348と、第1の板342の表面346の間に保持するように構成される。真空密閉装置、例えばエラストマーのOリングを用いて、第1の板342、第2の板344と加工ガス拡散器320の間に真空シールを提供してもよい。代わりに、加工ガス拡散器320を含む組立340は、一体の部品を含んでも良い。
加えて、ガス分配システム300は、ガス注入システム330を含む。ガス注入システム330は上位組立340に統合される。ガス注入システム330は加工ガスの流れを加工ガス拡散器320から受け取るように構成される。ガス注入システム330は、第2の板344に形成される凹部334及びガス分配板336を含む。ガス分配板336は第2の板344に結合する。ここでガス分配板336は複数の開口338を含む。複数の開口338は、プレナム332から加工チェンバー内の加工空間への加工ガスの均一な流れを促進する。加工ガス拡散器320は図6Bに示す加工ガス拡散器120を含むことができる。又は加工ガス拡散器320は図7Bに示す加工ガス拡散器220を含んでもよい。
ガス分配板336にある複数の開口338は、約1開口から約1000開口の数に渡ることができる。望ましくは、ガス分配板336にある複数の開口338は、約10開口から約100開口の数に渡ってもよい。ガス分配板336は、複数の開口338をもって設計することができる。ここで各開口は約0.5mmから約10mmに渡る直径を有する。望ましくは、ここで各開口は約0.5mmから約2mmに渡る直径を有する。代わりに、ガス分配板336は複数の開口338をもって設計することができる。ここで各開口は約1mmから約20mmに渡る長さを有する。望ましくは、ここで各開口は約1mmから約3mmに渡る長さを有する。
加工ガス拡散器320を利用することにより、かつ、複数の開口338の1つ以上を拡散器出口の直接の向かい側に配置しないことにより、プレナム332内部の圧力の変動を減少できる。とりわけ拡散器出口の近辺においてそうである。また、複数の開口338を通る加工ガスの均一でない流動の可能性を削減できる。加えて、プレナムの高さを低くしてもよい。また、従来用いていた、プレナム332内部に位置する、プレナム332の入口面とガス分配板336との間のバッフル板を取り除いてもよい。これによりガス注入システム330の全般的な厚さを削減できる。ガス注入システム330は、誘電性の材料から作成してもよい。プレナムの高さは約5mmより小さく設計してもよい。望ましくは、プレナムの高さは約3mmより小さく設計できる。
上位組立340、加工ガス拡散器320及びガス注入システム330を含むガス分配システム300はアルミニウム若しくは陽極酸化アルミニウムのような金属又はセラミックから作成してもよい。例えば、これらの部品のいかなる1つも、石英、シリコン、窒化シリコン、炭化シリコン、アルミナ、窒化アルミニウム、等から作成してよい。加えて、これらの部品のいかなる1つも(例えばこれらの部品の内部表面)、セラミック材料(例えば酸化アルミニウム又は酸化イットリウム)で被膜できる。これらの部品のいかなる1つも(例えばこれらの部品の内部表面)、Al、Sc、Sc、YF、La、Y及びDyOを含む材料で被膜してもよい。
1つの例では、上位組立340は、アルミニウムから作成される。ここで表面を陽極酸化処理してもしなくともよい。上位組立340は、電極組立の役に立つことができる。上位組立340は、電力源に接続できる。電力源とは例えば無線周波数(RF)電力源である。ガス分配板336は、誘電性の材料(例えば石英)から作成できる。又は、ガス分配板336は、アルミニウム又は陽極酸化アルミニウムから作成してもよい。これにより、上位組立340から加工空間中の加工ガスへのRF電力の結合を許可する。加えて、加工ガス拡散器320は、誘電性の材料(例えば石英)から作成できる。例えば、加工ガスが腐食性のガス(例えばHBr、Cl、NF等)を含む場合は、加工ガス拡散器320は、石英から作成できる。これにより、加工チェンバーにおける基板の汚染を最小化する。また、加工ガスが腐食性のガス(例えばHBr、Cl、NF等)を含む場合は、ガス分配板336及び凹部334の内部表面を被膜できる。ガス分配板336の複数の開口338と整列する複数のスルーホールを有する省略可能な犠牲的ガス分配板337を用いてもよい。犠牲的ガス分配板337は、石英、シリコン、窒化シリコン、炭化シリコン、アルミナ、窒化アルミニウム、等から作成してよい。
本発明の特定の実施例のみを詳細に記載したが、当業者は、本発明の新規な教示及び利点から実質的に離れること無しに、実施例に対する多くの変更が可能であることを容易に理解することになる。従って、すべてのかかる変更は本願の範囲の内部に含まれることが意図されている。
薄膜にパターンをエッチングするための手順の概略を示す図である。 薄膜にパターンをエッチングするための手順の概略を示す図である。 薄膜にパターンをエッチングするための手順の概略を示す図である。 本発明の実施例によるプラズマ加工システムの概略図である。 本発明の別の実施例によるプラズマ加工システムの概略図である。 本発明の別の実施例によるプラズマ加工システムの概略図である。 本発明の別の実施例によるプラズマ加工システムの概略図である。 本発明の別の実施例によるガス分配システムを示す。 本発明の別の実施例によるガス分配システムを示す。 本発明の別の実施例によるガス分配システムを示す。 本発明の別の実施例によるガス分配システムを示す。 本発明の別の実施例によるガス分配システムを示す。

Claims (19)

  1. 加工空間を含む加工チェンバー;
    前記加工チェンバーと流体連結する加工ガス供給システム、ここで前記加工ガス供給システムは、加工ガスの流れを前記加工チェンバーに導入するように構成される;
    前記加工チェンバーと結合するガス分配システム、ここで前記ガス分配システムは、入口を通して前記加工ガスの前記流れを受け取り、かつ、プレナム中の前記加工ガスの前記流れを前記加工空間と流体連結する複数の開口に分配するように構成され、前記ガス分配システムは、加工ガス拡散器を含み、前記加工ガス拡散器は、前記ガス分配システムの前記入口に位置し、かつ、前記加工ガスの前記流れの運動量を前記プレナム中に拡散させるように構成される;
    前記加工チェンバーと結合する保持器、ここで前記保持器は、基板を前記加工チェンバー内で前記加工ガスに曝すために支持するように構成される;及び
    前記加工チェンバーと結合する真空ポンプシステム、ここで前記真空ポンプシステムは、前記加工チェンバーから排気するように構成される;
    を含む処理システム。
  2. 前記加工ガス拡散器は、発散路を含む、請求項1の処理システム、ここで前記発散路は、前記加工ガス供給システムの出口に接続する入口及び前記プレナムに接続する出口を有し、前記発散路の前記出口は、前記入口の開口よりも大きな開口を含む。
  3. 前記発散路は、円錐状の路を含む、請求項2の処理システム、ここで前記円錐状の路は、約20度以下の半角を有する。
  4. 前記発散路は、円錐状の路を含む、請求項2の処理システム、ここで前記円錐状の路は、約18度以下の半角を有する。
  5. 前記発散路は、円錐状の路を含む、請求項2の処理システム、ここで前記円錐状の路は、約15度以下の半角を有する。
  6. 前記加工ガス拡散器は、オリフィス板を前記発散路の前記出口に更に含む、請求項2の処理システム。
  7. 前記発散路は、円筒状入口及び円筒状出口を含む、請求項6の処理システム、ここで前記円筒状入口は入口直径を有し、前記円筒状出口は前記入口直径よりも大きい出口直径を有する。
  8. 前記入口直径は、前記出口直径に急に変化する、請求項7の処理システム。
  9. 前記ガス分配システムの少なくとも1つの内部表面に配置された被膜を更に含む、請求項1の処理システム。
  10. 前記被膜は、陽極酸化処理層である、請求項9の処理システム。
  11. 前記被膜は、少なくとも1つの第3族元素を含む、請求項9の処理システム。
  12. 前記被膜は、Al、Sc、Sc、YF、La、Y又はDyOを含む材料を含む、請求項9の処理システム。
  13. 前記ガス分配システムは、被膜を有するアルミニウムから形成される、請求項1の処理システム。
  14. 前記ガス分配システムは、石英、アルミナ、窒化アルミニウム、サファイア、シリコン、窒化シリコン、炭化シリコン、又は炭素、若しくはこれらの2つ以上の組み合わせから形成される、請求項1の処理システム。
  15. 前記ガス分配システムは、誘電性の材料から形成される、請求項1の処理システム。
  16. 前記加工チェンバーに接続し、前記保持器の反対側に配置される上位電極を更に含む、請求項15の処理システム、ここで:
    前記上位電極は、無線周波数(RF)発電器に接続し、前記RF発電器からのRF電力を前記加工ガスに結合することにより、前記加工空間にプラズマを形成するように構成され;かつ
    前記ガス分配システムは、前記上位電極と前記保持器の間に配置される。
  17. 前記ガス分配システムは、石英、サファイア、アルミナ、窒化アルミニウム、シリコン、炭化シリコン、又は窒化シリコン、若しくはこれらの2つ以上の組み合わせから形成される、請求項16の処理システム。
  18. 前記プレナムは、約5mm以下の高さを有する円筒状の体積を含む、請求項16の処理システム。
  19. 前記プレナムは、約3mm以下の高さを有する円筒状の体積を含む、請求項16の処理システム。
JP2009503104A 2006-03-30 2007-01-25 汚染を低減したガス注入システム及びその使用方法 Expired - Fee Related JP5185251B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/392,949 US7743731B2 (en) 2006-03-30 2006-03-30 Reduced contaminant gas injection system and method of using
US11/392,949 2006-03-30
PCT/US2007/061041 WO2007117741A2 (en) 2006-03-30 2007-01-25 A reduced contaminant gas injection system and method of using

Publications (2)

Publication Number Publication Date
JP2009532873A true JP2009532873A (ja) 2009-09-10
JP5185251B2 JP5185251B2 (ja) 2013-04-17

Family

ID=38573891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009503104A Expired - Fee Related JP5185251B2 (ja) 2006-03-30 2007-01-25 汚染を低減したガス注入システム及びその使用方法

Country Status (5)

Country Link
US (1) US7743731B2 (ja)
JP (1) JP5185251B2 (ja)
KR (1) KR101315558B1 (ja)
CN (1) CN101460655B (ja)
WO (1) WO2007117741A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018082150A (ja) * 2016-10-04 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善したプロファイルを有するデュアルチャネルシャワーヘッド

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5223377B2 (ja) 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
ATE551439T1 (de) * 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US9671837B2 (en) * 2012-10-04 2017-06-06 Compass Datacenters, Llc Air dam for a datacenter facility
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08236464A (ja) * 1994-09-27 1996-09-13 Applied Materials Inc 堆積プロセスにおけるSiH4ソーク及びパージの利用
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
JPH1050677A (ja) * 1996-07-31 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
WO2002053799A1 (en) * 2000-12-29 2002-07-11 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2004207751A (ja) * 2001-08-28 2004-07-22 Nec Kagoshima Ltd 基板処理装置及び方法
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
JP2005260046A (ja) * 2004-03-12 2005-09-22 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置用部材
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP2006121057A (ja) * 2004-09-20 2006-05-11 Applied Materials Inc 拡散器重力支持体

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
TW516113B (en) * 1999-04-14 2003-01-01 Hitachi Ltd Plasma processing device and plasma processing method
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
JPH08236464A (ja) * 1994-09-27 1996-09-13 Applied Materials Inc 堆積プロセスにおけるSiH4ソーク及びパージの利用
JPH1050677A (ja) * 1996-07-31 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
WO2002053799A1 (en) * 2000-12-29 2002-07-11 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2004207751A (ja) * 2001-08-28 2004-07-22 Nec Kagoshima Ltd 基板処理装置及び方法
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
JP2005260046A (ja) * 2004-03-12 2005-09-22 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置用部材
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP2006121057A (ja) * 2004-09-20 2006-05-11 Applied Materials Inc 拡散器重力支持体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018082150A (ja) * 2016-10-04 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善したプロファイルを有するデュアルチャネルシャワーヘッド
JP7044501B2 (ja) 2016-10-04 2022-03-30 アプライド マテリアルズ インコーポレイテッド 改善したプロファイルを有するデュアルチャネルシャワーヘッド

Also Published As

Publication number Publication date
WO2007117741A3 (en) 2007-12-13
CN101460655B (zh) 2012-08-29
US7743731B2 (en) 2010-06-29
CN101460655A (zh) 2009-06-17
US20070235136A1 (en) 2007-10-11
KR20080110652A (ko) 2008-12-18
WO2007117741A2 (en) 2007-10-18
JP5185251B2 (ja) 2013-04-17
KR101315558B1 (ko) 2013-10-08

Similar Documents

Publication Publication Date Title
JP5185251B2 (ja) 汚染を低減したガス注入システム及びその使用方法
US8276540B2 (en) Method and system for introducing process fluid through a chamber component
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US7718030B2 (en) Method and system for controlling radical distribution
US7862683B2 (en) Chamber dry cleaning
US20060060303A1 (en) Plasma processing system and method
JP2006203210A (ja) 半導体プラズマ処理装置及び方法
US10553409B2 (en) Method of cleaning plasma processing apparatus
KR20160149151A (ko) 플라즈마 처리 방법
KR20210102075A (ko) 거치대, 플라즈마 처리 장치 및 클리닝 처리 방법
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
KR102538188B1 (ko) 플라즈마 처리 장치의 세정 방법
US11721522B2 (en) Plasma processing method and plasma processing apparatus
TWI759348B (zh) 被處理體之處理方法
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
KR20220156070A (ko) 기판 프로세싱 챔버를 위한 유전체 윈도우
TWI394200B (zh) 經由腔室構件導入處理流體的方法與系統
US11094551B2 (en) Plasma processing method and plasma processing apparatus
JP2005064120A (ja) プラズマ処理装置およびプラズマ処理方法
JP2004134437A (ja) 半導体装置の製造方法および半導体製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100113

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130117

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees