KR101258005B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101258005B1
KR101258005B1 KR1020117007322A KR20117007322A KR101258005B1 KR 101258005 B1 KR101258005 B1 KR 101258005B1 KR 1020117007322 A KR1020117007322 A KR 1020117007322A KR 20117007322 A KR20117007322 A KR 20117007322A KR 101258005 B1 KR101258005 B1 KR 101258005B1
Authority
KR
South Korea
Prior art keywords
processing
electrode
plasma
vessel
container
Prior art date
Application number
KR1020117007322A
Other languages
English (en)
Other versions
KR20110055706A (ko
Inventor
준 야마시타
가즈히로 이사
히데오 나카무라
준이치 기타가와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110055706A publication Critical patent/KR20110055706A/ko
Application granted granted Critical
Publication of KR101258005B1 publication Critical patent/KR101258005B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

탑재대(5)에 매설된 전극(7)에 바이어스용의 고주파 전력을 공급하는 플라즈마 처리 장치(100)에 있어서, 전극으로서의 탑재대(5)에 대해 대향전극으로서 기능하는 알루미늄제의 덮개부(27)의 플라즈마에 노출되는 표면에, 보호막(48), 바람직하게는 Y2O3막(48)이 코팅되어 있다. 처리용기(1)의 하측 부분을 이루는 제 1 부분(2)과 처리 용기(1)의 상측 부분을 이루는 제 2 부분(3)에는 절연성의 상부 라이너(49a)와, 더욱 두툼하게 형성된 절연성의 하부 라이너(49b)가 마련되어 있다. 바람직하지 않은 단락 및 이상 방전이 방지되고, 안정된 고주파 전류 경로가 형성된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 반도체 웨이퍼 등의 피처리체에 플라즈마 처리를 실시하기 위한 플라즈마 처리 장치에 관한 것이다.
반도체 디바이스의 제조에 있어서는 피처리체인 반도체 웨이퍼에 대해 에칭, 애싱, 성막 등의 각종 처리가 실행되고 있다. 이들 처리에는 진공분위기로 유지 가능한 처리용기내에서 반도체 웨이퍼에 플라즈마 처리를 실시하는 플라즈마 처리 장치가 이용되고 있다. 플라즈마 처리 장치에 있어서, 처리용기의 내벽은 알루미늄 등의 금속으로 형성되어 있다. 그 때문에, 강한 플라즈마에 노출되면, 내벽면이 플라즈마에 의해 스퍼터되어 파티클이 발생하고, 알루미늄 등에 의한 금속 오염이 발생하며, 디바이스 성능에 악영향을 주게 된다.
이러한 문제를 해결하기 위해, 평면 안테나에 의해 처리용기내로 마이크로파를 도입해서 플라즈마를 생성시키는 RLSA 마이크로파 플라즈마 방식의 플라즈마 처리 장치에 있어서, 처리용기내에서 플라즈마에 노출되는 부위를 이트리아 산화물로 코팅하는 기술이 제안되어 있다(예를 들면, 일본 특허 공개 공보 제2005-268763호 참조).
그런데, 최근, 반도체 웨이퍼의 대형화와 디바이스의 미세화가 진전되고 있고, 이들에 대응하여, 플라즈마 처리의 효율(예를 들면, 성막 레이트)과 웨이퍼면내에서의 처리의 균일성을 개선하는 것이 요구되고 있다. 그 때문에, 플라즈마 처리 장치의 처리용기내에서 반도체 웨이퍼를 탑재하는 유전체로 이루어지는 탑재대내에 매설된 전극에 고주파 전력을 공급하여, 반도체 웨이퍼에 바이어스를 인가하면서 플라즈마 처리를 실행하는 방법이 플라즈마 산화 처리로 대표되는 성막 프로세스에 있어서도 주목받고 있다.
탑재대의 전극에 고주파 전력을 공급하는 경우, 플라즈마 처리공간을 사이에 두고 처리용기내에 대향 전극을 마련하는 것이 필요하다. 대향 전극의 재료로서는 도전성 금속이 바람직하지만, 플라즈마 산화 프로세스에서는 대향 전극 부근에서 강한 산화 작용을 갖는 플라즈마가 생성되기 때문에, 대향 전극의 표면이 산화되어 열화되고 또한 대향 전극의 표면이 스퍼터되어 금속 오염이나 파티클 발생의 원인으로 된다.
또한, 탑재대의 전극에 고주파 전력을 공급하면, 이 탑재대로부터 플라즈마 처리공간을 거쳐서 대향 전극으로 흐르고, 또한 대향 전극으로부터 처리용기의 벽 등을 거쳐서 고주파 전원의 어스로 환류하는 고주파 전류 경로(RF 리턴 회로)가 형성된다. 이러한 고주파 전류경로가 적정하게 형성되지 않는 경우, 처리용기내에 생성되는 플라즈마가 안정하지 않고, 또한, 고주파 전력의 전력 소비 효율이 저하하여 바이어스를 안정하게 인가할 수 없다. 또한, 고주파 전류 경로의 도중에 단락(short-circuit)이나 이상 방전이 발생하면, 프로세스 효율의 저하 및 프로세스의 불안정이라는 문제가 발생한다. 예를 들면, 탑재대로부터 플라즈마 처리공간을 거쳐서 대향 전극으로 향해야 할 고주파 전력이, 보다 근접한 위치에 있는 처리용기의 측벽 등을 향하는 단락이 발생하면, 고주파 전력의 전력 소비 효율이 저하되고 프로세스 효율이 저하한다.
본 발명은 피처리체를 탑재하는 탑재대의 전극에 바이어스용의 고주파 전력을 공급하는 방식의 플라즈마 처리 장치에 있어서, 고주파 전류의 경로를 적정화해서 전력 소비 효율을 향상시키고, 이상 방전을 방지하여 프로세스의 효율을 향상시키는 기술을 제공한다.
본 발명에 의하면, 상부가 개구된 처리용기와, 상기 처리용기내에 처리 가스를 공급하는 가스 공급 기구와, 상기 처리용기내를 감압 배기하는 배기 기구와, 상기 처리용기내에서 피처리체를 탑재하는 탑재대와, 상기 탑재대에 매설되고 피처리체에 바이어스를 인가하기 위한 제 1 전극과, 적어도 그 일부가 상기 처리용기내의 플라즈마의 생성 영역을 향하도록 배치되고, 상기 제 1 전극에 대해 플라즈마 처리공간을 사이에 두고 마련된 도전성 부재로 이루어지는 제 2 전극과, 상기 제 2 전극에 지지되어 상기 처리용기의 상기 개구를 막고, 또한 마이크로파를 투과하는 유전체판과, 상기 유전체판의 위쪽에 마련되고 도파관을 거쳐서 마이크로파 발생 장치에 접속되어 상기 처리용기내에 마이크로파를 도입하는 평면 안테나를 구비한 플라즈마 처리 장치로서, 상기 제 2 전극의 표면 중의 상기 플라즈마의 생성 영역을 향하는 부분에 금속 산화물로 이루어지는 보호막을 마련함과 아울러, 상기 처리용기의 상부의 내벽면을 제 1 절연성 라이너로 덮고, 또한, 상기 처리용기의 하부의 내벽면을 상기 제 1 절연성 라이너에 연속하는 제 2 절연성 라이너로 덮은 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
상기의 구성에 의하면, 금속 산화물로 이루어지는 보호막은 금속 등의 도전성 재료로 이루어지는 제 2 전극(대향 전극)의 표면을 보호하여 내구성을 향상시키는 효과를 얻는 동시에, 플라즈마로부터 대향 전극을 장기간 보호할 수 있다.
또한, 제 2 전극에 흐른 고주파 전류는 처리용기의 측벽을 타고 처리용기의 하부에 보내지지만, 제 1 절연성 라이너 및 제 2 절연성 라이너에 의해, 탑재대로부터 직접 처리용기의 측벽으로의 이상 방전이 억제되므로, 손실 없이(저항 없이) 적정한 고주파 전류 경로를 더욱 안정되게 유지하기 쉬워진다. 이 때문에, 탑재대의 전극에 고주파 전력을 공급할 때의 고주파 전력의 전력 소비 효율을 개선할 수 있고, 또한, 이상 방전에 의한 프로세스에의 악영향, 파티클의 발생에 의한 금속 오염 및 처리용기에의 데미지를 피할 수 있고, 안정된 플라즈마 처리가 가능하게 된다.
바람직하게는 상기 제 2 절연성 라이너의 두께는 상기 제 1 절연성 라이너의 두께보다 크다.
바람직하게는 상기 제 2 절연성 라이너는 상기 처리용기의 내벽면 중의 상기 제 1 전극이 매설된 상기 탑재대의 높이보다 낮은 영역의 적어도 일부를 덮고 있다. 이 경우, 더욱 바람직하게는 상기 제 2 절연성 라이너는 상기 처리용기의 바닥부에 접속된 배기실에 도달하는 높이 위치까지 연장되어 있다.
바람직한 일실시형태에 있어서, 상기 처리용기는 상기 처리용기의 하측 부분을 이루는 제 1 부분과, 상기 제 1 부분의 상단면에 접합되어 상기 처리용기의 상측 부분을 이루는 제 2 부분을 갖고, 상기 제 1 부분과 상기 제 2 부분의 사이에는 상기 가스 공급 기구로부터 상기 처리용기내에 공급되는 상기 처리 가스를 흘리기 위한 가스 통로가 형성되어 있고, 상기 가스 통로를 사이에 두고 그 양측에는 제 1 시일 부재와 제 2 시일 부재가 마련되어 있는 동시에, 상기 처리용기의 내부에 가까운 측의 상기 제 1 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분이 접촉하고 있고, 상기 처리용기의 외부에 가까운 측의 상기 제 2 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분의 사이에 간극이 형성되어 있다.
바람직하게는, 상기 보호막이 산화이트륨 산화물에 의해 구성된다.
바람직하게는, 상기 유전체판, 상기 제 1 절연성 라이너 및 상기 제 2 절연성 라이너가 석영으로 구성되어 있다.
도 1은 본 발명에 따른 플라즈마 처리 장치의 일실시형태의 플라즈마 산화 처리 장치의 개략 단면도,
도 2는 도 1의 주요부를 확대해서 나타내는 단면도,
도 3은 평면 안테나의 구조를 나타내는 도면,
도 4는 제어부의 구성을 나타내는 설명도,
도 5는 플라즈마 산화 처리 장치에 있어서의 전류의 흐름을 설명하기 위한 도면,
도 6은 RF 리턴 회로의 등가 회로를 설명하기 위한 도면이다.
이하, 본 발명의 실시형태에 대해 도면을 참조해서 상세하게 설명한다. 도 1은 본 발명에 따른 플라즈마 처리 장치의 일실시형태에 관한 플라즈마 산화 처리 장치(100)의 개략 구성을 모식적으로 나타내는 단면도이다. 또한, 도 2는 도 1의 주요부를 확대해서 나타내는 단면도이다. 또한, 도 3은 도 1의 플라즈마 산화 처리 장치(100)의 평면 안테나를 나타내는 평면도이다.
플라즈마 산화 처리 장치(100)는 복수의 슬롯형상 구멍을 갖는 평면 안테나, 특히, 방사형 라인 슬롯 안테나(Radial Line Slot Antenna: RLSA)에 있어서 직접 처리용기내에 마이크로파를 도입하여 처리용기내에서 고밀도 및 저전자 온도의 마이크로파 여기 플라즈마를 발생시킬 수 있는 RLSA 마이크로파 플라즈마 처리 장치로서 구성되어 있다. 플라즈마 산화 처리 장치(100)에서는 1×1010∼5×1012/㎤의 플라즈마 밀도와, 0.7∼2eV의 저전자 온도를 갖는 플라즈마에 의한 처리가 가능하다. 따라서, 플라즈마 산화 처리 장치(100)는 각종 반도체 장치의 제조 과정에 있어서, 예를 들면, 피처리체인 실리콘을 산화해서 실리콘 산화막(예를 들면, SiO2막)을 형성할 목적으로 바람직하게 이용할 수 있다.
플라즈마 산화 처리 장치(100)는 기밀하게 구성되고, 기판인 반도체 웨이퍼(이하, 단지「웨이퍼」라 함) W가 반입되기 위한 접지된 대략 원통형상의 처리용기(챔버)(1)를 갖고 있다. 이 처리용기(1)는 알루미늄 혹은 그 합금, 또는 스테인리스강 등의 금속 재료로 이루어진다. 처리용기(1)는 그 처리용기(1)의 하측 부분을 구성하는 제 1 부분(이하「제 1 용기(2)」라 함)과, 이 제 1 부분의 위에 배치되어 해당 처리용기의 상측 부분을 구성하는 제 2 부분(이하「제 2 용기(3)」라 함)을 포함한다. 제 1 용기(2) 및 제 2 용기(3)는 일체이어도 좋다. 또한, 처리용기(1)의 상부에는 처리공간에 마이크로파를 도입하기 위한 마이크로파 도입부(26)가 착탈 가능하게 마련되어 있다. 즉, 마이크로파 도입부(26)는 처리용기(1)의 상단의 개구를 개폐 가능하다. 제 2 용기(3)의 상단부에는 마이크로파 도입부(26)가 걸어 맞춰지고, 제 2 용기(3)의 하단부는 제 1 용기(2)의 상단부와 접합된다. 또, 제 2 용기(3)에는 복수의 냉각수 유로(3a)가 형성되어 제 2 용기(3)의 벽을 냉각할 수 있도록 되어 있다. 따라서, 플라즈마의 열에 기인한 열팽창에 의해 접합 부위의 위치 어긋남이나 플라즈마 손상이 발생하는 것을 억제하고, 밀봉특성 저하나 파티클 발생이 방지된다.
제 1 용기(2)내에는 피처리체인 웨이퍼 W를 수평으로 지지하기 위한 탑재대(5)가, 배기실(11)의 바닥부 중앙으로부터 위쪽으로 연장하는 원통형상의 지지부(4)에 의해 지지된 상태로 마련되어 있다. 탑재대(5) 및 지지부(4)를 구성하는 재료로서는 석영이나 AlN, A12O3 등의 세라믹스 재료를 들 수 있지만, 이들 중에서도 열전도성이 양호한 AlN이 바람직하다. 또한, 탑재대(5)에는 저항 가열형의 히터(5a)가 매립되어 있고, 예를 들면, 200V의 교류 전원인 히터 전원(6)으로부터 급전되는 것에 의해 탑재대(5)를 가열하고, 그 열로 피처리체인 웨이퍼 W를 가열한다. 히터(5a)와 히터 전원(6)을 접속하는 급전선(6a)에는 RF(고주파) 노이즈를 차단하기 위한 노이즈 필터 회로를 갖는 필터부(45)가 마련되어 있다. 탑재대(5)의 온도는 탑재대(5)에 삽입된 도시하지 않은 열전쌍에 의해서 측정되며, 열전쌍으로부터의 신호에 의거하여 히터 전원(6)이 제어되는데, 예를 들면, 실온에서 800℃까지의 범위에서 안정된 온도 제어가 가능하게 되어 있다.
또한, 탑재대(5)의 내부의 표면측에는 제 1 전극으로서의 바이어스용의 전극(7)이 매설되어 있다. 이 전극(7)은 탑재되는 웨이퍼 W에 대략 대응하는 영역에 매설되어 있다. 전극(7)의 재질로서는, 예를 들면, 탑재대(5)의 재료(AlN)와 대략 동등한 열팽창률을 갖는 몰리브덴, 텅스텐 등의 도전성 재료를 이용할 수 있다. 전극(7)은, 예를 들면, 그물코형상, 격자형상, 소용돌이형상 등의 형상으로 형성할 수 있다. 또한, 탑재대(5)의 측면과 상부면상의 바깥둘레부분을 덮도록 커버(8a)가 마련되어 있고, 이 커버(8a)에는 웨이퍼 W를 가이드하기 위한 홈이 마련되어 있다. 또한, 탑재대(5)의 바깥둘레측에는 처리용기(1)내를 균일하게 배기하기 위해, 석영제의 배플판(baffle plate)(8b)이 환상으로 마련되어 있다. 이 배플판(8b)은 복수의 구멍(8c)을 가지며, 지지기둥(도시하지 않음)에 의해 지지되어 있다. 또한, 탑재대(5)에는 웨이퍼 W를 지지해서 승강시키기 위한 복수의 웨이퍼 지지 핀(도시하지 않음)이 탑재대(5)의 표면에 대해 돌출 및 함몰 가능하게 마련되어 있다.
제 2 용기(3)의 상하의 접합부에는, 예를 들면, O링 등의 시일 부재(9a, 9b, 9c)가 마련되어 있고, 이에 따라 접합부의 기밀 상태가 유지된다. 이들 시일 부재(9a, 9b, 9c)는, 예를 들면, 칼레즈(Kalrez)(상품명: 듀퐁사제) 등의 불소계 고무 재료로 이루어져 있다.
제 1 용기(2)의 저벽(2a)의 대략 중앙부에는 원형의 개구부(10)가 형성되어 있다. 이 개구부(10)를 덮도록, 저벽(2a)에는 개구부(10)와 연통됨과 아울러 아래쪽을 향해 돌출되고, 처리용기(1) 내부를 균일하게 배기하기 위한 배기실(배기 챔버)(11)이 접속되어 있다.
도 2에 확대해서 나타낸 바와 같이, 제 1 용기(2)내의 임의의 개소(예를 들면, 제 1 용기(2)를 원주방향으로 균등하게 4분할한 위치)에는 수직방향으로 복수의 가스 공급로(12)가 마련되어 있다. 가스 공급로(12)는 제 1 용기(2)와 제 2 용기(3)의 사이의 간극에 의해 형성되는 환상 통로(13)에 접속되어 있다. 또한, 제 2 용기(3)의 내부에는 이 환상 통로(13)에 접속되는 복수의 가스 통로(14)가 형성되어 있다. 또한, 제 2 용기(3)의 상단부에는 제 2 용기(3)의 안둘레면을 따라 복수 개소(예를 들면, 32개소)에 가스 도입구(15a)가 균등하게 마련되어 있고, 이들 가스 도입구(15a)로부터 수평으로 연장하는 가스 도입로(15b)가 마련되어 있다. 이 가스 도입로(15b)는 제 2 용기(3)내에서 연직 방향으로 형성된 가스 통로(14)와 연통되어 있다.
환상 통로(13)는 제 1 용기(2)의 상단면과 제 2 용기(3)의 하단면의 접합 부분에 있어서, 단부(18)와 단부(19)에 의해서 형성된 유로이다. 이 환상 통로(13)는 처리용기(1)의 내부공간을 둘러싸도록 대략 수평방향으로 환상으로 연장되어 있다. 환상 통로(13)는 가스 공급로(12)를 거쳐서 처리용기(1)의 바닥부에서 가스 공급 장치(16)와 접속되어 있다. 또, 가스 공급 장치(16)는 처리용기(1)의 측면에 접속되어 있어도 좋다. 환상 통로(13)는 각 가스 통로(14)에 가스를 균등하게 배분해서 공급하는 가스 분배 수단으로서의 기능을 갖고 있으며, 처리 가스가 특정의 가스 도입구(15a)에 치우쳐서 공급되는 것을 방지하도록 기능한다.
이와 같이 본 실시형태에서는 가스 공급 장치(16)로부터의 가스를, 각 가스 공급로(12), 환상 통로(13), 각 가스 통로(14)를 거쳐서 32개소의 가스 도입구(15a)로부터 배관의 압력 손실 없이 균일하게 처리용기(1)내에 도입할 수 있으므로, 처리용기(1)내의 플라즈마의 균일성을 높일 수 있다.
또한, 제 2 용기(3)의 하단면에는 제 1 용기(2)의 상단면의 단부(18)와 조합해서 환상 통로(13)를 형성할 수 있도록 단부(19)가 마련되어 있다. 즉, 제 1 용기(2)의 측벽의 상단면의 단부(18)와 제 2 용기(3)의 하단면의 단부(19)에 의해서 환상 통로(13)가 형성되어 있다. 본 실시형태에서는 단부(19)의 높이는 단부(18)의 높이보다도 크다. 따라서, 제 2 용기(3)의 하단면과 제 1 용기(2)의 상단면을 접합한 상태에서는 시일 부재(9b)가 배치되어 있는 측에서는 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)이 접촉하지만, 시일 부재(9a)가 배치되어 있는 측에서는 단부(19)의 비돌출면(3c)과 단부(18)의 돌출면(2b)이 비접촉 상태로 되고, 이것에 의해서 약간의 치수의 간극 S가 형성되어 있다. 제 2 시일 부재로서의 시일 부재(9a)는 외부로 가스가 새지 않을 정도의 기밀성을 유지할 수 있는 정도로 시일하는 부분이다. 제 1 시일 부재로서의 시일 부재(9b)는 접촉한 상태의 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)을 시일하는 것에 의해 처리용기(1)내의 기밀성을 유지하는 동시에, 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)을 접촉하게 하고 있으므로, 후술하는 바와 같이 고주파 전류의 리턴 회로가 효율적으로 형성되어, 대향 전극(제 2 전극으로서의 덮개부(27))의 표면 전위가 내려가고, 대향 전극이 스퍼터되기 어려워진다. 이 접합 구조의 작용에 대해서는 후술한다.
상기 배기실(11)의 측면에는 배기관(23)이 접속되어 있고, 이 배기관(23)에는 진공 펌프를 포함하는 배기 장치(24)가 접속되어 있다. 그리고, 이 배기 장치(24)를 작동시키는 것에 의해 처리용기(1)내의 가스가 배기실(11)의 공간(11a)내에 균일하게 배출되고, 배기관(23)을 거쳐서 배기된다. 이에 따라, 처리용기(1)내는 소정의 진공도, 예를 들면, 0.133Pa까지 고속으로 감압하는 것이 가능하게 되어 있다.
제 1 용기(2)의 측벽에는 웨이퍼 W의 반출 반입을 실행하기 위한 반입출구와, 이 반입출구를 개폐하는 게이트 밸브가 마련되어 있다(모두 도시하지 않음).
처리용기(1)의 상부는 개구부로 되어 있고, 이 개구부를 막도록 마이크로파 도입부(26)가 기밀하게 배치 가능하게 되어 있다. 이 마이크로파 도입부(26)는 도시하지 않은 개폐 기구에 의해 개폐 가능하게 되어 있다.
마이크로파 도입부(26)는 주요한 구성으로서, 탑재대(5)의 측부터 차례로, 덮개부(27), 투과판(28), 평면 안테나(31), 지파재(33)를 갖고 있다. 이들은 예를 들면 스테인리스강, 알루미늄, 알루미늄 합금 등의 도전성 커버(34)에 의해서 덮이고, 지지 부재(36)를 거쳐서 환상의 누름 링(35)에 의해 덮개부(27)에 고정되어 있다.
덮개부(27)는 하부 전극인 탑재대(5)의 전극(7)에 대해 대향 배치된 대향 전극이다. 마이크로파 도입부(26)가 처리용기(1)에 장착된 상태에 있어서는 처리용기(1)의 상부와, 개폐 기능을 갖는 덮개부(27)가 시일 부재(9c)에 의해 시일된 상태로 되는 동시에, 후술하는 바와 같이 투과판(28)이 덮개부(27)에 지지된 상태로 되어 있다. 또, 덮개부(27)의 바깥둘레부에는 복수의 냉각수 유로(27b)가 형성되고, 냉각수 유로(27b)를 이용하여 덮개부(27)를 냉각하는 것에 의해 플라즈마의 열에 기인하는 열팽창에 의한 접합 부위의 위치 어긋남의 발생에 의한 시일성 저하나 파티클의 발생이 방지되고 있다.
유전체판으로서의 투과판(28)은 유전체, 예를 들면, 석영이나 Al2O3, AlN, 사파이어, SiN 등의 세라믹스로 이루어지고, 마이크로파를 투과하고 처리용기(1)내의 처리공간에 도입하는 마이크로파 도입창으로서 기능한다. 투과판(28)의 하면(탑재대(5)측)은 평탄에 한정되지 않으며, 마이크로파의 반사파를 억제해서 균일화하여 플라즈마를 안정화시키기 위해, 예를 들면, 오목부나 홈을 형성해도 좋다. 환상의 덮개부(27)의 안둘레면에는 처리용기(1)의 내부공간을 향해 돌출된 돌기부(27a)가 형성되어 있고, 그 돌기부(27a)의 위에, 투과판(28)의 바깥둘레부의 하면이 시일 부재(29)를 거쳐서 기밀 상태로 지지되어 있다. 따라서, 마이크로파 도입부(26)가 처리용기(1)에 장착되었을 때에는 처리용기(1)내를 기밀하게 유지하는 것이 가능하게 된다.
평면 안테나(31)는 원판형상이다. 평면 안테나(31)는 투과판(28)의 위에 위치하고 또한 금속 등의 도전성 재료로 이루어지는 커버(34)의 바깥둘레부 하면에 걸어고정되어 있다. 이 평면 안테나(31)는, 예를 들면, 표면이 금 또는 은 도금된 동판, 알루미늄판, 니켈판 또는 놋쇠판으로 이루어진다. 평면 안테나(31)에는 마이크로파 등의 전자파를 방사하기 위한 평면 안테나(31)를 관통하는 다수의 슬롯 구멍(32)이 형성되어 있다. 각각이 2개의 슬롯 구멍(32)으로 이루어지는 다수의 슬롯 쌍이 소정의 패턴으로 배치되어 있다.
슬롯 구멍(32)은, 예를 들면, 도 3에 나타내는 바와 같이 긴 홈형상을 갖고 있다. 전형적으로는 인접하는 2개의 슬롯 구멍(32)이 「T」자형상으로 배치되어 슬롯 쌍을 이루고, 이들 복수의 슬롯 쌍이 동심원형상으로 배치되어 있다. 슬롯 구멍(32)의 길이나 배열 간격은 마이크로파의 파장(λg)에 따라 결정되며, 예를 들면 슬롯 구멍(32)의 간격은 λg/4에서 λg로 할 수 있다. 또, 도 3에 있어서는 동심원형상으로 배치된 슬롯 구멍(32)의 반경방향으로 인접하는 슬롯 구멍(32)의 간격을 △r로 나타내고 있다. 또한, 슬롯 구멍(32)은 원호형상 등의 다른 형상이여도 좋다. 또한, 슬롯 구멍(32)의 배치 형태는 특히 한정되지 않으며, 동심원형상 이외에, 예를 들면, 나선형상, 방사상으로 배치할 수도 있다.
지파재(33)는 진공보다 큰 유전율을 갖고 있으며, 평면 안테나(31)의 상면에 마련되어 있다. 이 지파재(33)는, 예를 들면, 석영, 세라믹스, 폴리데트라플루오로에틸렌 등의 불소계 수지, 또는 폴리이미드계 수지에 의해 구성할 수 있다. 진공 중에서는 마이크로파의 파장이 길어지기 때문에, 지파재(33)는 마이크로파의 파장을 짧게 해서 플라즈마를 조정하는 기능을 갖고 있다. 또, 평면 안테나(31)와 투과판(28)의 사이, 또한, 지파재(33)와 평면 안테나(31)의 사이는 각각 밀착시켜도 이간시켜도 좋지만, 밀착시키는 것이 바람직하다.
커버(34)의 내부에는 냉각수 유로(34a)가 형성되어 있고, 거기에 냉각수를 통류시키는 것에 의해, 커버(34), 지파재(33), 평면 안테나(31), 투과판(28) 및 덮개부(27)를 냉각하도록 되어 있다. 이에 따라, 이들 부재의 변형이나 파손을 방지하고, 안정된 플라즈마를 생성하는 것이 가능하다. 또, 평면 안테나(31) 및 커버(34)는 접지되어 있다.
커버(34)의 중앙에는 개구부(34b)가 형성되어 있고, 이 개구부(34b)에는 도파관(37)이 접속되어 있다. 이 도파관(37)의 단부에는 정합 회로(38)를 거쳐서 마이크로파 발생 장치(39)가 접속되어 있다. 이에 따라, 마이크로파 발생 장치(39)에서 발생한, 예를 들면, 주파수 2.45㎓의 마이크로파가 도파관(37)을 거쳐서 상기 평면 안테나(31)에 전파되도록 되어 있다. 마이크로파의 주파수로서는 8.35㎓, 1.98㎓ 등을 이용할 수도 있다.
도파관(37)은 상기 커버(34)의 개구부(34b)로부터 위쪽으로 연장하는 원통형상의 동축 도파관(37a)과, 이 동축 도파관(37a)의 상단부에 모드 변환기(40)를 거쳐서 접속된 수평방향으로 연장하는 직사각형 도파관(37b)을 갖고 있다. 직사각형 도파관(37b)과 동축 도파관(37a)의 사이의 모드 변환기(40)는 직사각형 도파관(37b)내를 TE 모드로 전파하는 마이크로파를 TEM 모드로 변환하는 기능을 갖고 있다. 동축 도파관(37a)의 중심에는 내부 도체(41)가 모드 변환기(40)로부터 평면 안테나(31)에 걸쳐 연장되어 있고, 내부 도체(41)는 그 하단부에 있어서 평면 안테나(31)의 중심에 접속 고정되어 있다. 또한, 평면 안테나(31)와 커버(34)에 의해 편평 도파로가 형성되어 있다. 이에 따라, 마이크로파는 동축 도파관(37a)의 내부 도체(41)를 거쳐서 평면 안테나(31)에 방사상으로 효율적으로 균일하게 전파된다.
탑재대(5)에 매설된 전극(7)에는 지지부(4) 내를 통과하는 급전선(42)과, 정합회로를 갖는 정합부(M.B.)(43)를 거쳐서 바이어스 인가용의 고주파 전원(44)이 접속되어 있고, 이것에 의해 웨이퍼 W에 고주파 바이어스를 인가할 수 있다. 상기와 같이, 히터 전원(6)으로부터의 전력을 히터(5a)에 공급하는 급전선(6a)에는 필터부(45)가 마련되어 있다. 그리고, 정합부(43)와 필터부(45)가 실드 박스(46)를 거쳐서 연결되어 유닛화되고, 처리용기(1)의 배기실(11)의 바닥부에 장착되어 있다. 실드 박스(46)는 예를 들면 알루미늄, 스테인리스강 등의 도전성 재료로 형성되어 있다. 실드 박스(46)내에는 급전선(42)에 접속된 동 등의 재질의 도전판(47)이 배비되어 정합부(43)내의 정합회로(도시하지 않음)에 접속되어 있다. 도전판(47)을 이용하므로 접촉 불량이 잘 일어나지 않고, 급전선(42)과의 접촉 면적을 크게 취할 수 있으며, 접속 부분에서의 전류 손실을 저감할 수 있다.
종래는 실드 박스(46)를 구비하지 않고, 정합부(43)와 급전선(42)의 사이를 외부에 노출시킨 상태에서 동축 케이블 등을 이용하여 접속하고 있었기 때문에, 해당 동축 케이블의 부분에서 고주파 전력의 손실이 발생하고 있었다. 또한, 이 경우, 고주파 전류는 탑재대(5)로부터 플라즈마 형성 공간을 거쳐서 대향 전극(이 경우에는, 예를 들면, 덮개부(27))에 전달되고, 처리용기(1)의 제 2 용기(3), 제 1 용기(2), 또한 배기실(11)의 벽을 거쳐서 고주파 전원(44)의 어스로 되돌아가는 전류 경로를 형성하지만, 동축 케이블의 길이에 비례해서 저항이 커져 버린다.
또한, 필터부(45)와 급전선(6a)을, 외부에 노출된 동축 케이블 등을 이용하여 접속한 경우에도, 마찬가지로 동축 케이블의 부분에서 전력의 손실이 발생한다. 이 부분에서 전력의 손실이 발생하면, 고주파 전원(44)으로부터 전극(7)에 공급된 고주파 전력이 대향 전극인 덮개부(27)를 향하지 않고, 전극(7)으로부터 히터(5a), 급전선(6a)을 향하는 이상한 전류 경로를 형성하며, 정규의 고주파 전류 경로(RF 리턴 회로; 후술)의 형성이 방해되며 이상 방전이 발생해 버린다.
이상으로부터, 본 실시형태의 플라즈마 산화 처리 장치(100)에서는 정합부(43)와 필터부(45)를, 실드 박스(46)를 거쳐서 연결하여 유닛화하는 것에 의해, 배기실(11)의 하부에 직접 접속하는 구성으로 하였다. 이에 따라, 고주파 전원(44)으로부터의 전력의 손실을 저감하고, 전력 소비 효율을 높일 수 있으며, 또한 설치 스페이스를 작게 할 수 있다.
마이크로파를 투과하는 투과판 근방에 있어서 플라즈마 생성 영역을 향하는 덮개부(27)의 내측 표면은 강한 플라즈마에 노출되는 것에 의해 스퍼터링되어 손모된다. 이 때문에, 도 2에 확대해서 나타낸 바와 같이, 탑재대(5)(즉, 전극)에 대해 대향 전극으로서 기능하는 덮개부(27)의 돌기부(27a)의 표면에는 보호막으로서의 Y2O3막(48)을 코팅하는 것이 바람직하다. Y2O3막(48)은 덮개부(27)의 표면을 플라즈마에 의한 산화 작용이나 스퍼터 작용으로부터 보호하고, 덮개부(27)의 구성 재료인 알루미늄 등의 금속에 유래하는 오염의 발생을 억제한다. 또한, 탑재대(5)로부터 플라즈마 처리공간을 거쳐서 대향 전극인 덮개부(27)에 흐르는 고주파 전류 경로가 효율적으로 형성되므로, 고주파 전류 경로가 안정하게 유지된다. 또한 다른 부위에 있어서의 단락이나 이상 방전을 억제할 수 있다. 또, 보호막의 재질로서는 Y2O3 이외에, 예를 들면, Al2O3, TiO2 등의 금속 산화물을 이용할 수 있다. 또, 대향 전극의 표면에 Y2O3 등의 유전체막을 형성하기 전에, 해당 대향 전극의 표면에 샌드 블라스트 처리를 실시하여 표면을 거칠게 하는 것에 의해, 막의 밀착성을 향상시킬 수 있다. 또한, 막을 형성하기 전에, Ni 등의 금속의 언더코트를 마련해도 좋다.
상기 목적을 위해, 덮개부(27)에 형성되는 Y2O3막(48)은 기공률이 작고 치밀한 것이 바람직하다. Y2O3막(48)의 기공률이 커지면 체적 저항률도 커지기 때문에, 예를 들면, 기공률이 1∼10%의 범위내인 것이 바람직하다. 바람직하게는 Y2O3막(48)의 두께는 10∼800㎛의 범위내이며, 바람직하게는 50∼500㎛의 범위이며, 더욱 바람직하게는 50∼150㎛의 범위내이다. Y2O3막(48)의 두께가 10㎛ 미만이면 충분한 보호 작용이 얻어지지 않고, 800㎛를 넘으면 막의 응력에 의해 크랙이나 벗겨짐 등이 발생하기 쉬워진다.
Y2O3막(48)은 PVD(물리 증착), CVD(화학 증착) 등의 박막 형성 기술, 혹은 용사에 의해 형성할 수 있지만, 그 중에서도 상기 기공률, 체적 저항률이 양호한 범위내에 있는 피막을 비교적 저렴하고 또한 용이하게 형성할 수 있는 용사에 의해 형성하는 것이 바람직하다. 용사로서는 프레임 용사, 아크 용사, 레이저 용사, 플라즈마 용사 등을 이용할 수 있지만, 제어성 좋게 고순도의 막을 형성하는 관점에서 플라즈마 용사가 바람직하다. 또한, 플라즈마 용사법으로서는 대기압 플라즈마 용사법, 진공 플라즈마 용사법을 들 수 있다.
또한, 본 실시형태에 관한 플라즈마 산화 처리 장치(100)에서는 처리용기(1)의 안둘레에 석영으로 이루어지는 원통형상의 전기 절연성의 라이너(liner)가 마련되어 있다. 라이너는 처리용기(1)의 상부의 주로 제 2 용기(3)의 내면을 덮는 제 1 절연판으로서의 상부 라이너(49a)와, 이 상부 라이너(49a)에 연속되어 처리용기(1)의 하부의 주로 제 1 용기(2)의 내면을 덮는 제 2 절연판으로서의 하부 라이너(49b)를 포함한다. 상부 라이너(49a) 및 하부 라이너(49b)는 금속으로 이루어지는 처리용기(1)의 벽면과 플라즈마의 접촉을 방지해서 절연되어, 처리용기(1)의 구성 재료에 의한 금속오염을 방지하는 동시에, 탑재대(5)로부터 처리용기(1)의 측벽을 향해 고주파 전력의 단락이나 이상 방전을 방지하는 역할을 한다. 탑재대(5)에 더욱 가까운 하부 라이너(49b)의 두께는 상부 라이너(49a)의 두께보다 크게 하는 것이 바람직하다. 라이너의 두께는 고주파 전류의 단락이나 이상 방전이 발생하지 않을 정도의 두께로 임피던스를 고려하여 설정되어 있다.
또한, 하부 라이너(49b)는 제 1 용기(2)의 내면 중의 전극(7)이 매설된 탑재대(5)의 높이보다 낮은 높이 범위와, 배기실(11)의 내면의 적어도 일부를 덮도록 마련되어 있다. 제 1 용기(2)의 탑재대(5)보다도 낮은 높이 위치에 있어서, 제 1 용기(2)와 탑재대(5)의 사이의 거리가 최소로 되기 때문에, 거기에서의 이상 방전을 막기 위함이다. 또, 상부 라이너(49a) 및 하부 라이너(49b)의 재질로서는 석영이 바람직하지만, A12O3, AlN, Y2O3 등의 세라믹스 등의 유전체라도 좋다. 또, 상부 라이너(49a) 및 하부 라이너(49b)는 상술한 절연성 재료를 제 1 용기(2) 및 제 2 용기(3)에 각각 코팅하는 것에 의해서 형성해도 좋다.
플라즈마 산화 처리 장치(100)의 각 구성부품은 제어부(50)에 접속되어 제어된다. 제어부(50)는 전형적으로는 컴퓨터를 갖고 있으며, 예를 들면 도 4에 나타낸 바와 같이, CPU를 구비한 프로세스 컨트롤러(51)와, 이 프로세스 컨트롤러(51)에 접속된 사용자 인터페이스(52) 및 기억부(53)를 구비하고 있다. 프로세스 컨트롤러(51)는 플라즈마 산화 처리 장치(100)에 있어서, 예를 들면 온도, 압력, 가스 유량, 마이크로파 출력, 바이어스 인가용의 고주파 전력 등의 프로세스 조건에 관계된 각 구성부품(예를 들면, 히터 전원(6), 가스 공급 장치(16), 배기 장치(24), 마이크로파 발생 장치(39), 고주파 전원(44) 등)을 통괄해서 제어하는 제어 수단이다.
사용자 인터페이스(52)는 공정 관리자가 플라즈마 산화 처리 장치(100)를 관리하기 위해 커맨드의 입력 조작을 실행하는 키보드, 플라즈마 산화 처리 장치(100)의 가동 상황을 가시화해서 표시하는 디스플레이 등을 갖고 있다. 또한, 기억부(53)에는 플라즈마 산화 처리 장치(100)에서 실행되는 각종 처리를 프로세스 컨트롤러(51)의 제어로 실현하기 위한 제어 프로그램(소프트웨어), 처리 조건 데이터가 기록된 레시피 등이 보존되어 있다.
필요에 따라, 사용자 인터페이스(52)로부터의 지시 등으로 임의의 레시피를 기억부(53)로부터 호출해서 프로세스 컨트롤러(51)에 실행시킴으로써, 프로세스 컨트롤러(51)에 의해 제어되어 플라즈마 산화 처리 장치(100)의 처리용기(1)내에서 원하는 처리가 실행된다. 또한, 상기 제어 프로그램 및 상기 레시피는 컴퓨터 판독 가능한 기억 매체, 예를 들면 CD-ROM, 하드 디스크, 플렉시블 디스크, 플래시 메모리, DVD, 블루레이 디스크 등에 저장된 상태의 것을 이용할 수 있다. 또한, 상기 레시피를 다른 장치로부터 예를 들면 전용 회선을 거쳐서 전송시켜 이용하는 것도 가능하다.
이와 같이 구성된 플라즈마 산화 처리 장치(100)에서는 예를 들면 실온(25℃ 정도) 이상 600℃ 이하의 저온에서 하지막이나 기판(웨이퍼 W) 등으로의 데미지가 없는 플라즈마 산화 처리를 실행할 수 있다. 또한, 플라즈마 산화 처리 장치(100)는 플라즈마의 균일성이 우수하기 때문에, 대구경의 웨이퍼 W(피처리체)에 대해서도 프로세스의 균일성을 실현할 수 있다.
다음에, 플라즈마 산화 처리 장치(100)의 동작에 대해 설명한다. 우선, 웨이퍼 W를 처리용기(1)내에 반입하고, 탑재대(5)상에 탑재한다. 그리고, 가스 공급 장치(16)로부터, 처리 가스로서, 예를 들면 Ar, Kr, He 등의 희가스, 예를 들면, O2, N2O, NO, NO2, CO2 등의 산화 가스를 소정의 유량으로 가스 도입구(15a)를 거쳐서 처리용기(1)내에 도입한다. 또, 필요에 따라 H2를 첨가해도 좋다.
다음에, 마이크로파 발생 장치(39)로부터의 마이크로파를, 정합 회로(38)를 경유해서 도파관(37)으로 보내고, 직사각형 도파관(37b), 모드 변환기(40), 및 동축 도파관(37a)을 순차 통과시켜 내부 도체(41)를 거쳐서 평면 안테나(31)에 공급하고, 평면 안테나(31)의 슬롯 구멍(32)으로부터 투과판(28)을 거쳐서 처리용기(1)내에 방사시킨다.
마이크로파는 직사각형 도파관(37b)내에서는 TE 모드로 전파하고, 이 TE 모드의 마이크로파는 모드 변환기(40)에서 TEM 모드로 변환되어, 동축 도파관(37a)내를 평면 안테나(31)를 향해 전파되어 간다. 평면 안테나(31)로부터 투과판(28)을 경유해서 처리용기(1)에 방사된 마이크로파에 의해 처리용기(1)내에서 전자계가 형성되고, 처리 가스가 플라즈마화한다.
마이크로파가 평면 안테나(31)의 다수의 슬롯 구멍(32)으로부터 방사되기 때문에, 대략 1×1010∼5×1012/㎤의 고밀도의 플라즈마를 얻을 수 있고 또한 웨이퍼 W 근방에 있어서의 전자 온도가 대략 1.5eV 이하로 낮은 저전자온도 플라즈마를 얻을 수 있다. 따라서, 이 플라즈마를 웨이퍼 W에 대해 작용시키는 것에 의해, 플라즈마 데미지를 억제한 처리가 가능하게 된다.
또한, 본 실시형태에서는 플라즈마 처리를 실행하고 있는 동안, 고주파 전원(44)으로부터 소정의 주파수로 고주파 전력을 탑재대(5)의 전극(7)에 공급한다. 고주파 전원(44)으로부터 공급되는 고주파 전력의 주파수는, 예를 들면, 100㎑ 이상 60㎒ 이하의 범위내가 바람직하고, 400㎑ 이상 13.5㎒ 이하의 범위내가 더욱 바람직하다. 고주파 전력은 웨이퍼 W의 면적당 파워 밀도로서, 예를 들면, 0.2W/㎠ 이상 2.3W/㎠ 이하의 범위내에서 공급하는 것이 바람직하고, 0.35W/㎠ 이상 1.2W/㎠ 이하의 범위내에서 공급하는 것이 더욱 바람직하다. 또한, 고주파의 파워는 200W 이상 2000W 이하의 범위내가 바람직하고, 300W 이상 1200W 이하의 범위내가 더욱 바람직하다. 탑재대(5)의 전극(7)에 공급된 고주파 전력은 플라즈마의 낮은 전자 온도를 유지하면서, 플라즈마 중의 이온종을 웨이퍼 인입하는 작용을 갖고 있다. 따라서, 전극(7)에 고주파 전력을 공급하여, 웨이퍼 W에 바이어스를 인가하는 것에 의해, 플라즈마 산화 처리의 레이트를 빠르게 하고, 또한 웨이퍼면내에 있어서의 처리의 균일성을 높일 수 있다.
이 경우, 도 5에 화살표로 나타낸 바와 같이, 본 발명의 리턴 회로 구성에 의해 고주파 전원(44)으로부터, 유닛화된 고주파 전력의 도입부(정합부(43) 및 실드 박스(46)내의 도전판(47))와 급전선(42)을 거쳐서, 전력손실이 적은 상태에서 탑재대(5)의 전극(7)에 효율적으로 고주파 전력이 공급된다. 전극(7)에 공급된 고주파 전력은 탑재대(5)로부터 플라즈마 형성 공간을 거쳐서 대향 전극으로서의 덮개부(27)에 전달되고, 처리용기(1)의 제 2 용기(3), 제 1 용기(2), 또한 배기실(11)의 벽을 거쳐서 고주파 전원(44)의 어스에 전달되는 고주파 전류 경로(RF 리턴 회로)를 형성한다. 이 RF 리턴 회로의 등가 회로는 도 6과 같이 나타낼 수 있다. 본 실시형태에서는 대향 전극인 덮개부(27)의 플라즈마의 생성 영역을 향하는 부위에 얇은 Y2O3막(48)이 마련되어 있으므로, 탑재대(5)로부터 플라즈마 처리공간을 두고 대향 전극인 덮개부(27)에 흐르는 적정한 고주파 전류 경로의 형성이 방해받는 일이 적다. 또한, Y2O3막(48)에 인접해서 제 2 용기(3) 및 제 1 용기(2)의 내면에는 상부 라이너(49a) 및 이보다도 두툼한 하부 라이너(49b)가 마련되어 있으므로, 이들 부위에의 단락이나 이상 방전을 확실하게 억제할 수 있다.
또한, Y2O3막(48)은 챠지 업에 의한 스퍼터나 이상 방전이 잘 발생하지 않고, 내구성이 우수하며, 알루미늄 등의 금속 오염의 발생을 장기간 억제할 수 있다. 즉, Y2O3막(48)에 의해서, 이상 방전을 억제할 수 있는 동시에, 금속 오염을 방지할 수 있다.
또한, 본 실시형태에서는 상기와 같이, 제 2 용기(3)와 제 1 용기(2)를 접합한 상태에서, 시일 부재(9b)가 배치되어 있는 측에서는 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)이 접촉하지만, 시일 부재(9a)가 배치되어 있는 측에서는 단부(19)의 비돌출면(3c)과 단부(18)의 돌출면(2b)이 비접촉상태로 되고, 작은 간극 S가 형성되어 있다. 본 실시형태에 나타나는 바와 같은 단부(18)와 단부(19)를 조합하는 것에 의해 제 1 용기(2)와 제 3 용기를 결합하는 경우, 가공 치수 정밀도의 제약으로부터 모든 대향면끼리를 완전히 밀접시키는 것은 곤란하기 때문에, 양 단부(18, 19) 중의 어느 한쪽의 단차를 크게 하여, 단부(18) 및 단부(19)를 형성하는 2조의 돌출면과 비돌출면의 어느 한쪽만을 접촉하게 하는 것이 필요하다.탑재대(5)에 바이어스용의 고주파 전력을 공급하지 않는 종래의 처리용기의 구조에서는 주로 환상 통로(13)보다도 외측(환상 통로(13)의 바깥둘레)에 위치하는 시일 부재(9a)에 의해서 처리용기(1)내의 기밀성을 확보하기 위해, 시일 부재(9a)가 배치되어 있는 측에서 단부(18)의 돌출면(2b)과 단부(19)의 비돌출면(3c)을 밀착시키고, 시일 부재(9b)가 배치되어 있는 측에서는 단부(18)의 비돌출면(2a)과 단부(19)의 돌출면(3b)을 비접촉 상태로 해서 이 부분에 간극을 형성시키고 있었다. 이 경우는 내측의 시일 부재(9b)는 주로 처리용기(1) 내부와 환상 통로(13)의 사이의 가스 시일 기능을 갖고 있었다.
그러나, 탑재대(5)의 전극(7)에 바이어스용의 고주파 전력을 공급하는 플라즈마 산화 처리 장치(100)에서는 상기와 같이, 전극(7)에 공급된 고주파 전력은 탑재대(5)로부터 플라즈마 형성 공간을 거쳐서 대향 전극으로서의 덮개부(27)에 전달되고, 처리용기(1)의 제 2 용기(3) 및 제 1 용기(2), 또한 배기실(11)의 벽을 거쳐서 고주파 전원(44)의 어스에 전달되는 고주파 전류 경로(RF 리턴 회로)를 형성한다. 이 때, 고주파 전류는 제 2 용기(3) 및 제 1 용기(2)의 내벽을 따라 표면 전류로서 전달되기 때문에, 제 2 용기(3) 및 제 1 용기(2)의 내면측에 간극이 존재하면, 거기서 전류가 차단되고, 고주파 전류 경로가 복잡해지는 동시에 거리도 길어지고, 예를 들면, 단부(18)나 단부(19)의 코너부 등에서 이상 방전을 야기하여 적정한 고주파 전류 경로의 형성이 방해되는 경우가 있다. 이 때문에, 본 실시형태에서는 시일 부재(9b)가 배치되어 있는 측에서는 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)을 밀착시켜, 처리용기(1)의 내면 즉 제 2 용기(3) 및 제 1 용기(2)의 내벽을 따라 고주파 전류가 원활하게 흐르도록 구성되어 있다. 이 경우, 단부(19)의 돌출면(3b)과 단부(18)의 비돌출면(2a)의 접촉 면적이 작게 되어 있고, 이에 따라 접촉압이 커져 도통의 안정화가 도모되고 있다.
이상과 같이, 본 실시형태에 관한 플라즈마 산화 처리 장치(100)에서는 웨이퍼 W를 탑재하는 탑재대(5)의 전극(7)에 공급된 바이어스용의 고주파 전력의 경로를 적정화해서 전력 소비 효율을 향상시키고, 이상 방전을 방지해서 프로세스의 효율화를 도모할 수 있다.
다음에, 표면에 Y2O3막(48)이 형성된 알루미늄제의 덮개부(27)가 마련된 장치를 이용하여 플라즈마 산화 처리를 실행한 경우와, Y2O3막(48)이 형성되어 있지 않은 알루미늄제의 종래의 덮개부가 마련된 장치를 이용하여 플라즈마 산화 처리를 실행한 경우에 대해, (1) 알루미늄 오염 (2) 웨이퍼 W 표면의 실리콘의 산화 레이트 및 그 웨이퍼면내에서의 균일성의 고주파 파워 의존성에 대해 비교를 실행하였다. 또, Y2O3막(48)은 대기 플라즈마 용사법에 의해, 막두께가 80㎛로 되도록 형성하고, 이 Y2O3막(48)은 순도 99%, 체적 저항값 3.6×1010Ω㎠, 기공률이 약 10%이었다. Y2O3막(48)을 코팅하는 것에 의해, Y2O3막 없음의 알루미늄제 덮개부를 사용한 종래의 장치에 비해, 알루미늄 오염이 저감하는 동시에, 산화 처리의 면내 균일성을 개선할 수 있는 것을 확인할 수 있었다.
또, 본 발명은 상기 실시형태에 한정되지 않고, 각종 변형이 가능하다. 예를 들면, 상기 실시형태에서는 플라즈마에 노출되는 부재로서의 덮개부(27)의 본체로서 알루미늄을 이용했지만, 스테인리스강 등의 다른 금속을 이용한 경우에도 마찬가지의 효과를 얻을 수 있다. 또한, 탑재대(5)의 전극(7)에 고주파 전력을 공급하는 프로세스이면, 플라즈마 산화 처리에 한정되는 것은 아니고, 예를 들면 플라즈마 질화 처리, 플라즈마 에칭 처리 등의 각종 플라즈마 처리를 대상으로 할 수 있다. 또한, 피처리체에 대해서도 반도체 웨이퍼에 한정되지 않고, FPD용 유리 기판 등의 다른 기판을 대상으로 할 수 있다.

Claims (10)

  1. 상부가 개구된 처리용기와,
    상기 처리용기내에 처리 가스를 공급하는 가스 공급 기구와,
    상기 처리용기내를 감압 배기하는 배기 기구와,
    상기 처리용기내에서 피처리체를 탑재하는 탑재대와,
    상기 탑재대에 매설되고, 피처리체에 바이어스를 인가하기 위한 제 1 전극과,
    적어도 그 일부가 상기 처리용기내의 플라즈마의 생성 영역을 향하도록 배치되고, 상기 제 1 전극에 대해 플라즈마 처리공간을 두고 마련된 도전성 부재로 이루어지는 제 2 전극과,
    상기 제 2 전극에 지지되어 상기 처리용기의 상기 개구를 막는 동시에 마이크로파를 투과하는 유전체판과,
    상기 유전체판의 위쪽에 마련되고, 도파관을 거쳐서 마이크로파 발생 장치에 접속되어 상기 처리용기내에 마이크로파를 도입하는 평면 안테나를 구비한 플라즈마 처리 장치로서,
    상기 제 2 전극의 표면 중의 상기 플라즈마의 생성 영역을 향하는 부분에 금속 산화물로 이루어지는 보호막을 마련하는 동시에, 상기 처리용기의 상부의 내벽면을 제 1 절연성 라이너로 덮는 동시에, 상기 처리용기의 하부의 내벽면을 상기 제 1 절연성 라이너에 연속하는 제 2 절연성 라이너로 덮고,
    상기 제 2 절연성 라이너의 두께는 상기 제 1 절연성 라이너의 두께보다 큰 것을 특징으로 하는 플라즈마 처리 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 제 2 절연성 라이너는 상기 처리용기의 내벽면 중의 상기 제 1 전극이 매설된 상기 탑재대의 높이보다 낮은 영역의 적어도 일부를 덮고 있는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 제 2 절연성 라이너는 상기 처리용기의 바닥부에 접속된 배기실에 도달하는 높이 위치까지 연장되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1 항, 제 3 항, 제 4 항 중 어느 한 항에 있어서,
    상기 처리용기는 상기 처리용기의 하측 부분을 이루는 제 1 부분과, 상기 제 1 부분의 상단면에 접합되어 상기 처리용기의 상측 부분을 이루는 제 2 부분을 갖고, 상기 제 1 부분과 상기 제 2 부분의 사이에는 상기 가스 공급 기구로부터 상기 처리용기내에 공급되는 상기 처리 가스를 흘리기 위한 가스 통로가 형성되어 있고, 상기 가스 통로를 사이에 두고 그 양측에는 제 1 시일 부재와 제 2 시일 부재가 마련되어 있는 동시에, 상기 처리용기의 내부에 가까운 측의 상기 제 1 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분이 접촉하고 있고, 상기 처리용기의 외부에 가까운 측의 상기 제 2 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분의 사이에 간극이 형성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 가스 통로는 상기 제 1 부분의 상단면과 상기 제 2 부분의 하단면에 각각 마련된 단차에 의해서 형성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제 1 항에 있어서,
    상기 보호막이 산화이트륨 산화물에 의해 구성되는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 1 항에 있어서,
    상기 유전체판, 상기 제 1 절연성 라이너 및 상기 제 2 절연성 라이너가 석영으로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 상부가 개구된 처리용기와,
    상기 처리용기내에 처리 가스를 공급하는 가스 공급 기구와,
    상기 처리용기내를 감압 배기하는 배기 기구와,
    상기 처리용기내에서 피처리체를 탑재하는 탑재대와,
    상기 탑재대에 매설되고, 피처리체에 바이어스를 인가하기 위한 제 1 전극과,
    적어도 그 일부가 상기 처리용기내의 플라즈마의 생성 영역을 향하도록 배치되고, 상기 제 1 전극에 대해 플라즈마 처리공간을 두고 마련된 도전성 부재로 이루어지는 제 2 전극과,
    상기 제 2 전극에 지지되어 상기 처리용기의 상기 개구를 막는 동시에 마이크로파를 투과하는 유전체판과,
    상기 유전체판의 위쪽에 마련되고, 도파관을 거쳐서 마이크로파 발생 장치에 접속되어 상기 처리용기내에 마이크로파를 도입하는 평면 안테나
    를 구비하고,
    상기 처리용기는 상기 처리용기의 하측 부분을 이루는 제 1 부분과, 상기 제 1 부분의 상단면에 접합되어 상기 처리용기의 상측 부분을 이루는 제 2 부분을 갖고, 상기 제 1 부분과 상기 제 2 부분의 사이에는 상기 가스 공급 기구로부터 상기 처리용기내에 공급되는 상기 처리 가스를 흘리기 위한 가스 통로가 형성되어 있고, 상기 가스 통로를 사이에 두고 그 양측에는 제 1 시일 부재와 제 2 시일 부재가 마련되어 있는 동시에, 상기 처리용기의 내부에 가까운 측의 상기 제 1 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분이 접촉하고 있고, 상기 처리용기의 외부에 가까운 측의 상기 제 2 시일 부재가 배치된 부위에서는 상기 제 1 부분과 상기 제 2 부분의 사이에 간극이 형성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제 9 항에 있어서,
    상기 가스 통로는 상기 제 1 부분의 상단면과 상기 제 2 부분의 하단면에 각각 마련된 단차에 의해서 형성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
KR1020117007322A 2008-09-30 2009-09-29 플라즈마 처리 장치 KR101258005B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2008-253929 2008-09-30
JP2008253929A JP5357486B2 (ja) 2008-09-30 2008-09-30 プラズマ処理装置
PCT/JP2009/066925 WO2010038729A1 (ja) 2008-09-30 2009-09-29 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20110055706A KR20110055706A (ko) 2011-05-25
KR101258005B1 true KR101258005B1 (ko) 2013-04-24

Family

ID=42073490

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007322A KR101258005B1 (ko) 2008-09-30 2009-09-29 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US8882962B2 (ko)
JP (1) JP5357486B2 (ko)
KR (1) KR101258005B1 (ko)
CN (1) CN102165567A (ko)
WO (1) WO2010038729A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
JP5835985B2 (ja) * 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8564199B2 (en) * 2011-06-17 2013-10-22 Shenzhen China Star Optoelectronics Technology Co., Ltd. Atmospheric plasma apparatus and manufacturing method thereof
JP5368514B2 (ja) * 2011-06-30 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置
CN103165368B (zh) * 2011-12-16 2016-02-03 中微半导体设备(上海)有限公司 一种温度可调的等离子体约束装置
JP6010406B2 (ja) * 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
CN104241182B (zh) * 2013-06-08 2017-07-25 中微半导体设备(上海)有限公司 静电吸盘的制造方法,静电吸盘及等离子体处理装置
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
JP6435090B2 (ja) * 2013-10-03 2018-12-05 東京エレクトロン株式会社 プラズマ処理装置
KR102240923B1 (ko) * 2014-06-30 2021-04-15 세메스 주식회사 기판 처리 장치
US10115573B2 (en) * 2014-10-14 2018-10-30 Applied Materials, Inc. Apparatus for high compressive stress film deposition to improve kit life
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
JP6573820B2 (ja) * 2015-11-09 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置用部材及びプラズマ処理装置
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102024137B1 (ko) * 2017-09-20 2019-09-23 주식회사 조인솔루션 스퍼터용 석영 히터 및 이를 구비한 스퍼터링 장치
JP6890084B2 (ja) * 2017-11-29 2021-06-18 株式会社東芝 高電圧電位プラズマ生成装置およびイオン源
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7182916B2 (ja) * 2018-06-26 2022-12-05 東京エレクトロン株式会社 プラズマ処理装置
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070058695A (ko) * 2004-10-05 2007-06-08 동경 엘렉트론 주식회사 플라즈마 성막방법 및 플라즈마 성막장치
KR20080079339A (ko) * 2004-03-03 2008-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
JP3208995B2 (ja) * 1994-06-13 2001-09-17 株式会社日立製作所 プラズマ処理方法及び装置
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JPH09120957A (ja) * 1995-08-23 1997-05-06 Fujitsu Ltd プラズマ装置及びプラズマ処理方法
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6528752B1 (en) * 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
JP4437350B2 (ja) * 1999-11-22 2010-03-24 東京エレクトロン株式会社 反応容器及びそれを備えるプラズマ処理装置
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4147017B2 (ja) * 2001-10-19 2008-09-10 東京エレクトロン株式会社 マイクロ波プラズマ基板処理装置
KR100872260B1 (ko) * 2004-02-16 2008-12-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법
JP4430560B2 (ja) 2004-02-16 2010-03-10 東京エレクトロン株式会社 プラズマ処理装置
US7897009B2 (en) * 2004-12-17 2011-03-01 Tokyo Electron Limited Plasma processing apparatus
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
JP4889326B2 (ja) * 2006-03-13 2012-03-07 東京エレクトロン株式会社 処理装置および蓋体の開閉機構

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080079339A (ko) * 2004-03-03 2008-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20070058695A (ko) * 2004-10-05 2007-06-08 동경 엘렉트론 주식회사 플라즈마 성막방법 및 플라즈마 성막장치

Also Published As

Publication number Publication date
CN102165567A (zh) 2011-08-24
KR20110055706A (ko) 2011-05-25
JP5357486B2 (ja) 2013-12-04
JP2010087184A (ja) 2010-04-15
WO2010038729A1 (ja) 2010-04-08
US20110174441A1 (en) 2011-07-21
US8882962B2 (en) 2014-11-11

Similar Documents

Publication Publication Date Title
KR101258005B1 (ko) 플라즈마 처리 장치
KR101317018B1 (ko) 플라즈마 처리 장치
KR101256120B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100927913B1 (ko) 기판 탑재 기구 및 기판 처리 장치
US8980048B2 (en) Plasma etching apparatus
US7897009B2 (en) Plasma processing apparatus
TWI390605B (zh) Processing device
WO2010032750A1 (ja) 基板処理装置および基板載置台
JP2006244891A (ja) マイクロ波プラズマ処理装置
JP2007018771A (ja) プラズマ処理装置及びプラズマ処理方法
JP5096047B2 (ja) マイクロ波プラズマ処理装置およびマイクロ波透過板
JP2013045551A (ja) プラズマ処理装置、マイクロ波導入装置及びプラズマ処理方法
US20120252226A1 (en) Plasma processing method
JP5479013B2 (ja) プラズマ処理装置及びこれに用いる遅波板
JP5090299B2 (ja) プラズマ処理装置および基板載置台
JP3761474B2 (ja) プラズマ処理装置
JP5728565B2 (ja) プラズマ処理装置及びこれに用いる遅波板
JP5249689B2 (ja) プラズマ処理装置および基板載置台
JP2013033979A (ja) マイクロ波プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170322

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 7