KR101187061B1 - Ic 제조 동안 다중층 오버레이 측정 및 교정 기법 - Google Patents

Ic 제조 동안 다중층 오버레이 측정 및 교정 기법 Download PDF

Info

Publication number
KR101187061B1
KR101187061B1 KR1020067017587A KR20067017587A KR101187061B1 KR 101187061 B1 KR101187061 B1 KR 101187061B1 KR 1020067017587 A KR1020067017587 A KR 1020067017587A KR 20067017587 A KR20067017587 A KR 20067017587A KR 101187061 B1 KR101187061 B1 KR 101187061B1
Authority
KR
South Korea
Prior art keywords
overlay
wafer
layers
target
calibration
Prior art date
Application number
KR1020067017587A
Other languages
English (en)
Other versions
KR20060129421A (ko
Inventor
코이 에이. 판
바라쓰 랑가라잔
반와르 싱흐
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20060129421A publication Critical patent/KR20060129421A/ko
Application granted granted Critical
Publication of KR101187061B1 publication Critical patent/KR101187061B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

웨이퍼(402)의 다중층들 사이의 오버레이 측정 및 교정을 용이하게 하는 시스템이 개시된다. 상기 시스템은 웨이퍼(402)의 3개 이상의 층들 사이의 오버레이를 대표하는 오버레이 타겟(406), 및 상기 오버레이 타겟(406)에 존재하는 오버레이를 결정하며, 이에 따라 상기 웨이퍼(402)의 3개 이상의 층들 사이의 오버레이 에러를 결정하는 측정 수단(408)를 포함한다. 제어 수단(410)가 인접 층들과 비-인접 층들 사이의 오버레이 에러를 교정하는데 제공될 수 있는데, 여기서 상기 교졍은 적어도 부분적으로 상기 측정 수단(408)에 의해 획득된 측정들에 기초한다.
Figure R1020067017587
반도체 웨이퍼, 다중층 구조, 오버레이 에러.

Description

IC 제조 동안 다중층 오버레이 측정 및 교정 기법{MULTI-LAYER OVERLAY MEASUREMENT AND CORRECTION TECHNIQUE FOR IC MANUFACTURING}
본 발명은 일반적으로 반도체 제조 공정의 모니터링 및 교정에 관한 것이다. 보다 구체적으로, 본 발명은 집적회로 상의 다중층들의 오버레이 측정 및 교정을 위한 시스템 및/또는 방법에 관한 것이다.
반도체 산업에서, 높은 디바이스 밀도들에 대한 계속적인 추세가 있다. 이러한 높은 밀도들을 달성하기 위해, 반도체 웨이퍼들상의 (예를 들어, 서브미크론 레벨에서) 디바이스 치수들의 스케일 축소에 대한 노력들이 계속되어왔다. 이러한 높은 디바이스 패킹 밀도들을 달성하기 위해, 통상적으로 다이들로서 알려진 웨이퍼의 작은 직사각형 부분들상에 제조된 집적회로들(ICs)에서 더욱 작은 피쳐(feature) 크기들이 요구된다. 이는 배선 라인들의 폭 및 간격(spacing), 접촉 홀들의 폭 및 간격, 다양한 피쳐들의 코너들 및 가장자리들과 같은 표면 기하구조뿐만 아니라 다른 피쳐들의 표면 기하구조를 포함할 수 있다. 디바이스 치수들의 스케일을 축소하기 위해, 제조 공정들에 대한 더욱 정밀한 제어가 요구된다. 피쳐들의 치수들 및 피쳐들 사이의 치수들은 임계 치수들(CDs)로 불려질 수 있다. CD들의 감소 및 보다 정확한 CD들의 재생은 디바이스 치수들의 스케일 축소를 통한 보 다 높은 디바이스 밀도들 및 패킹 밀도들의 증가를 용이하게 한다.
반도체들 또는 IC들의 제조는 전형적으로 매우 많은 프로세스들(예를 들어, 노광, 베이킹(baking), 현상)을 포함하는데, 이러한 제조 동안에 집적회로의 수백 개의 복제들이 하나의 웨이퍼상에, 보다 구체적으로 웨이퍼의 각 다이(die) 상에 형성될 수 있다. 이러한 많은 단계들에서, 물질은 특정 위치들에서 기존 층들로부터 제거되거나 오버레이(overlay)되어 원하는 회로 구조들 및 요소들을 형성한다. 일반적으로, 제조 공정은 결과적으로 완성된 집적회로를 형성하는 기판상에 그리고 기판 내에 여러 패턴화된 층들을 생성하는 것을 포함한다. 이러한 레이어링(layering) 공정은 반도체 웨이퍼 표면내에 그리고 표면상에 전기적 활성 영역들을 생성한다. 층-대-층 정렬 및 이러한 전기적 활성 영역들의 고립은 웨이퍼상에 형성된 구조들의 정밀도에 영향을 미칠 수 있다. 만일 층들이 수락가능한 공차들 내에서 정렬되지 않는 경우에, 오버레이 에러들은 전기적 활성 영역들의 성능과 타협할 수 있으며, 이는 칩 신뢰성에 불리하게 작용한다.
전통적인 오버레이 계측 시스템들 및/또는 방법들은 2개의 층들이 수락가능한 공차들 내에 놓여있는지의 결정을 용이하게 한다. 오정렬(misalignment)은 스테퍼 스테이지 변화들, 렌즈 변화들, 레지스트 인가(resist application), 현상 변화들, 웨이퍼 불균일성들 등과 같은 리소그래피 공정에서의 변화들에 의해 야기될 수 있다. 오버레이 계측 툴을 통해 획득된 측정들은 에러 크기를 정량화함과 아울러 프로세스들이 조정됨에 따라 이러한 에러 크기가 감소됨을 검증하는데 이용된다.
IC들의 제조에 관한 오버레이 계측의 2개의 주요 기능들은 리소그래피 정렬 과정(들)의 성능의 모니터링 및 리소그래피 공정의 셋업에서의 보조이다. 예를 들어, 오버레이 계측은 웨이퍼 로드(lot)의 오버레이 성능을 평가하기 위해 샘플 웨이퍼에서 이용될 수 있다. 게다가, 오버레이 측정들은 동작 이전에 스테퍼 시스템을 최적으로 구성하는데 이용될 수 있으며, 이후에 주기적 오버레이 추정을 통해 최적화된 스테퍼 성능을 유지하는데 이용될 수 있다.
오버레이 에러들은 전형적으로 인접 층들 간에서만 측정되고 분석된다. 도면들에서, 도 1은 오버레이 에러를 측정하는 종래기술 방법(100)을 예시한다. 제 1 층이 웨이퍼에 추가되는 때에, 오버레이 타겟(104)의 일부로서 이용되는 정사각형 층(102)이 웨이퍼상의 다양한 위치들에서 IC 설계 영역들 밖에서 생성된다. 제 2 층이 웨이퍼에 추가되는 때에, IC의 제 2 층에 대응하는 오버레이 타겟(104)의 일부로서 이용되는 보다 작은 제 2 정사각형 층(106)이 오버레이 타겟(104)의 제 1 부분(102)의 정상에(atop) 위치되며, 마찬가지로 웨이퍼의 제 2 층에 대응하는 제 2 오버레이 타겟(110)의 일부로서 이용되는 보다 큰 층(108)이 생성된다(예를 들어, 점선들은 웨이퍼의 동일한 층을 대표하는 오버레이 타겟들의 층들을 표시한다). 웨이퍼의 제 3 층이 웨이퍼의 제 2 층의 정상에서 생성되는 때에, 제 2 오버레이 타겟(110)의 일부로서 이용되는 보다 작은 층(112)이 오버레이 타겟(110)의 보다 큰 제 2 층(108)상에 위치된다. 또한, 오버레이 타겟(116)의 일부로서 이용되는 보다 큰 제 3 층(114)이 생성된다. 공정은 사전-결정된 량의 층들이 생성될 때까지 계속된다. 따라서, 층들(102,106,108,112 및 114)은 제 1 층과 제 2 층, 그리고 제 2 층과 제 3 층들 각각 사이의 오버레이 에러(예를 들어, 오버레이 타겟들의 중심점들 간의 거리 및 이러한 타겟들 간의 회전 차이(rotational difference)) 측정을 가능하게 한다. 만일 인접 층들간의 오버레이 에러가 소정의 임계 공차 이하인 경우에, 층들을 생성하는 공정(들)은 만족스러운 것으로 여겨진다.
그러나, 다중층들을 갖는 IC들에서, 다중층들 간의 반복되는 오버레이 에러(들)(매우 작은 에러(들))은 완성된 IC의 타협된 성능을 발생시킬 수 있다. 도 2를 참조하면, 2개의 오버레이 타겟들 간에 발생할 수 있는 수락가능한 오버레이 에러(200)가 예시된다. 오버레이 타겟(202)은 IC의 제 1 층에 대응하며, 오버레이 타겟(204)은 IC의 제 2 층에 대응하는데, 여기서 오버레이 타겟(202)은 원하는 폭(d1)을 가지며, 오버레이 타겟(204)은 원하는 폭(d2)을 갖는다. 점선들(206 및 208)에 의해 도시되는 바와같이, 공정에서의 변화는 오버레이 타겟(202)이 d3만큼 작은 폭을 가짐과 아울러 오버레이 타겟(204)이 d4만큼 작은 폭을 가지게 함으로써 설명된다. 전통적인 오버레이 계측 방법들에서, 점선들(206 및 208)의 교차는 오버레이 타겟들(202 및 204)에 대응하는 2개의 층들간의 수락불가능한 오버레이를 표시한다. 거리(d5)는 오버레이 타겟들(202 및 204)에 의해 대표되는 층들간의 오버레이 에러량(예를 들어, 오버레이 타겟들(202 및 204)의 중심점들간의 거리)을 표시한다. 게다가, 이러한 오버레이 타겟들(202 및 204)간의 회전(α)이 소정의 임계치 이하인 경우에 또한 수락가능하다. 만일 오버레이 타겟들(202 및 204)이 오버레이 타겟들(202 및 204)에 대응하는 2개의 층들간의 수락불가능한 량의 오버레이 에러 및/또는 회전을 표시하는 경우에, 이러한 2개의 층들에 관한 교정이 이루어질 수 있다.
도 3을 참조하면, 웨이퍼(300)의 복수의 IC 디바이스들 및/또는 층들이 예시되는데, 여기서, 도 2에서 예시된 오버레이 에러는 이러한 복수의 IC 디바이스들 및/또는 층들 도처에서 반복된다. 예를 들어, 오버레이 에러(200)(도 2)는 IC 디바이스(302)와 IC 디바이스(304) 간에 존재하는데, 여기서 IC 디바이스(302 및 304)는 인접 층들 내에 위치된다. 거의 유사한 오버에이 에러가 IC 디바이스들(304 및 306, 306 및 308, 308 및 310, 310 및 312) 간에 존재한다. 만일 이러한 오버레이 에러(200)를 야기하는 제조 디바이스(들) 및 공정(들)이 이러한 오버레이 에러들을 완화시키도록 변형되지 않는 경우에, 비-인접 층들에서의 비-인접 디바이스들 사이에 합산된 불일치가 크게 된다. 예를 들어, 디바이스(312)는 크게 회전되어 디바이스(302)로부터 복수의 층들을 통해 이동(displacement)하지만, 이러한 디바이스들(302 및 312)은 이상적으로 거의 동일한 중심을 가질 것이다. 이러한 층들 및/또는 디바이스들간의 실질적인 회전 및 이동은 IC 성능과 타협할 수 있다. 따라서, 바람직하게, IC 다중층들을 분석함과 아울러 이러한 층들간의 오버레이 에러를 교정하기 위한 더욱 견고한 오버레이 계측 시스템 및/또는 방법이 상술된 전통적인 시스템들 및/또는 방법들의 결함을 완화할 것이다.
하기 내용은 본 발명의 여러 양상들에 대한 기본적인 이해를 제공하기 위해 본 발명의 간략화된 요약을 제시한다. 이러한 요약은 본 발명의 포괄적인 개관이 아니다. 또한, 본 발명의 핵심 요소들 또는 중요 요소들을 식별하거나, 본 발명의 범주를 기술하고자 함이 아니다. 유일한 목적은 이후에 제시되는 더욱 상세한 설명에 대한 서막으로서 간략화된 형태로 본 발명의 여러 사상들을 제공하는 것이다.
본 발명은 웨이퍼상의 집적회로의 다중층들 간의 오버레이 에러 측정 및 교정을 용이하게 함으로써, 복수의 층들에 대한 반복적인 오버레이 에러 발생들을 완화하는 것이다. 더욱이, 본 발명은 웨이퍼 내의 다중층들 간의 오버레이와 관련된 설계 사양들을 허용한다. 다중층들 간의 오버레이를 대표하는 오버레이 타겟(들)이 이러한 층들간의 오버레이 측정을 가능하게 하기 위해 제공된다. 오버레이 타겟들은 박스-인(in)-박스 구조, 프레임-인-프레임 구조, 세그먼트화된 프레임 구조, 격자 구조 오버레이 타겟들 또는 다른 적합한 주기적 구조 오버레이 마크들, 또는 웨이퍼의 2개 이상의 층들간의 오버레이 제시를 가능하게 하는 임의의 다른 적합한 구조가 될 수 있다. 오버레이 타겟(들)은 웨이퍼상의 인접 층들과 비-인접 층들간의 오버레이 에러의 존재량을 결정하기 위해 분석될 수 있다. 예를 들어, 광학 현미경(optical microscopy), 산란 측정기(scatterometry), 주사 전자 현미경(scan electron microscopy), 및 퓨리에 변환 적외선 산란 측정기가 다중층들간의 오버레이 에러 측정과 관련하여 이용될 수 있다. 더욱이, 주기적 구조 오버레이 마크들을 이용하는 때에, 오버레이 에러는 이러한 오버레이 마크들의 피치 결정을 통해 계산될 수 있는데, 이는 사용자-정의되거나 실시간으로 측정될 수 있다.
다중층들간의 오버레이 에러와 관련된 측정들은 이러한 오버레이 에러를 교정하는데 이용될 수 있다. 가령, 제어 시스템이 제공될 수 있는데, 이러한 제어 시스템은 오버레이 에러 측정들을 피드백 및/또는 피드-포워드 데이터로서 이용할 수 있으며, 이에 따라 제조 공정과 관련하여 이용되는 제조 구성요소(들)의 제어를 통해 웨이퍼의 다중층들간의 오버레이 에러의 교정을 용이하게 한다. 예를 들어, 공정 챔버 내의 온도가 다중층들 내의 설계 사양들에 대한 오버레이 에러의 교정을 용이하도록 조작될 수 있다.
본 발명의 다른 양상에 따르면, 특정 치수에서의 오버레이의 중요성이 결정될 수 있으며, 보다 많은 교정이 이러한 치수에 제공될 수 있다. 가령, 다중층들간의 오버레이는 특정 집적회로(IC) 설계의 경우에 특정 치수에서 더욱 적절하게 될 수 있으며, 본 발명은 이에 따라 교정성 자원들을 제공할 수 있다. 보다 구체적으로, 만일 다중층들간의 오버레이가 제 2 치수와 비교할 때 제 1 치수에서 더욱 중요한 경우에, 보다 많은 교정이 제 1 치수에서 제공되며 제 2 치수에는 보다 적은 교정이 제공된다. 본 발명의 다른 양상에 따르면, 웨이퍼의 다중층들에서 오버레이를 교정하기 위한 모델이 본 발명과 관련하여 이용될 수 있다. 가령, 비-인접 층들간의 제 1 치수에서의 큰 교정이 인접 층들에서의 상기 제 1 치수에 수직인 치수에서의 큰 교정과 관련된다. 마찬가지로, 비-인접 층들간의 상기 제 1 치수에서의 작은 교정이 IC의 인접 층들에서의 제 2 치수에서의 작은 교정과 관련된다.
상기의 관련된 목적들을 달성하기 위해, 본 발명은 하기에서 완전하게 설명되며 청구범위에서 특정적으로 개시되는 특징들을 포함한다. 하기의 상세한 설명 및 첨부 도면들은 본 발명의 일정한 예시적 양상들을 상세히 제시한다. 이러한 양상은 예시적인 것으로서, 본 발명의 원리들이 이용될 수 있는 몇몇 다양한 방식들로 될 수 있으며, 본 발명은 이러한 모든 양상들 및 그 등가물들을 포괄하는 것으로 의도된다. 본 발명의 다른 목적들, 이점들 및 신규 특성들이 도면들과 관련하여 고려의 하기의 본 발명의 상세한 설명으로부터 자명하게 될 것이다.
도 1은 종래기술의 오버레이 계측 시스템들에서 이용되는 오버레이 타겟들이다.
도 2는 인접 층들간에서 발생할 수 있는 예시적인 오버레이 에러이다.
도 3은 종래기술의 오버레이 에러 측정 및 교정 시스템들 및/또는 방법들을 이용하는 다중 층들간에서 발생할 수 있는 예시적인 오버레이 에러이다.
도 4는 본 발명의 양상에 따른 오버레이 계측 및 교정 시스템의 블록도이다.
도 5는 본 발명의 일 양상에 따라 이용될 수 있는 예시적인 오버레이 타겟이다.
도 6은 본 발명의 일 양상에 따라 이용될 수 있는 예시적인 오버레이 타겟이다.
도 7은 본 발명의 일 양상에 따라 이용될 수 있는 예시적인 오버레이 타겟이다.
도 8은 본 발명의 일 양상에 따라 이용될 수 있는 예시적인 오버레이 타겟이다.
도 9는 본 발명의 일 양상에 따라 웨이퍼의 다중 층들간에서 오버레이 에러를 측정 및 교정을 예시하는 대표적 흐름도이다.
도 10은 광학 현미경 기법들을 통해 다중 층들간의 오버레이를 측정 및 교정하는 예시적 시스템이다.
도 11은 산란 측정기 기법들을 통해 다중 층들간의 오버레이를 측정 및 교정하는 예시적 시스템이다.
도 12는 본 발명의 하나 이상의 양상들에 따라 격자 맵핑된 웨이퍼의 투시도이다.
도 13은 본 발명의 하나 이상의 양상들에 따라 웨이퍼상의 격자 맵핑된 위치들에서의 측정을 예시한다.
도 14는 본 발명의 하나 이상의 양상들에 따라 웨이퍼상의 격자 맵핑된 위치들에서의 측정에 대응하는 엔트리들을 포함하는 테이블을 예시한다.
도 15는 본 발명과 관련하여 이용될 수 있는 산란 측정기 시스템을 예시한다.
도 16은 본 발명의 다양한 양상들에 대한 예시적 연산 환경의 개략도이다.
본 발명은 도면들을 참조하여 설명되는데, 여기서 동일한 참조 번호들은 도면 전체에서 동일한 요소들을 가리킨다. 하기의 상세한 설명에서, 설명 목적을 위해, 매우 많은 특정 세부사항들이 본 발명의 철저한 이해를 제공하도록 제시된다. 그러나, 분명한 바로서, 본 발명은 이 특정 세부사항들 없이 실시될 수 있다. 기타 경우들에서, 잘 알려진 구조들 및 디바이스들이 본 발명의 설명을 용이하게 하기 위해 블록도 형태로 도시된다.
본 출원에서 사용되는 바와같이, 용어 "컴퓨터 구성요소"는 하드웨어, 하드웨어와 소프트웨어의 조합 또는 실행중인 소프트웨어인 컴퓨터-관련 엔티티를 가리킨다. 예를 들어, 컴퓨터 구성요소는 프로세서상에 실행되는 프로세스, 프로세서, 객체, 실행가능(excutable), 실행 쓰레드(thread of execution), 프로그램 및/또는 컴퓨터를 포함할 수 있지만 이에 국한되지 않는다. 예로써, 서버상에서 실행되는 어플리케이션 및 서버 모두는 컴퓨터 구성요소가 될 수 있다. 하나 이상의 컴퓨터 구성요소들은 프로세스 및/또는 실행 쓰레드 내에 상주할 수 있으며, 구성요소가 일 컴퓨터사에서 국부화 될 수 있으며/또는 2개 이상의 컴퓨터들 간에서 분산될 수 있다.
본 발명의 다양한 양상들은 비-제약(unconstrained) 최적화 및/또는 에러 비용들의 최소화를 용이하게 하는 것과 관련된 기술들을 이용할 수 있다. 따라서, 비선형 트레이닝 시스템들/방법들(예를 들어, 역 전파(back propagation), Bayesian, 퍼지 집합들, 비선형 회귀분석(non-linear regression), 전문가들 및 cerebella model arithmetic computer(CMACS)의 혼합을 포함하는 다른 뉴트럴 네트워킹 패러다임들, radial basis function, directed search network 및 function link network)이 이용될 수 있다. 추가적으로, 용어 "오버레이 타겟"은 하나의 웨이퍼 층을 대표하는 타겟뿐만 아니라 웨이퍼 다중층을 대표하는 타겟을 가리킬 수 있다.
도 4를 참조하면, 다중층 웨이퍼(들)(다이들)에서 오버레이를 측정 및 교정하기 위한 예시적 시스템(400)이 도시된다. 시스템(400)은 하나 이상의 다중층 웨이퍼(들)(402)를 포함하는데, 웨이퍼(들)는 또한 다중층으로 되는 복수의 다이 들(404)을 포함한다. 하나 이상의 다이(들)(404)는 다이(들)(404)의 다중(예를 들어, 2개 이상) 층들에 대응하는 오버레이 타겟(들)(406)과 관련될 수 있다. 예를 들어, 오버레이 타겟(406)은 박스-인-박스 구조가 될 수 있는데, 각 박스는 다이(404)의 일 층에 대응하며, 오버레이 타겟(406)은 2개 이상의 박스들을 포함한다. 프레임-인-프레임 및 세그먼트화된 프레임 구조들과 같은 다른 유사한 오버레이 타겟 구조들이 또한 본 발명에 의해 예기된다.
측정 시스템(408)이 오버레이 타겟(들)(406)을 측정 및/또는 분석하는데 이용된다. 예를 들어, 측정 시스템(408)은 다이(들)의 인접 층들뿐만 아니라 다이(들)의 비-인접 층들을 대표하는 오버레이 타겟들을 측정 및/또는 분석할 수 있다. 보다 특정적인 예에서, 오버레이 타겟(들)(406)은 4개의 박스들을 포함할 수 있는데, 각 박스는 다이(들)(404)의 개별 층과 관련된다. 측정 시스템(408)은 인접 층들의 오버레이뿐만 아니라 제 1 및 제 3 층, 제 1 및 제 4 층, 그리고 제 2 및 제 4 층간의 오버레이를 측정 및/또는 분석할 수 있다. 종래기술 예가 층들의 개수로서 4개를 이용하지만, 측정 시스템(408)은 2개 이상의 임의 개수의 층들을 대표하는 오버레이 타겟들을 측정 및/또는 분석하는데 이용될 수 있다. 측정 시스템(408)은 오버레이 타겟(들)(406)으로부터 정보를 획득하기 위해 임의의 적합한 측정 및/또는 분석 방법들을 이용할 수 있다. 예를 들어, 광학 현미경이 오버레이 타겟(들)(406)의 측정 및/또는 분석을 용이하게 하는데 본 발명과 관련하여 이용될 수 있다. 대안적으로, 주사 전자 현미경, 산란 측정기, 퓨리에 변환 적외선 산란 측정기(FTIR)뿐만 아니라 임의의 다른 적합한 미세 측정 및/또는 분석 기법들이 본 발명에 의해 예기된다. 특히, 측정들은 오버레이를 완화하며/또는 수락가능한 공차들 내에 임계 치수들을 가져오기 위한 피드백 및/또는 피드-포워드 데이터를 발생하는데 이용될 수 있다. FTIR은 데이터 수집을 위한 간섭계 및 데이터를 처리를 위한 디지털 퓨리에 변환을 사용하는 기법이다. 반도체 제조 환경에서, IR 방사는 웨이퍼 샘플을 통해 전달된다. 적외선 방사의 일부는 샘플 물질에 의해 흡수되며, 일부는 통과(또는 전파)된다. 결과적인 스펙트럼은 분자성 흡수 및 전송을 나타내며, 이는 웨이퍼 샘플의 분자 지문을 생성한다. 지문과 마찬가지로, 어떤 2개의 고유 분자 구조들도 동일한 적외선 스펙트럼을 발생하지 않는다. 이는 적외선 분광기가 여러 타입의 분석에서 유용하게 한다.
측정 시스템(408)에 의해 획득된 측정들은 이후에 오버레이 타겟(들)(406)에 의해 대표되는 3개 이상의 층들간에 오버레이 에러 교정을 용이하게 하는 제어 시스템(410)에 의해 수신될 수 있다. 예를 들어, 3개 이상의 층들간의 오버레이는 설계 룰 요건들에 의해서뿐만 아니라 최적화된 제조 디바이스 성능을 위해 교정될 수 있다. 게다가, 제어 시스템(410)은 층들을 정의하는 치수(들)에 따라 적절한 교정성 조치들을 결정하기 위해 측정 시스템(408)을 통해 획득된 측정들을 이용할 수 있다. 가령, 제어 시스템(410)은 교정이 가장 중요하게 되는 치수를 결정하기 위해 웨이퍼(402)와 관련된 측정들 및 설계 룰 요건들을 이용할 수 있다. 보다 구체적으로, 2개의 층들(인접 또는 비-인접)간의 x-치수에서의 정확한 오버레이가 y-치수에서의 정확한 오버레이보다 더 중요한 경우에, 제어 시스템(410)은 y-치수보다 x-치수에서의 보다 큰 량의 교정 적용을 용이하게 할 것이다. 더욱이, 제어 시스템(410)은 회전 오버레이 에러를 교정하는데 이용되는 제어 명령들을 발생하기 위해 측정 시스템(408)에 의해 획득된 측정들을 이용할 수 있다.
이후에, 제어 시스템(410)은 원하는 교정을 달성하는 제어 명령들을 식각 단계, 리소그래피 단계 등과 같은 특정 공정 단계(들)(412)에 중계할 수 있다. 게다가, 공정 단계(들)(412)가 제조 구성요소(들)(414)를 이용하기 때문에, 제어 시스템(410)은 오버레이 에러 교정과 관련하여 직접적으로 특정 제조 구성요소(들)(414)를 제어할 수 있다. 임의의 다양한 제조 구성요소들 및/또는 관련 동작 파라메터들이 측정 시스템(408)으로부터 취득된 판독들에 적어도 부분적으로 기초하여 선택적으로 제어될 수 있다. 예로써 그리고 어떤 제한도 없이, 이는 공정 단계(들)(412)와 관련된 온도들, 공정 단계(들)(412)와 관련된 압력들, 공정 단계(들) (412) 내의 가스들 및 화학물질들의 농도, 공정 단계(들) (412) 내의 가스들, 화학물질들 및/또는 다른 성분들의 구조(composition), 공정 단계(들) (412) 내의 가스들, 화학물질들 및/또는 다른 성분들의 흐름 속도들, 공정 단계(들)(412)와 관련된 타이밍 파라메터들 및 공정 단계(들)(412)와 관련된 여기 전압들(excitation voltages)을 포함하지만 이에 국한되지 않는다. 추가적인 예로써, 작은 가깝게 이격된 피쳐들을 갖는 IC들을 현상하는데 이용되는 고-분해능 포토리소그래피 구성요소들과 관련된 파라메터들이 오버레이 에러를 교정하도록 제어될 수 있다. 일반적으로, 리소그래피는 다양한 매체와 반도체 제조에서의 실리콘 조각 간의 패턴 전송을 위한 프로세스들을 가리키며, 웨이퍼는 방사-민감형 필름, 포토레지스트로 균일하게 도포된다. 포토레지스트-도포 기판은 포토레지스트 구조에서의 임의의 용매를 증발시킴과 아울러 포토레지스트 도포를 기판상에 고정시키기 위해 베이킹된다. 노광 소스(예를 들어, 광, 엑스레이들 또는 전자빔)가 특정 패턴에 대한 간섭 마스터 템플릿을 통해 필름 표면의 선택된 영역들에 조사한다. 포토레지스트 도포는 일반적으로 주제 패턴의 투사된 이미지를 수신하는데 적합한 방사-민감형 도포이다. 일단 간섭 마스터 템플릿으로부터의 이미지가 포토레지스트 상에 투사되면, 그 내부에 영구적으로 형성된다.
포토리소그래피 동안에 포토레지스트 층상에 투사된 광은 층의 특성(예를 들어, 용해성)을 변경시키며, 이에 따라 서로 다른 부분들(포토레지스트 타입에 의존하여 예를 들어, 조사 부분 또는 비-조사 부분)이 후속 공정 단계들에서 조작될 수 있다. 예를 들어, 네거티브 포토레지스트 영역들은 노광 소스에 의해 조사되는 때에 불용성이 되며, 이에 따라 후속 현상 단계 동안에 포토레지스트에 용매의 인가는 포토레지스트의 비-조사 영역만을 제거시킨다. 따라서, 네거티브 포토레지스트 층에 형성된 패턴은 경사진 템플릿 영역들에 의해 정의된 네거티브 패턴이 된다. 대조적으로, 포지티브 포토레지스트에 관하여, 포토레지스트의 조사된 영역은 용해성이 되며, 현상 동안에 용매의 인가를 통해 제거된다. 따라서, 포지티브 포토레지스트에 형성된 패턴은 템플릿상의 경사진 영역들의 포지티브 이미지가 된다. 포토레지스트가 조사에 노광되는 정도(예를 들어, 시간, 세기)의 제어는 적어도 부분적으로 오버레이 에러를 교정할 수 있다.
또한, 이미지를 웨이퍼상으로 전송하는데 이용되는 조사 타입이 인접 및 비-인접 층들간의 오버레이 에러를 교정하도록 제어될 수 있다. 가령, 피쳐 크기가 점점 더 작아지게 됨에 따라, 광 방사의 파장들로 인해 한계에 다다르고 있다. 이와 같이, 패턴 전송들에 이용되는 방사 타입 및 파장이 오버레이 에러를 교정하도록 제어될 수 있다. 가령, 보다 유리한(conducive) 파장들(예를 들어, 극자외선(EUV)및 딥(deep) 자외선(DUV))을 갖는 방사가 보다 작은 피쳐 크기들에 정확하게 도달하기 위해 리소그래피 이미징에 이용될 수 있다. 그러나, 이러한 방사는 포토레지스트 물질에 의해 많이 흡수될 수 있다. 결과적으로, 포토레지스트에 방사 침투 깊이는 제한될 수 있다. 제한된 침투 깊이는 초박막 포토레지스트들의 사용을 요구하며, 이에 따라 방사는 패턴화를 이루기 위해 전체 포토레지스트 깊이에 침투할 수 있다. 따라서, 포토리소그래피 프로세싱을 통해 형성되는 회로들의 성능은 또한 포토레지스트 층들의 두께에 영향받게 된다. 포토레지스트 층들의 두께는 화학 기계적 연마(CMP)를 통해 감소될 수 있다. 일반적으로, CMP는 평탄화 기법들을 이용하는데, 여기서 표면은 연마제(abrasive) 또는 비-연마제 액체 슬러리의 존재하에서 연마 패드에 의해 프로세싱된다. 이용되는 슬러리는 표면/서브표면 범위에서 포토레지스트와 반응한다. 바람직하게, 반응 정도는 포토레지스트의 급속 용해 또는 측정가능한 용해(예를 들어, 화학적 식각)를 일으키기에 크게 충분하지 않지만, (예를 들어, CMP 연마 패드를 사용하여) 인가된 기계적 응력에 의한 표면층 제거를 용이하게 하는데 적당하도록 포토레지스트에서 화학 결합에 작은 변형을 일으키기에 충분하다. 따라서, 오버레이 에러는 CMP 공정 동안에 인가된 슬러리의 연마제 정도, 흐름 속도 및 농도뿐만 아니라 이러한 공정 동안에 연마 패드와 웨이퍼간에 인가된 압력 량을 제어함으로써 교정될 수 있다.
이용되는 레지스트 시스템에 의존하여, 포스트 노광 베이킹이 또한 포토레지스트에서 화학 반응들을 활성화시켜 이미지 전송에 영향을 미치는데 이용될 수 있다. 웨이퍼 부분들이 특정 온도들에 노광되는 온도들 및/또는 시간들이 (예를 들어, 정상파 영향들을 감소시킴으로써/또는 이미지를 증폭시키는 화학 반응들을 열적으로 촉진함으로써) 포토레지스트 경화의 균일성을 조정하도록 제어될 수 있다. 높은 온도들은 고속 베이킹 및 고속 경화를 발생시킬 수 있으며, 낮은 온도들은 저속 베이킹 및 저속 경화를 발생시킬 수 있다. 포토레지스트 경화 속도 및 균일성은 예를 들어, 라인 폭의 일관성을 바꿈으로써 오버레이 에러에 영향을 미칠 수 있다. 따라서, 시간 및 온도 파라메터들이 오버레이 에러에 영향을 미치기 위해 포스트 노광 베이킹 동안에 제어될 수 있다.
식각 단계의 동작 파라메터들은 원하는 임계 치수들을 달성함과 아울러 2개 이상의 층들간의 오버레이 에러를 완화하게 하도록 유사하게 제어될 수 있다. 조사 이후에, 패턴 이미지는 식각 단계에서 포토레지스트 도포로부터 웨이퍼로 전송되는데, 여기서 식각액뿐만 아니라 다른 성분들이 여기 전압 등에 의해 웨이퍼 표면에 인가된다. 식각액은 현상 공정 동안에 노광되는 웨이퍼 부분들을 제거 또는 식각한다. 포토레지스트의 보다 덜 용해성 영역들하의 웨이퍼 부분들은 식각액들로부터 보호된다. 포토레지스트의 보다 덜 용해성 영역들은 현상 공정 동안에 현상기에 의해 영향받지 않으며 아울러 식각 공정 동안에 식각액에 의해 영향받지 않는 부분들이다. 이러한 포토레지스트의 불용성 부분들은 웨이퍼 및 그 안에 형성된 패턴(들)을 완전히 노출시키도록 후속 공정 단계(들)에서 제거된다. 따라서, 식각 공정에서 이용되는 물질들의 농도가 원하는 임계 치수들을 달성함과 아울러 가령, 웨이퍼의 선택된 부분들이 식각되는 정확도에 영향을 미치게 함으로써 오버레이를 완화하게 하기 위해 제어될 수 있다.
또한, 웨이퍼상으로 이미지를 전송하는데 이용되는 템플릿 타입과 관련된 파파라메터들이 오버레이 에러를 교정하도록 제어될 수 있다. 템플릿이 레티클인 경우, 템플릿이 마스크이며 웨이퍼상의 모든(또는 대부분의) 다이가 한 번에 노광되는 경우와 반대되는 것으로서, 패턴은 노광 당 하나의(또는 몇 개의) 다이로만 전송된다. 레티클을 통한 복수의 노광들이 종종 스텝 앤 스캔 방식으로 수행된다. 각 노광 이후에, 웨이퍼가 마운팅되는 스테이지가 이동 또는 스텝(step)되어 레티클을 통한 노광을 위해 후속 다이를 정렬하며, 공정은 반복된다. 이 공정은 웨이퍼에 다이가 있는 한에서, 다수의 횟수로 수행될 필요가 있다. 따라서, 스테퍼 이동은 (예를 들어, 공급된 전방 측정 및/또는 후방 측정을 스테퍼 모터에 공급함으로써) 오버레이 에러를 교정하도록 제어될 수 있다. 레티클 내에 형성된 패턴은 종종 웨이퍼상으로 전송된 패턴의 확장이다. 이는 레티클 내에서 보다 상세한 특징들이 설계되도록 한다. 그러나, 레티클을 통해 전달된 광으로부터의 에너지가 이미지가 웨이퍼상에서 노광되는 때에 레티클을 가열할 수 있다. 이는 레티클의 열 팽창 및/또는 수축으로 인해 레티클에 기계적 변형들을 야기할 수 있다. 이러한 변형들은 (예를 들어, 라인을 좁힘으로써) 복잡한 피쳐들의 기하 구조를 변경시킬 수 있으며/또는 이미지가 웨이퍼상으로 전송되는 때에 결과적인 회로가 계획된 바와같이 동작하지 않는 정도로 층-대-층 레지스트레이션(registration)을 간섭할 수 있다. 게다가, 패턴이 통상적을 웨이퍼상으로 전송되는 패턴의 확장이기 때문에, 이는 전형적으로 리소그래피 공정 동안에 (예를 들어, 축소 렌즈 시스템을 통해) 줄어들어야 한다. 이미 변형된 피쳐(예를 들어, 좁혀진 라인)의 축소는 임계 치수들 및 오버레이에 악영향을 미칠 수 있다. 따라서, 이러한 템플릿이 보다 복잡한 패턴 설계들에 효율적일 수 있지만, 이는 오버레이 에러들을 교정함과 아울러 임계 치수들을 수락가능한 공차들 내에 유지시키는데 매우 높은 정렬 및 이미징을 요구한다. 따라서, 온도 제어들이 레티클에서 열적으로 유도된 기계적 변형들을 완화하는데 이용될 수 있다.
추가적으로, 필름 성장 또는 증착 구성요소들(예를 들어, 금속들, 산화물들, 질화물들, 폴리, 산화질화물들 또는 절연체들)과 관련된 파라메터들이 오버레이 에러를 교정하도록 제어될 수 있다. 이러한 필름들은 단일 결정성 실리콘 및 폴리실리콘의 열 산화 및 질화, 증착된 금속과 기판의 직접 반응에 의한 실리사이드의 형성, 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 저압 CVD(LPCVD), 플라즈마 인핸스드 CVD(PECVD), 급속 열 CVD(RTCVD), 금속 유기 화학 기상 증착(MOCVD) 및 펄스화된 레이저 증착(PLD)을 통해 형성될 수 있다. 따라서, 반도체 제조 공정 동안에 공급된 물질들의 흐름 속도, 온도, 압력, 농도들 및 종류들이 오버레이를 지탱하는 필름 형성을 지배하도록 제어될 수 있다.
게다가, 본 발명은 다양한 공정 변수들을 관한 복수의 타겟들간의 동시적인 오버레이 교정을 용이하게 한다. 예를 들어, 툴-유도 이동이 공정 단계(들)(412)를 제어하는 제어 구성요소(410)를 통해 툴-대-툴 오버레이로 동시에 교정될 수 있다. 더욱이, 측정 시스템(408)에 의해 획득된 측정들이 피드백 및 피드-포워드 정보로서 동시에 이용될 수 있으며, 이에 따라 동시에 복수의 웨이퍼들의 오버레이 교정을 가능하게 한다.
도 5를 참조하면, 예시적인 박스-인-박스 오버레이 타겟(500)이 도시된다. 오버레이 타겟(500)은 각각이 IC의 일 층과 관련되는 박스들(502 내지 508)을 포함한다. 보다 구체적으로, 박스(502)는 IC의 제 1 층과 관련되며, 박스(504)는 IC의 제 2 층과 관련되며, 박스(506)는 IC의 제 3 층과 관련되며, 박스(508)는 IC의 제 4 층과 관련된다. 박스(502 내지 508)는 이들이 대표하는 층과 동시에 생성된다(예를 들어, 박스(502)는 제 1 층이 생성될 때에 생성된다). 오버레이 타겟(500)의 생성은 인접 층과 비-인접 층들간의 오버레이 에러의 측정 및 교정을 가능하게 한다. 오버레이 에러는 일 박스 중심으로부터 타 박스의 중심으로의 평면 거리로서 정의되며 아울러 각 박스의 대칭을 이용하여 결정되는데, 이에 따라 가장자리 결정과 관련된 에러는 박스의 각 측으로부터 소거될 것이다. 따라서, 박스(502 및 506)간의 오버레이 에러를 계산하기 위해, 먼저 박스(502)의 중심이 결정되며, 이후에 박스(506)의 중심이 결정된다. 이러한 중심들간의 거리 및 각도가 박스들(502 및 506)간의 오버레이 에러이며, 따라서 이러한 박스들(502 및 506)에 의해 나타내어지는 층들간의 오버레이 에러이다.
보다 구체적인 예에서, x-방향 및 y-방향에서의 오버레이 에러뿐만 아니라 회전 오버레이 에러가 박스(508)와 박스(506)간에 측정될 수 있다. 이후에, 박스들(508 및 504)간의 오버레이 에러가 거의 유사한 방식으로 결정될 수 있다. 따라 서, 오버레이 에러는 오버레이 타겟(500)의 임의의 2개의 박스들간에서 결정될 수 있는데, 여기서 박스들(502 내지 508) 각각은 IC의 층을 나타낸다(예를 들어, 박스들간의 오버레이 에러는 층들간의 오버레이 에러를 나타낸다). 오버레이 에러가 결정된 이후에, 제 1 층(502)에 관한 (박스(506)에 의해 나타내어지는) 제 3 층의 교정이 x-방향에서 더욱 중요하게 되는 경우에, x-방향에서 더 많은 교정이 적용되며, y-방향으로는 보다 적은 교정이 적용될 것이다. 게다가, 비-인접 층들에 대한 x-방향으로의 큰 교정이 전형적으로 인접 층들에 대한 y-방향으로의 큰 교정을 발생시킬 것이다. 예를 들어, 큰 오버레이 교정이 층들(506 및 508)간에서 x-방향을 따라 원해지는 경우에, 큰 오버레이 교정이 층들(506 및 508)간에서 y-방향을 따라 원해질 것이다. 마찬가지로, 비-인접 층들에 대한 x-방향으로의 작은 교정이 전형적으로 인접 층들에 대한 y-방향으로의 작은 교정을 발생시킬 것이다.
도 6 및 7을 참조하면, 예시적 오버레이 타겟들(600 및 700)이 예시된다. 오버레이 타겟(600)은 프레임-인-프레임 타겟이며, 여기서 각 프레임은 IC의 개별 층을 나타낸다. 예를 들어, 프레임(602)은 제 1 층을 나타내며, 프레임(604)은 제 2 층을 나타내며, 프레임(606)은 제 3 층을 나타내며, 프레임(608)은 제 4 층을 나타낸다. 그러나, 4개 이상의 층들이 본 발명과 관련하여 오버레이 타겟에 의해 대표될 수 있음을 이해해야 한다. 도 7의 오버레이 타겟(700)은 세그먼트화된 오버레이 타겟이다. 대칭적인 세그먼트들은 IC의 개별 층을 나타낸다(예를 들어, 세그먼트(702)는 제 1 층을 나타내며, 세그먼트(704)는 제 2 층을 나타내며, 세그먼트(706)는 제 3 층을 나타내며, 세그먼트(708)은 제 4 층을 나타낸다). 세그먼트화된 오버레이 타겟 구조는 코너들의 결여로 인해 유익할 수 있는데, 이는 세그먼트화된 프레임 구조가 레지스트 흐름 또는 점성(viscosity)-관련 형성에 덜 영향받게 한다. 오버레이 타겟(600 및 700)의 세그먼트들 및 프레임들은 세그먼트가 나타내는 층과 거의 동시에 생성된다. 가령, 세그먼트(704)는 IC의 제 2 층이 생성되는 시간과 거의 유사한 시간에 생성된다. 따라서, 프레임들(602 내지 608) 및 세그먼트들(702 내지 708)은 IC의 층들간에 발생하는 오버레이 에러를 정확하게 묘사한다. 프레임-인-프레임 및 세그먼트화된 프레임 오버레이 타겟들에 대한 오버레이 에러가 박스-인-박스 구조에서 오버레이 에러를 결정하는데 이용되는 것과 거의 유사한 방식으로 결정된다(예를 들어, 프레임들과 세그먼트들의 대칭이 중심점을 결정하는데 이용된다).
본 발명의 일 양상에 따라, 오버레이 타겟들(600 및 700)은 인접 층들과 비-인접 층들간의 오버레이 에러를 결정하도록 측정 및/또는 분석될 수 있다. 제어 시스템(미도시)이 x-치수 및 y-치수에서의 적절한 오버레이 교정을 결정하도록 제공될 수 있는데, 제조 룰들 및 오버레이 에러 공차가 제어 시스템에 의해 설명될 수 있다(예를 들어, 적절한 오버레이가 특정 층들간의 특정 방향에서 더욱 중요한 경우에, 특정 층들에 대해 특정 방향에 더 많은 교정을 적용한다). 박스-인-박스 구조와 유사하게, 비-인접 층들간의 x-방향으로의 큰 오버레이 교정이 인접 층들간의 y-방향으로의 큰 오버레이 교정과 관련될 것이다. 마찬가지로, 인접 층들간의 y-방향으로의 작은 오버레이 교정이 x-방향으로의 작은 오버레이 교정과 관련될 것이다.
도 8을 참조하면, 예시적인 주기적 구조 오버레이 마크들(800, 802 및 804)(예를 들어, 주기적 격자 마크들)이 도시되는데, 오버레이 마크들(800, 802 및 804)은 웨이퍼의 개별 층들을 나타낸다. 인접하여 도시되지만, 주기적 구조 오버레이 마크들(800, 802 및 804)은 전형적으로 (예를 들어, 박스-인-박스 및 프레임-인-프레임 구조와 유사한) 동심으로(concentrically) 생성되며, 오버레이 에러는 이러한 대칭 오버레이 마크들(800, 802 및 804)의 중심들간의 이동을 측정함으로써 결정된다. 오버레이 마크들(800, 802 및 804)은 전통적인 박스-인-박스 및 프레임-인-프레임 구조들과 비교할 때에 다중층들간에서 더욱 정확한 오버레이 에러 측정 및 교정을 용이하게 할 수 있다. 가령, 오버레이 에러는 전형적으로 박스-인-박스 구조들이 이용되는 때에 디지털 이미지들 내의 인접 박스들의 중심들 간의 픽셀들의 연산 개수를 통해 측정된다. 대조적으로, 오버레이 마크들(800, 802 및 804)의 피치(pitch)가 오버레이 에러를 결정하는 측정 단위인데, 이는 사용자-정의될 수 있으며/또는 실시간으로 측정될 수 있다.
본 발명의 일 양상에 따라, 오버레이 마크들(800, 802 및 804)은 대충(coarse) 세그먼트화, 미세(fine) 세그먼트화, 및/또는 대충 세그먼트화와 미세 세그먼트화의 조합을 포함할 수 있다. 본 발명은 인접 및 비-인접 주기적 구조 오버레이 마크들(800, 802 및 804)간의 오버레이 에러 측정을 가능하게 한다. 가령, 오버레이 마크(800)는 제 1 층을 나타내며, 오버레이 마크(802)는 제 2 층을 나타내며, 오버레이 마크(804)는 제 3 층을 나타낸다. 오버레이 에러는 2개의 인접 및 비-인접 오버레이 마크들간에 측정될 수 있다(예를 들어, 오버레이 에러는 오버레 이 마크들(800 및 802, 800 및 804, 그리고 802 및 804)간에 측정될 수 있다. 이후에, 이러한 측정들은 웨이퍼의 2개의 인접 층과 비-인접 층간의 오버레이 에러를 교정하는데 이용될 수 있다.
도 9를 참조하면, IC의 2개 이상의 층들에서 오버레이를 측정 및 교정하는 방법(900)이 예시된다. 설명의 간략화를 위해, 방법이 일련의 동작들로 도시되고 설명되지만, 본 발명에 따라 일부 동작들은 본원에서 도시되고 설명된 다른 동작들과 다른 순서들로 그리고/또는 동시에 발생할 있기 때문에, 본 발명은 동작들의 순서에 제한되지 않는다. 예를 들어, 기술분야의 당업자들은 방법이 대안적으로 상태도에서와 같은 일련의 상호관련된 상태들 및 이벤트들로 나타내어질 수 있음을 이해할 것이다. 게다가, 예시된 모든 동작들이 본 발명에 따라 방법을 수행하도록 요구되지 않을 수 있다.
단계(902)에서, IC의 다중층들(예를 들어, 2개 이상의 층들)을 대표하는 오버레이 타겟이 생성된다. 예를 들어, 오버레이 타겟은 박스-인-박스 구조가 될 수 있는데, 크기가 감소하는, 기판 물질의 박스들은 서로 다른 박스들의 정상에 위치된다. 보다 구체적으로, 박스-인-박스 구조에서 보다 큰 박스가 웨이퍼상의 보다 낮은 층에 대응할 것이며, 보다 작은 박스가 웨이퍼상의 보다 높은 층에 대응할 것이다. 더욱이, 다른 오버레이 타겟 구조들이 본 발명과 관련하여 생성될 수 있다. 가령, 프레임-인-프레임 구조 및 세그먼트화된 프레임 구조가 IC의 2개 이상의 층들을 나타내도록 생성될 수 있다.
단계(904)에서, 인접 층과 비-인접 층들간의 오버레이 에러가 측정된다. 예 를 들어, 오버레이 타겟 구조가 4개의 층들을 포함하는 경우에, 오버레이 에러는 인접 층들(예를 들어, 제 1 층 및 제 2 층, 제 2 층 및 제 3 층, ...)뿐만 아니라 제 1 층 및 제 3 층, 제 1 층 및 제 4 층, 그리고 제 2 층 및 제 4 층간에 측정될 수 있다. 오버레이 에러는 광학 현미경, 주사 전자 현미경, 산란 측정기, 퓨리에 변환 적외선 산란 측정기 그리고 다른 적합한 현미경 측정 및/또는 분석 기법들을 통해 측정될 수 있다.
단계(906)에서, 다중층들간의 오버레이와 관련된 측정들이 케이블, 무선 네트워크 등을 통해 제어 시스템으로 중계된다. 단계(908)에서, 오버레이 에러는 적어도 부분적으로 (904)에서 획득된 측정들에 기초하여 IC의 설계 사양들 및 디바이스 공차를 유지하도록 교정된다. 예를 들어, 제 1 층 및 제 2 층과 관련된 측정들이 x-치수 및 y-치수에서 분석될 수 있으며, 이후에 양 방향들에서 제 1 층 및 제 3 층에 관한 오버레이 분석이 계속된다. 다양한 다른 층들간의 오버레이가 또한 분석될 수 있으며, 제어 시스템은 이에 따라 다중층들간의 오버레이 에러를 교정할 수 있다(예를 들어, 오버레이가 x-치수에서 더 중요한 경우에, x-치수에 보다 많은 교정이 적용될 수 있다).
도 10을 참조하면, 오버레이 에러를 측정 및 교정하기 위해 광학 현미경을 이용하는 예시적 시스템(1000)이 도시된다. 이 시스템(1000)은 복수의 다이들(1004)을 포함하는 웨이퍼(1002)를 포함한다. 웨이퍼(1002), 및 이에 따른 다이들(1004)은 2개 이상의 층들로 구성된다. 하나 이상의 오버레이 타겟들(미도시)이 웨이퍼상에 위치되며, 광원(1006)이 오버레이 타겟(들)을 포함하는 웨이퍼(1002)의 적어도 일부를 조사하는데 이용된다. 이후에, 광학 현미경(1008)이 이러한 조사된 오버레이 타겟(들)의 이미지를 획득할 수 있으며, 이미지는 이미지 비교 요소(1010)에 중계되는데, 이 비교 요소는 이미지를 데이터 저장소(1012)에 저장된 이미지들과 비교한다. 비교 요소(1010)는 캡쳐된 이미지와 데이터 저장소(1012)로부터의 하나 이상의 이미지들간의 비교(들)의 결과들에 적어도 부분적으로 기초하여 오버레이 에러를 결정할 수 있다.
이후에, 분석된 오버레이는 다이들(1004)의 다중층들간의 오버레이 에러를 교정하기 위해 특정 공정 단계(들)(1016)의 제어를 실행하는 제어 시스템(1014)에 중계된다. 제어 시스템(1014)은 웨이퍼 제조 환경 내의 다양한 제조 구성요소(들)(1020)를 제어하고 동작하도록 프로그래밍된 프로세서(1018)를 포함한다. 프로세서(1018)가 본 발명과 관련된 기능들을 수행하도록 프로그래밍 될 수 있는 방식은 본원에서 제공된 상세한 설명에 기초하여 기술분야의 당업자들에게 분명하게 될 것이다. 또한, 프로세서(1018)에 동작가능하게 결합된 메모리(1022)가 제어 시스템(1014)에 포함되며, 본원에서 설명되는 바와같이 제조 구성요소(들)(1020)의 동작 기능들을 수행하기 위해 프로세서(1018)에 의해 실행되는 프로그램 코드를 저장하는 역할을 한다. 메모리(1022)는 예를 들어, 판독 전용 메모리(ROM) 및 랜덤 액세스 메모리(RAM)를 포함한다. RAM은 운영 체제 및 응용 프로그램들이 적재되는 메인 메모리이다. 메모리(1022)는 또한 오버레이와 관련된 측정들뿐만 아니라 다중층들간의 오버레이의 측정 및 교정과 관련된 다른 데이터를 일시적으로 저장하는 저장 매체의 역할을 한다. 전원(1024)이 동작 전력을 시스템(1000)에 제공한다. 임의 의 적합한 전원(예를 들어, 배터리, 라인 전력)이 본 발명을 수행하는데 이용될 수 있다.
웨이퍼(1002)가 x-방향 및 y-방향으로 이동가능한 웨이퍼 스테이지(1028)상의 웨이퍼 홀더(1026)에 의해 원하는 위치에서 유지된다. 웨이퍼 홀더(1026)는 웨이퍼(1002)를 진공으로 흡수하며, 스테이지(1028)에 대한 약간의 회전이 제공된다. 웨이퍼 홀더(1026) 및 스테이지(1028)는 광학 현미경(1008)에 대해 웨이퍼(1002)를 적절하게 위치시키도록 제어 시스템(1014)에 의해 제어된다. 시스템(1000)은 독립형 계측 시스템이거나, 대안적으로 다중층들의 인-시투(in-situ) 오버레이 측정을 제공할 수 있다.
도 11은 본 발명의 다른 양상에 따라 시스템(1100)을 예시하는데, 다중층들간의 오버레이는 스캐터로미트리 기법들을 통해 측정 및 제어될 수 있다. 웨이퍼(1102)가 웨이퍼 홀더(1104) 및 웨이퍼 스테이지(1106)에 의해 원하는 위치에서 유지된다. 광원(1108)이 웨이퍼(1102)상에서 생성된 특정 오버레이 타겟(미도시)에 입사광(1110)을 방출하는데, 여기서 오버레이 타겟은 하나 이상의 IC들(1112)의 다중층들을 나타낸다. 반사된(또는 통과된) 광(1114)은 특정 시그너쳐(signature)를 생성하며, 광 수신기(1116)는 이러한 시그너쳐를 수집한다.
이후에, 시그너쳐는 시그너쳐 비교 요소(1118)에 의해 수신되는데, 이 비교 요소는 이 시그너쳐를 데이터 저장소(1120)에 저장된 공지의 시그너쳐와 비교한다. 이러한 생성된 시그너쳐와 공지의 시그너쳐간의 비교는 다중층들간의 정확하지는 않지만, 대략적인 실제 오버레이의 측정의 측정을 제공한다. 이후에, 분석된 오버 레이는 IC들(1112)의 다중층들간의 오버레이 에러를 교정하기 위해 특정 공정 단계(들)(1124)의 제어를 실행하는 제어 시스템(1112)에 중계된다. 제어 시스템(1122)은 웨이퍼 제조 환경 내에 다양한 제조 구성요소(들)(1128)를 제어하고 동작하도록 프로그래밍된 프로세서(1126)를 포함한다. 또한, 프로세서(1126)에 동작가능하게 결합된 메모리(1130)가 제어 시스템(1122)에 포함되며, 이는 제조 구성요소(들)(1128)의 동작 기능들을 수행하기 위해 프로세서(1126)에 의해 실행되는 프로그램 코드를 저장하는 역할을 한다. 더욱이, 제어 시스템(1122)은 입사광(1110)에 오버레이 타겟(미도시)을 적절하게 정렬하기 위해 웨이퍼 홀더(1104) 및 웨이퍼 스테이지(1106)를 제어할 수 있다. 게다가, 시스템(1100)은 다중층들간의 오버레이 에러의 인-시투 측정을 용이하게 하도록 독립형 계측 시스템뿐만 아니라 특정 공정 단계(들)로 일체화된 시스템이 될 수 있다.
도 12 내지 14를 참조하면, 본 발명의 하나 이상의 양상들에 따라, 스테이지(1204)상에 놓여진 웨이퍼(1202)(또는 그 상에 위치된 하나 이상의 다이들)가 반도체 제조 공정을 통과하는 때에 오버레이 에러 측정을 용이하기 위해 격자 블록들로 논리적으로 분할될 수 있다. 이는 가령, 어느 정도의 제조 조정들이 필요한지의 선택적인 결정을 용이하게 할 수 있다. 또한, 이러한 정보의 획득은 제조 공정들과 관련된 문제 영역들을 결정하는데 도울 수 있다. 도 12는 웨이퍼(1202)를 지지하는 정지가능한 스테이지(1204)의 투시도이다. 웨이퍼는 도 13에 도시된 바와같이 격자 패턴으로 나뉘어질 수 있다. 그리드 패턴의 각 격자 블록(XY)은 웨이퍼(1202)(예를 들어, 다이 또는 다이의 일부)의 특정 부분에 대응한다. 격자 블록들은 광학 현미 경, 산란 측정기, SEM, 퓨리에 변환 적외선 산란 측정기, 또는 임의의 다른 적합한 계측 기법들로 오버레이 에러를 측정함으로써 제조 공정 동안 개별적으로 모니터링된다.
이는 또한 웨이퍼-대-웨이퍼 및 로트-대-로트 변화들을 평가하기 위해 응용될 수 있다. 예를 들어, 제 1 웨이퍼(미도시)의 부분(P)이 제 2 웨이퍼의 대응하는 부분(P)에 비교될 수 있다. 따라서, 웨이퍼들과 로트들간의 편차들이 웨이퍼-대-웨이퍼 및/또는 로트-대-로트 변화들을 수용하는데 필요한 제조 구성요소들에 대한 조정을 계산하기 위해 결정될 수 있다.
도 13에서, 웨이퍼(1202)의 하나 이상의 각 부분들(X1Y1...,X12Y12)이 광학 현미경, 산란 측정기, SEM, 퓨리에 변환 적외선 산란 측정기, 또는 임의의 다른 적합한 계측 기법들을 이용하여 오버레이 에러를 위해 모니터링된다. 각 격자 블록에 대한 제조 동안에 발생된 예시적 측정들이 각 플롯들과 같이 도시된다. 예를 들어, 플롯들은 오버레이 에러의 시그너쳐들의 합성 평가들이 될 수 있다. 대안적으로, 오버레이 에러는 개별적으로 각 공차 한계들에 비교될 수 있다.
도면으로 알 수 있는 바와같이, 좌표(X7Y6)에서의 측정이 다른 부분들(XY)의 측정보다 실질적으로 더 높은 플롯을 산출한다. 이는 수락가능한 공차들 밖에 있는 오버레이, 오버레이 에러, 및/또는 하나 이상의 임계 치수들을 나타낼 수 있다. 이와같이, 제조 구성요소들 및/또는 관련 동작 파라메터들은 이러한 상궤를 벗어난 측정의 반복을 완화하도록 조정될 수 있다. 웨이퍼(1202) 및/또는 그 상에 위치된 하나 이상의 다이는 원하는 모니터링 및 제어를 실행하기 위해 임의의 적합한 개수 및/또는 구성의 격자 블록들에 맵핑될 수 있다.
도 14는 각 격자 블록들에 맵핑된 웨이퍼(1202)의 다양한 부분들에서 동시적으로 측정된 임계 치수들 및 오버레이의 대표적인 테이블이다. 테이블에서의 측정들은 예를 들어, 각 임계 치수 및 오버레이 시그너쳐들의 합성물들(amalgams)이 될 수 있다. 도면에서 알 수 있는 바와같이, 격자 블록(X7Y6)을 제외한 모든 격자 블록들이 수락가능한 값(VA)에 대응하는 측정값들을 가지는 반면에(예를 들어, 어떤 오버레이도 표시되지 않으며/또는 다중층들과 관련된 오버레이 측정들은 수락가능한공차들 내에 있으며), 격자 블록(X7Y6)은 원하지않는 값(VU)을 갖는다(예를 들어, 다중층들간의 오버레이 에러는 수락가능한 공차들 내에 있지 않는다). 따라서, 원하지않는 제조 조건이 격자 블록(X7Y6)에 의해 맵핑된 웨이퍼(1202)의 일부에서 존재하는 것으로 결정되었다. 따라서, 제조 공정 구성요소들 및 파라메터들이 이러한 원하지않는 조건의 재발생 또는 과장을 완화하도록 제조 공정을 적응시키기 위해 본원에서 설명되는 바와같이 조정될 수 있다.
대안적으로, 충분한 개수의 격자 블록들이 원하는 오버레이 측정들을 가질 수 있으며, 이에 따라 하나의 위반된 격자 블록이 전체 웨이퍼의 폐기를 정당화하지 않는다. 제조 공정 파라메터들이 원하는 바와같이 웨이퍼(1202)의 각 부분들의 제조를 유지, 증가, 감소 및/또는 정량적으로 변경시키기 위해 적응될 수 있다. 예를 들어, 제조 공정이 소정의 임계 레벨에 도달한 경우에(예를 들어, 격자 블록들 의 X%가 다중층들간의 수락가능한 오버레이 층을 갖는 경우에), 제조 단계가 종료될 수 있다.
도 15는 본 발명의 하나 이상의 양상들을 수행하는데 적합한 예시적 스캐터로메트리 시스템(1500)을 도시한다. 레이저(1502)로부터의 광이 빔(1504)을 형성하기 위해 임의의 적합한 방식으로 초점화된다. 웨이퍼(1506)와 같은 샘플이 빔(1504)과 임의 구성의 광 검출기 또는 광 배율기(1508)의 경로에 위치된다. 서로 다른 검출기 방법들 및 구성들이 분산된/또는 반사된 파워(power)를 결정하도록 이용될 수 있다. 마이크로프로세서(1510) 또는 임의의 적합한 설계가 특정적으로 반사된 광의 세기 특성들, 특정적으로 반사된 광의 편광 특성들 및 서로 다른 회절 정도들의 각도 위치들을 포함하는(다만, 이에 국한되지는 않음) 검출기 판독 정보들을 처리하는데 이용될 수 있다. 따라서, 샘플(1506)로부터 반사된 광이 정확하게 측정될 수 있다.
본 발명의 다양한 양상들을 위한 부가적인 환경을 제공하기 위해, 도 16 및 이하의 설명에서 본 발명의 다양한 양상들이 수행될 수 있는 적합한 연산 환경(1610)에 대한 간략한, 일반적인 설명을 제공한다. 본 발명이 하나 이상의 컴퓨터들상에서 실행할 수 있는 컴퓨터-실행가능 명령들의 일반적인 환경에서 상술되었지만, 기술분야의 당업자들은 본 발명이 다른 프로그램 모듈들과 결합하여/또는 하드웨어와 소프트웨어의 조합으로서 수행될 수 있음을 인식할 것이다. 일반적으로, 프로그램 모듈들은 특정 작업들을 수행하거나 특정 추상적인 데이터 타입들을 실행하는 루틴들, 프로그램들, 구성요소들, 데이터 구조들 등을 포함한다. 게다가, 기 술분야의 당업자들은 본 발명 방법들이 단일-프로세서 또는 멀티프로세서 컴퓨터 시스템들, 소형컴퓨터들, 메인프레임 컴퓨터들뿐만 아니라 개인용 컴퓨터들, 핸드헬드 연산 디바이스들, 마이크로프로세서-기반 또는 프로그래밍가능한 소비 가전들 등(이들 각각이 하나 이상의 관련 디바이스들에 동작가능하게 결합된다)을 포함하는 다른 컴퓨터 시스템 구성들로 실행될 수 있음을 이해할 것이다. 또한, 본 발명의 예시적인 양상들은 분산 연산 환경들에서 실시될 수 있는데, 여기서 일정한 작업들은 통신 네트워크를 통해 연결된 원격 프로세싱 디바이스들에 의해 수행된다. 분산 연산 환경에서, 프로그램 모듈들은 로컬 및 원격 메모리 저장 디바이스 모두에 위치될 수 있다.
본 발명의 다양한 양상들을 수행하는 예시적 환경(1610)은 프로세싱 유닛(1614), 시스템 메모리(1616) 및 시스템 메모리의 프로세싱 유닛(1614)에 결합을 포함하는 다양한 시스템 구성요소들을 결합하는 시스템 버스(1618)를 구비하는 컴퓨터(1612)를 포함한다. 프로세싱 유닛(614)은 임의의 다양한 상업적으로 이용가능한 프로세서들이 될 수 있다. 듀얼 마이크로프로세서들 및 다른 멀티-프로세서 아키텍처들이 또한 프로세싱 유닛(161)으로서 사용될 수 있다.
시스템 버스(1618)는 적어도 PCI, VESA, Microchannel, ISA 및 EISA와 같은 다양한 전통적인 버스 아키텍처들 중 하나를 사용하는 메모리 버스 또는 메모리 제어기, 주변 버스, 및 로컬 버스를 포함하는 여러 타입들의 버스 구조중 하나가 될 수 있다. 시스템 메모리(1616)는 판독 전용 메모리(ROM)(1620) 및 랜덤 액세스 메모리(RAM)(1622)를 포함한다. 시작(start-up) 동안에서와 같은, 컴퓨터(1612) 내에 서 요소들간의 정보 전송을 돕는 기본 루틴들을 포함하는 기본 입력/출력 시스템(BIOS)이 ROM(1620)에 저장된다.
컴퓨터(1612)는 하드 디스크 드라이브(1624), 예를 들어, 착탈가능 디스크(1628)로부터 판독하거나 이에 기록하기 위한 자기 디스크 드라이브(1626), 그리고 예를 들어, CD-ROM 디스크(1632)로부터 판독하거나 다른 광 매체로부터 판독 및 이에 기록하기 위한 광 디스크 드라이브(1630)를 더 포함한다. 하드 디스크 드라이브(1624), 자기 디스크 드라이브(1626) 및 광 디스크 드라이브(1630)는 하드 디스크 드라이브 인터페이스(1634), 자기 디스크 드라이브 인터페이스(1636), 및 광 디스크 드라이브 인터페이스(1638) 각각에 의해 시스템 버스(1638)에 연결된다. 드라이브들 및 관련 컴퓨터-판독가능 매체는 컴퓨터(1612)를 위한 데이터, 데이터 구조들, 컴퓨터-실행가능 명령들 등의 비휘발성 저장소를 제공하는데, 이는 적합한 디지털 포맷의 방송 프로그래밍의 저장소를 포함한다. 상기의 컴퓨터-판독가능 매체에 대한 설명이 하드 디스크, 착탈가능 자기 디스크 및 CD를 지칭하지만은, 기술분야의 당업자들은 자기 카세트들, 플래시 메모리 카드들, 디지털 비디오 디스크들, 베르누이 카트리지들(Bernoulli cartridges) 등과 같은 컴퓨터에 의해 판독가능한 다른 타입들의 매체가 예시적 동작 환경에서 사용될 수 있으며, 이에 추가하여 임의의 이러한 매체가 본 발명의 방법들을 수행하는 컴퓨터-실행가능 명령들을 포함할 수 있음을 이해할 것이다.
운영 체제(1640), 하나 이상의 응용 프로그램들(1642), 다른 프로그램 모듈들(1644) 및 프로그램 데이터(1646)를 포함하는 다수의 프로그램 모듈들이 드라이 브들 및 RAM(1622)에 저장될 수 있다. 예시된 컴퓨터에서의 운영 체제(1640)는 예를 들어, "Microsoft® Windows® NT" 운영 체제이지만은, 본 발명은 UNIX®, LINUX® 등과 같은 다른 운영 체제들 또는 운영 체제들의 조합으로 수행될 수 있음을 이해해야 한다.
사용자가 키보드(1648) 및 마우스(1650)와 같은 포인팅 디바이스를 통해 명령들 및 정보를 컴퓨터(1612)에 입력할 수 있다. 다른 입력 디바이스들(미도시)은 마이크로폰, IR 원격 제어, 조이스틱, 게임 패드, 위성 접시, 스캐너 등을 포함할 수 있다. 이들 및 다른 입력 디바이스들이 종종 시스템 버스(1618)에 결합된 직렬 포트 인터페이스(1652)를 통해 프로세싱 유닛(1614)에 연결되지만, 병렬 포트, 게임 포트, 범용 직렬 버스("USB"), IR 인터페이스 등과 같은 다른 인터페이스들에 의해 연결될 수 있다. 또한, 모니터(1654) 또는 다른 타입의 디스플레이 디바이스가 비디오 어댑터(1656)와 같은 인터페이스를 통해 시스템 버스(1618)에 연결된다. 컴퓨터는 전형적으로 모니터 이외에 스피커들, 프린터들 등과 같은 다른 주변 출력 디바이스들(미도시)을 포함한다.
컴퓨터(1612)는 원격 컴퓨터(들)(1658)과 같은 하나 이상의 원격 컴퓨터들에 대한 논리 접속들을 사용하여 네트워크 환경에서 동작할 수 있다. 원격 컴퓨터(들)(1658)는 워크스테이션, 서버 컴퓨터, 라우터, 개인용 컴퓨터, 마이크로프로세서 기반 엔터테인먼트 어플라이언스(예를 들어, WEBTV® 클라이언트 시스템), 피어 디바이스(peer device) 또는 다른 공통 네트워크 노드가 될 수 있으며, 이는 전형적으로 컴퓨터(1612)에 대해 설명된 많은 요소들 또는 모든 요소들을 포함하지만, 간략화 목적을 위해 메모리 저장 디바이스(1660)만이 도시된다. 상술된 논리 접속들은 근거리망(LAN)(1662) 및 광역망(WAN)(1664)을 포함한다. 이러한 네트워크 환경들은 사무실들, 기업-범위 컴퓨터 네트워크들, 인트라넷들 및 인터넷에서 통상적인 것이다.
LAN 네트워크 환경에서 사용되는 때에, 컴퓨터(1612)는 네트워크 인터페이스 또는 어댑터(1666)를 통해 근거리망(1662)에 연결된다. WAN 네트워크 환경에서 사용되는 때에, 컴퓨터(1612)는 전형적으로 모뎀(1668)을 포함하거나, LAN상의 통신 서버에 연결되거나, 또는 인터넷과 같은, WAN(1664)을 통한 통신들을 설정하기 위한 다른 수단을 구비할 수 있다. 내부 또는 외부 모뎀이 될 수 있는 모뎀(1668)은 예를 들어, POTS를 통한 통신들을 설정하기 위해 직렬 포트 인터페이스(1652)를 통해 시스템 버스(1618)에 연결된다. 대안적인 실시예에서, 모뎀(1668)은 또한 예를 들어, DSL 또는 케이블을 통한 통신들을 설정하기 위해 네트워크 어댑터(1666)에 연결될 수 있다. 네트워크 환경에서, 컴퓨터(1612)에 대해 설명된 프로그램 모듈들 및 그 일부들이 원격 메모리 저장 디바이스(1660)에 저장될 것이다. 도시된 네트워크 접속들은 예시적인 것이며, 컴퓨터들간에 통신 링크를 설정하는 다른 수단이 사용될 수 있음을 이해해야 할 것이다.
상술된 내용은 본 발명의 예들을 포함한다. 당연하게, 설명 목적을 위해 구성요소들 또는 방법들의 모든 생각가능한 조합을 설명할 수 없지만, 기술분야의 당업자는 본 발명의 많은 추가적인 조합들 및 변형들이 가능함을 인식할 수 있다. 따라서, 본 발명이 청구범위의 사상과 범주 내에 드는 이러한 모든 변경들, 변형들 및 변화들을 포괄하도록 의도된다. 더욱이, 용어 "포함하다"가 상세한 설명 및 청구범위에서 사용되는 범위에 대하여, 이러한 용어는 용어 "구비하다"가 청구범위에서 전이적인 단어로서 이용되는 때에 해석되는 바와 유사한 방식으로 포괄적인 의미로 해석되어야 한다.

Claims (10)

  1. 웨이퍼의 다중층들간의 오버레이 측정 및 교정을 용이하게 하는 시스템에서,
    웨이퍼의 3개 이상의 층들간의 오버레이를 대표하는 오버레이 타겟과;
    상기 오버레이 타겟에 존재하는 오버레이 에러를 결정하고 상기 웨이퍼의 3개 이상의 층들간의 오버레이 에러를 결정하는 측정 수단과, 상기 측정 수단은 상기 오버레이 타겟에 존재하는 오버레이 에러의 결정을 용이하게 하기 위해, 캡쳐된 시그너쳐(signature)와 하나 이상의 저장된 시그너쳐를 비교하며; 그리고
    상기 웨이퍼의 3개 이상의 층들간의 오버레이 에러를 교정하기 위해 상기 측정 수단에 의해 결정된 오버레이 에러를 이용하는 제어 수단을 포함하며,
    상기 제어 수단은 2개 이상의 웨이퍼의 동시적인 오버레이 교정을 용이하게 하는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  2. 삭제
  3. 제 1항에 있어서, 오버레이 정렬의 정밀도가 제 2 방향에 비해 제 1 방향에서 더 중요한 경우에, 상기 제 1 방향에 보다 많은 교정을 제공함과 아울러 상기 제 2 방향에 보다 적은 교정을 제공하는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  4. 제 1항에 있어서, 제 1 방향에서 상기 웨이퍼의 비-인접 층들간의 대체적인 오버레이 교정이 제 2 방향에서 상기 웨이퍼의 인접 층들간의 대체적인 오버레이 교정과 관련되는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  5. 제 1항에 있어서, 제 1 방향에서 상기 웨이퍼의 비-인접 층들간의 약한 오버레이 교정이 제 2 방향에서 상기 웨이퍼의 인접 층들간의 약한 오버레이 교정과 관련되는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  6. 제 1항에 있어서, 상기 제어 수단은 회전 오버레이 에러 교정을 용이하게 하는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  7. 제 1항에 있어서, 상기 오버레이 타겟은 박스-인(in)-박스, 프레임-인-프레임, 세그먼트화된 프레임 그리고 주기적 구조 중 적어도 하나를 포함하는 것을 특징으로 하는 오버레이 측정 및 교정 시스템.
  8. 웨이퍼의 2개 이상의 층들에서 오버레이 에러를 측정 및 교정하는 방법에 있어서,
    다중층 오버레이 타겟을 생성하는 단계와, 상기 오버레이 타겟의 개별 층들은 상기 웨이퍼의 개별 층들을 대표하며;
    상기 오버레이 타겟에 광을 입사시키는 단계와;
    상기 오버레이 타겟에 접촉하는 상기 입사광으로부터 기인하는 시그너쳐를 캡쳐하는 단계와;
    상기 오버레이 타겟에 존재하는 오버레이 에러의 결정을 용이하게 하기 위해, 상기 캡쳐된 시그너쳐를 하나 이상의 저장된 시그너쳐와 비교하는 단계와;
    상기 오버레이 타겟의 대표 층들간의 오버레이 에러 측정을 통해 웨이퍼상의 층들간의 오버레이 에러를 근사화하는 단계와;
    상기 오버레이 타겟의 대표층들에 존재하는 상기 측정된 오버레이 에러에 적어도 부분적으로 기초하여 웨이퍼의 층들간의 오버레이 에러를 교정하는 단계와; 그리고
    상기 측정된 오버레이 에러에 적어도 부분적으로 기초하여 2개 이상의 웨이퍼의 동시적인 오버레이 교정을 용이하게 하는 단계를 포함하는 것을 특징으로 하는 오버레이 에러 측정 및 교정 방법.
  9. 제 8항에 있어서, 상기 오버레이 타겟이 대표하는 층들에 존재하는 상기 측정된 오버레이 에러에 적어도 부분적으로 기초하여 상기 웨이퍼의 비-인접 층들간의 오버레이 에러를 교정하는 단계를 더 포함하는 것을 특징으로 하는 오버레이 에러 측정 및 교정 방법.
  10. 적어도 하나의 웨이퍼의 3개 이상의 층들간의 오버레이 에러를 교정하는 시스템에 있어서,
    오버레이 타겟을 생성하는 수단과, 상기 오버레이 타겟은 웨이퍼의 3개 이상의 층들을 대표하며;
    상기 오버레이 타겟에 광을 입사시키는 수단과;
    상기 오버레이 타겟에 접촉하는 상기 입사광으로부터 기인하는 시그너쳐를 캡쳐하는 수단과;
    상기 오버레이 타겟에 존재하는 오버레이 에러를 결정하기 위해, 상기 캡쳐된 시그너쳐를 하나 이상의 저장된 시그너쳐와 비교하는 수단과; 그리고
    상기 오버레이 타겟과 관련된 상기 결정된 오버레이 에러에 적어도 부분적으로 기초하여 상기 적어도 하나의 웨이퍼의 비-인접 층들간의 오버레이 에러를 동시에 교정하는 수단을 포함하는 것을 특징으로 하는 오버레이 에러 교정 시스템.
KR1020067017587A 2004-03-01 2005-02-26 Ic 제조 동안 다중층 오버레이 측정 및 교정 기법 KR101187061B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/790,296 US7065737B2 (en) 2004-03-01 2004-03-01 Multi-layer overlay measurement and correction technique for IC manufacturing
US10/790,296 2004-03-01
PCT/US2005/006178 WO2005086223A2 (en) 2004-03-01 2005-02-26 Multi-layer overlay measurement and correction technique for ic manufacturing

Publications (2)

Publication Number Publication Date
KR20060129421A KR20060129421A (ko) 2006-12-15
KR101187061B1 true KR101187061B1 (ko) 2012-09-28

Family

ID=34887442

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067017587A KR101187061B1 (ko) 2004-03-01 2005-02-26 Ic 제조 동안 다중층 오버레이 측정 및 교정 기법

Country Status (8)

Country Link
US (1) US7065737B2 (ko)
JP (1) JP2007528126A (ko)
KR (1) KR101187061B1 (ko)
CN (1) CN1926677A (ko)
DE (1) DE112005000504B4 (ko)
GB (1) GB2427268B (ko)
TW (1) TWI375251B (ko)
WO (1) WO2005086223A2 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7333173B2 (en) * 2004-04-06 2008-02-19 Taiwan Semiconductor Manufacturing Company Method to simplify twin stage scanner OVL machine matching
US7337425B2 (en) * 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
US7733906B2 (en) * 2005-06-30 2010-06-08 Intel Corporation Methodology for network port security
KR100689709B1 (ko) * 2005-08-10 2007-03-08 삼성전자주식회사 반도체 디바이스 제조를 위한 오버레이 마크 및 이를이용한 오버레이 측정방법
US7474401B2 (en) * 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
JP2007324371A (ja) * 2006-06-01 2007-12-13 Ebara Corp オーバーレイ検査用オーバーレイマーク及びレンズ収差調査用マーク
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
TWI302341B (en) * 2006-08-04 2008-10-21 Nanya Technology Corp Improved overlay mark
KR100834832B1 (ko) * 2006-11-29 2008-06-03 삼성전자주식회사 오버레이 계측설비를 이용한 패턴의 임계치수 측정방법
US7924408B2 (en) * 2007-02-23 2011-04-12 Kla-Tencor Technologies Corporation Temperature effects on overlay accuracy
US7599063B2 (en) * 2007-03-29 2009-10-06 Macronix International Co., Ltd. Method for checking alignment accuracy using overlay mark
NL1036180A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Stage system, lithographic apparatus including such stage system, and correction method.
KR20090128337A (ko) * 2008-06-10 2009-12-15 어플라이드 머티리얼즈 이스라엘 리미티드 반복 패턴을 갖는 물체를 평가하는 방법 및 시스템
JP5259380B2 (ja) * 2008-12-24 2013-08-07 株式会社東芝 半導体装置の製造方法
US8449752B2 (en) * 2009-09-30 2013-05-28 HGST Netherlands B.V. Trailing plated step
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US8336011B2 (en) * 2011-02-07 2012-12-18 GlobalFoundries, Inc. Methods for fabricating an electrically correct integrated circuit
NL2008168A (en) * 2011-02-25 2012-08-28 Asml Netherlands Bv Method of calculating model parameters of a substrate, a lithographic apparatus and an apparatus for controlling lithographic processing by a lithographic apparatus.
JP5731864B2 (ja) * 2011-03-18 2015-06-10 株式会社Screenホールディングス 描画データの補正装置および描画装置
US9349660B2 (en) * 2011-12-01 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit manufacturing tool condition monitoring system and method
US8908181B2 (en) 2012-06-28 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark and method of measuring the same
KR101793565B1 (ko) * 2012-07-23 2017-11-03 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
JP5965819B2 (ja) * 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び重ね合わせずれ量測定方法
US9506965B2 (en) * 2012-11-12 2016-11-29 United Microelectronics Corp. Alternately arranged overlay marks having asymmetric spacing and measurement thereof
US9760020B2 (en) * 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
US9081287B2 (en) * 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9052595B2 (en) * 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9029810B2 (en) * 2013-05-29 2015-05-12 Kla-Tencor Corporation Using wafer geometry to improve scanner correction effectiveness for overlay control
WO2014193983A1 (en) * 2013-05-29 2014-12-04 Kla-Tencor Corporation Multi-layered target design
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
WO2016030255A2 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
KR102287757B1 (ko) * 2015-05-26 2021-08-09 삼성전자주식회사 오버레이 교정 데이터를 수정하는 방법
KR101564312B1 (ko) * 2015-07-07 2015-10-29 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
US9530199B1 (en) * 2015-07-13 2016-12-27 Applied Materials Israel Ltd Technique for measuring overlay between layers of a multilayer structure
CN106547171B (zh) * 2015-09-17 2019-01-18 上海微电子装备(集团)股份有限公司 一种用于光刻装置的套刻补偿系统及方法
US9470987B1 (en) 2015-10-22 2016-10-18 United Microelectronics Corp. Overlay mask
CN105323181A (zh) * 2015-12-10 2016-02-10 成都捷科菲友信息技术有限公司 一种可安放于墙角处的无影照明吸附路由器
CN105337878A (zh) * 2015-12-10 2016-02-17 成都捷科菲友信息技术有限公司 一种按压吸附式无影照明路由器
US9633915B1 (en) * 2016-03-01 2017-04-25 Globalfoundries Inc. Method of using dummy patterns for overlay target design and overlay control
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10663286B2 (en) 2017-08-22 2020-05-26 Kla-Tencor Corporation Measuring thin films on grating and bandgap on grating
WO2020027784A1 (en) 2018-07-30 2020-02-06 Kla-Tencor Corporation Reducing device overlay errors
CN109753718B (zh) * 2018-12-28 2023-09-05 山西潞安太阳能科技有限责任公司 一种基于最小二乘法的pecvd色差改善方法
US11353799B1 (en) * 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
TWI814909B (zh) * 2019-09-27 2023-09-11 聯華電子股份有限公司 多層對位標記及其對位方法
CN111761060B (zh) * 2020-06-08 2022-03-29 湖南华曙高科技股份有限公司 应用于3d打印的风量控制方法、系统及可读存储介质
US20230068016A1 (en) * 2021-08-26 2023-03-02 Kla Corporation Systems and methods for rotational calibration of metrology tools
WO2023136845A1 (en) * 2022-01-13 2023-07-20 Kla Corporation Calibrated measurement of overlay error using small targets
CN114428445A (zh) * 2022-01-27 2022-05-03 华虹半导体(无锡)有限公司 套刻误差量测方法和装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US5545593A (en) * 1993-09-30 1996-08-13 Texas Instruments Incorporated Method of aligning layers in an integrated circuit device
KR100468234B1 (ko) * 1996-05-08 2005-06-22 가부시키가이샤 니콘 노광방법,노광장치및디스크
JP3527063B2 (ja) * 1997-06-04 2004-05-17 株式会社ルネサステクノロジ 半導体装置の製造方法
US6028910A (en) * 1998-01-19 2000-02-22 Foster-Miller, Inc. High resolution areal tomosynthesis
US6612159B1 (en) * 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
US6350548B1 (en) * 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US20020041377A1 (en) * 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
US6218200B1 (en) * 2000-07-14 2001-04-17 Motorola, Inc. Multi-layer registration control for photolithography processes
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
JP5180419B2 (ja) * 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US6766211B1 (en) * 2000-10-03 2004-07-20 International Business Machines Corporation Structure and method for amplifying target overlay errors using the synthesized beat signal between interleaved arrays of differing periodicity
US6699627B2 (en) * 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
US6486956B2 (en) * 2001-03-23 2002-11-26 Micron Technology, Inc. Reducing asymmetrically deposited film induced registration error
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6768538B2 (en) * 2001-11-02 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Photolithography system to increase overlay accuracy
KR100464854B1 (ko) * 2002-06-26 2005-01-06 삼성전자주식회사 반도체 기판의 정렬 방법 및 정렬 장치
US6888260B2 (en) * 2003-04-17 2005-05-03 Infineon Technologies Aktiengesellschaft Alignment or overlay marks for semiconductor processing
US6937337B2 (en) * 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids

Also Published As

Publication number Publication date
WO2005086223A2 (en) 2005-09-15
GB2427268A (en) 2006-12-20
DE112005000504B4 (de) 2012-02-16
CN1926677A (zh) 2007-03-07
US20050193362A1 (en) 2005-09-01
WO2005086223A3 (en) 2006-09-08
KR20060129421A (ko) 2006-12-15
TW200534362A (en) 2005-10-16
TWI375251B (en) 2012-10-21
JP2007528126A (ja) 2007-10-04
DE112005000504T5 (de) 2007-01-11
GB2427268B (en) 2008-01-02
GB0617132D0 (en) 2006-10-11
US7065737B2 (en) 2006-06-20

Similar Documents

Publication Publication Date Title
KR101187061B1 (ko) Ic 제조 동안 다중층 오버레이 측정 및 교정 기법
US11320745B2 (en) Measuring a process parameter for a manufacturing process involving lithography
US8111376B2 (en) Feedforward/feedback litho process control of stress and overlay
TWI470375B (zh) 用於判定疊對誤差之方法及裝置
KR100948495B1 (ko) 스캐터로메트리 프로파일링을 이용한 오버레이 계측법
US8980651B2 (en) Overlay measurement for a double patterning
KR101028867B1 (ko) 회로 내의 구조의 측정치를 획득하기 위한 산란계측법의 사용
TWI618990B (zh) 用於量測微影裝置之聚焦效能之方法及圖案化器件及裝置及器件製造方法
JP6903131B2 (ja) リソグラフィプロセスのパラメータを測定する方法及び装置、そのような方法及び装置を実施するためのコンピュータプログラム製品
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
TW201818146A (zh) 判定用於微影製程之參數之量測的一組最佳化量測位置之方法、用於實施該方法的度量衡系統及電腦程式產品
JPH0760789B2 (ja) 写真製版ツールを制御する方法
US7879516B2 (en) Manufacturing method of semiconductor integrated circuit device
NL2013923A (en) Apparatus operable to perform a measurement operation on a substrate, lithographic apparatus, and method of performing a measurement operation on a substrate.
JP4828499B2 (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
TW201835677A (zh) 判定圖案化製程之校正之方法、元件製造方法、用於微影裝置之控制系統及微影裝置
KR102639963B1 (ko) 추정된 산란 방사선 세기를 결정하기 위한 방법 및 계측 장치
US6818360B1 (en) Quartz mask crack monitor system for reticle by acoustic and/or laser scatterometry
TWI811952B (zh) 度量衡方法及設備
TWI841450B (zh) 度量衡方法及設備
TW202311864A (zh) 度量衡量測方法及裝置

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150827

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160830

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee