KR101047822B1 - 인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및방법 - Google Patents

인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및방법 Download PDF

Info

Publication number
KR101047822B1
KR101047822B1 KR1020057024849A KR20057024849A KR101047822B1 KR 101047822 B1 KR101047822 B1 KR 101047822B1 KR 1020057024849 A KR1020057024849 A KR 1020057024849A KR 20057024849 A KR20057024849 A KR 20057024849A KR 101047822 B1 KR101047822 B1 KR 101047822B1
Authority
KR
South Korea
Prior art keywords
wafer
head
proximity
proximity head
source
Prior art date
Application number
KR1020057024849A
Other languages
English (en)
Other versions
KR20060063805A (ko
Inventor
존 엠 보이드
라리오스 존 엠 데
마이클 래브킨
프레드 씨 리데커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060063805A publication Critical patent/KR20060063805A/ko
Application granted granted Critical
Publication of KR101047822B1 publication Critical patent/KR101047822B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Force Measurement Appropriate To Specific Purposes (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

웨이퍼를 프로세싱하는 시스템 및 방법은 프로세스를 웨이퍼에 적용하는 것을 포함한다. 프로세스는 표면 장력 구배 디바이스에 의해 지원된다. 프로세스의 결과가 모니터링된다. 모니터링된 결과가 출력된다.
메니스커스, 근접 헤드

Description

인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및 방법{SYSTEM AND METHOD FOR INTEGRATING IN-SITU METROLOGY WITHIN A WAFER PROCESS}
배경
1. 기술분야
본 발명은 일반적으로 반도체 제조 프로세스에 관한 것이고, 특히 반도체 제조 프로세스를 효율적으로 측정하고 모니터링하기 위한 방법 및 시스템에 관한 것이다.
2. 종래기술
반도체 칩 제조 프로세스는 다수의 공정과 서브 프로세스를 필요로 한다. 이러한 제조 공정의 예는 에칭, CMP (chemical mechanical polishing), 증착, 린싱, 건조, 및 그 밖의 공정을 포함한다. 각 제조 공정은 공정이 정확하게, 반복적으로, 시기에 알맞은 방식으로 수행되는지를 확인하기 위하여 모니터링되어야만 한다.
예를 들어, CMP 공정에서, 반도체 웨이퍼는 연마 표면에 대하여 웨이퍼 표면을 밀어내는 홀더에 배치된다. 연마 표면은 연마하기 위하여 화학적 연마 재료로 구성된 슬러리를 이용한다. 일반적으로, CMP 는 하부 소자 층을 노출시키기 위하여 상부층을 제거하는데 이용된다. CMP 프로세스가 지나치게 오래 지속되면, 상부 재료가 지나치게 제거되어, 하부 디바이스가 손상될 수 있다. 또한, 상부 재료를 지나치게 제거하면, 하부 전기적 디바이스의 전기적 특성이 변경되어, 그 결과적인 전기적 특성이 허용 가능한 범위를 초과하여 변경될 수도 있다. 그 결과, 하부 디바이스에 의해 형성된 회로가 성능 목표를 충족시키지 못할 수 있다. 또한, CMP 프로세스가 지나치게 일찍 종료되면, 상부 재료가 충분히 제거되지 않는다. 그 결과, 남아 있는 상부 재료가 하부 디바이스 사이에 의도하지 않은 상호 접속을 유발할 수 있다. CMP 공정에서, CMP 프로세스를 적시에 종료시키기 위하여, 일반적으로 임의의 타입의 종점 검출기 또는 종점 모니터링 프로세스가 이용된다.
다른 타입의 제조 프로세스 (예를 들어, 에칭, 린싱, 건조, 증착) 도 각 제조 프로세스의 진행을 모니터링할 수 있는 임의의 종류의 서브 시스템이나 서브 프로세스를 포함해야만 한다. 디바이스의 피쳐 (feature) 크기가 점점 작아지고 통합 수준이 증가함에 따라 프로세스 제어 필요성이 보다 엄격해져, 이와 같은 서브 시스템이나 서브 프로세스를 이용하는 것이 더욱 중요해졌다. 일반적으로, 모니터링 시스템 또는 서브 프로세스는 제조 프로세스에서 분리된다. 예를 들어, 습식 화학 에칭 제조 프로세스에서, 일반적으로 습식 에칭 프로세스가 중단되고, 후속하여 진행 과정이 평가된다. 에칭 프로세스는 초기 기간 동안 반도체 기판에 적용된다. 그 후, 반도체 기판이 린싱되고, 건조되며, 에칭 프로세스 툴로부터 제거되어, 습식 에칭 프로세스가 소망하는 목표에 도달하였는지를 결정하기 위하여 적절한 서브 시스템 또는 서브 프로세스로부터 계측법을 이용하여 평가된다. 에칭 프로세스가 소망하는 목표에 도달한 경우 (즉, 에칭 프로세스가 소망하는 재료를 에칭한 경우), 후속 프로세스 (예를 들어, 세정, 린싱, 건조) 가 반도체 기판에 적용된다.
또한, 습식 에칭 프로세스가 소망하는 목표를 달성하지 못한 경우 (즉, 에칭 프로세스가 소망하는 재료를 모두 제거하지 않은 경우), 에칭 프로세스는 재가공 프로세스에서 반도체 기판에 다시 적용된다. 재가공 프로세스를 한번 이상 반복한 후에, 습식 에칭 프로세스가 반도체 기판으로부터 소망하는 재료를 제거한다. 배치 (batch) 프로세싱 시스템의 경우, 기판 전체 배치의 재가공 전에 요구되는 재가공 프로세스를 확인하기 위하여 (예를 들어, 프로세스 시간을 정정하기 위하여), 단일 반도체 기판이 이용될 수도 있다. 단일 반도체 기판 프로세싱 시스템의 경우, 습식 에칭 프로세스를 재가공하기 위하여 전체 기판을 적용하기 전에 유사한 방법이 이용될 수 있다.
두께 손실을 측정하기 위하여 건식 플라즈마 에칭 프로세스에 이용된 인시츄 프로세스 모니터링 방법에 관한 다수의 예가 제시된다. 이러한 방법은 에칭 프로세스 중의 막 두께 변경 정보를 결정하고 제공하기 위하여 간섭계를 이용하기도 한다. 습식 화학 프로세스를 이용하는 경우, 측정되는 기판 표면 상의 액체막이 복잡하여 측정을 방해할 수 있기 때문에 문제가 된다.
또한, 각 제조 프로세스의 진행을 모니터링하는 일반적인 종래 기술의 서브 시스템 또는 서브 프로세스는, 프로세스 자체가 방해를 받고 여러번 재시작해야하기 때문에 본질적으로 비효율적이다. 각 반도체 제조 프로세스의 시작과 중단은 반도체 기판의 추가적 핸들링과 더 복잡한 전반적 반도체 제조 프로세스도 필요로 할 수 있다. 추가적인 핸들링과 더 복잡한 프로세스는 반도체 제조 프로세스에서 추가적 비균일성, 결점, 또는 실수를 유발할 수 있다.
상기 관점에서, 제조 프로세스 자체 내에서 반도체 제조 프로세스 결과를 모니터링하고 정량화하기 위한 시스템 및 방법이 필요하다.
발명의 요약
대체로, 본 발명은 웨이퍼에 적용되는 프로세스를 모니터링하기 위한 인시츄 센서를 제공하여 이러한 요구를 충족시킨다. 본 발명은 프로세스로서 장치, 시스템, 컴퓨터 판독가능 매체, 또는 디바이스를 포함하는 다수의 방식으로 구현될 수 있다. 이하, 본 발명의 다양한 실시형태를 설명한다.
일 실시형태는 웨이퍼를 프로세싱하기 위한 방법을 제공한다. 본 방법은 프로세스를 웨이퍼에 적용하는 단계를 포함한다. 프로세스는 표면 장력 구배 디바이스에 의해 지원된다. 프로세스의 결과가 모니터링된다. 모니터링된 결과가 출력된다. 또한, 프로세스는 모니터링된 결과에 따라 조절될 수도 있다.
프로세스는 세정 프로세스, 린싱 프로세스, 건조 프로세스, 에칭 프로세스, 증착 프로세스, 및 전기도금 프로세스로 이루어지는 프로세스 그룹 중 하나 이상을 포함할 수 있다. 프로세스의 결과는 인시츄 센서에 의해 모니터링될 수 있다. 인시츄 센서는 광 센서와 와전류 센서로 이루어진 그룹 중 하나 이상을 포함할 수 있다.
표면 장력 구배 디바이스는 하나 이상의 근접 헤드를 포함할 수 있다. 모니터링된 결과는 실시간으로 출력될 수 있다. 모니터링된 결과는 프로세스 제어기로 출력될 수 있다. 프로세스 제어기는 모니터링된 결과에 따라 프로세스를 조절할 수 있다. 프로세스 제어기는 실시간으로 프로세스를 제어할 수 있다.
다른 실시형태는 웨이퍼 프로세싱 시스템을 포함한다. 웨이퍼 프로세싱 시스템은 프로세스를 지원할 수 있는 하나 이상의 표면 장력 구배 디바이스, 프로세스의 결과를 모니터링하기 위한 인시츄 센서, 및 인시츄 센서와 표면 장력 구배 디바이스에 커플링된 시스템 제어기를 포함할 수 있다. 시스템 제어기는 프로세스 레시피 (recipe) 를 포함한다.
프로세스는 세정 프로세스, 린싱 프로세스, 에칭 프로세스, 증착 프로세스, 및 전기도금 프로세스로 이루어진 프로세스 그룹 중 하나 이상을 포함할 수 있다. 인시츄 센서는 광 센서와 와전류 센서로 구성된 그룹 중 하나 이상을 포함할 수 있다.
모니터링된 결과는 실시간으로 출력될 수 있다. 표면 장력 구배 디바이스는 근접 헤드를 포함할 수 있다.
프로세스는 표면 장력 구배 디바이스에 의해 지원된 메니스커스 내에서 지원된다. 인시츄 센서는 표면 장력 구배 디바이스 내에 포함될 수 있다. 메니스커스는 인시츄 센서에 의해 범위가 정해진 건조 영역을 포함한다.
인시츄 센서는 표면 장력 구배 디바이스와 함께 이동될 수 있다. 또한, 인시츄 센서는 표면 장력 구배 디바이스로부터 독립적으로 이동될 수 있다.
다른 실시형태는 웨이퍼를 프로세싱하기 위한 방법을 제공한다. 본 방법은 프로세스를 웨이퍼에 적용하는 단계를 포함한다. 프로세스는 근접 헤드에 의해 지원된다. 프로세스의 결과는 인시츄 센서에 의해 모니터링된다. 모니터링된 결과는 실시간으로 프로세스 제어기로 출력된다. 프로세스의 레시피는 모니터링된 결과에 따라 실시간으로 프로세스 제어기에서 조절된다.
본 발명은 프로세스의 더 정밀한 제어를 제공하는 이점이 있다. 더 정밀한 제어로 프로세스 시간이 감소하고, 따라서 종래 프로세스 및 시스템보다 웨이퍼 처리량이 증가한다.
본 발명의 다른 양태 및 이점은 본 발명의 원리를 예로서 도시하는 첨부한 도면을 참조한 하기 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부한 도면을 참조한 다음의 상세한 설명에 의해 용이하게 이해될 것이며, 유사한 도면 부호는 유사한 구조의 소자를 지정한다.
도면의 간단한 설명
도 1 은 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템을 도시한다.
도 2a 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템의 또 다른 도면이다.
도 2b 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 를 유지하는 웨이퍼 프로세싱 시스템의 측면 확대도를 도시한다.
도 2c 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템의 또 다른 측면 확대도이다.
도 3a 는 본 발명의 일 실시형태에 따른 듀얼 근접 헤드를 갖는 웨이퍼 프로세싱 시스템을 도시하는 평면도이다.
도 3b 는 본 발명의 일 실시형태에 따른 듀얼 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 측면도를 도시한다.
도 4a 는 본 발명의 일 실시형태에 따른 웨이퍼의 특정 표면에 대한 다수의 근접 헤드를 포함하는 웨이퍼 프로세싱 시스템의 평면도이다.
도 4b 는 본 발명의 일 실시형태에 따른 웨이퍼의 특정 표면에 대한 다수의 근접 헤드를 포함하는 웨이퍼 프로세싱 시스템의 측면도이다.
도 5a 는 본 발명의 일 실시형태에 따른 웨이퍼의 직경을 가로질러 연장된 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 평면도이다.
도 5b 는 본 발명의 일 실시형태에 따른 웨이퍼의 직경을 가로질러 연장된 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 측면도이다.
도 5c 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼에 하나 이상의 제조 프로세스를 적용하도록 구성되는 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 평면도이다.
도 5d 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼를 프로세싱하도록 구성되는 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 측면도이다.
도 5e 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼를 프로세싱할 수 있는 수직 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 측면도이다.
도 5f 는 본 발명의 일 실시형태에 따라, 도 5e 에 도시된 측면도로부터 90 도 시프트된 웨이퍼 프로세싱 시스템의 또 다른 측면도이다.
도 5g 는 본 발명의 일 실시형태에 따라, 웨이퍼의 반경을 가로질러 연장된 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 평면도이다.
도 5h 는 본 발명의 일 실시형태에 따라, 웨이퍼의 반경을 가로질러 연장된 수평 구성의 근접 헤드를 갖는 웨이퍼 프로세싱 시스템의 측면도이다.
도 6a 는 본 발명의 일 실시형태에 따라 웨이퍼에 제조 프로세스를 적용하도록 이용될 수도 있는 근접 헤드 입구/출구 배향을 도시한다.
도 6b 는 본 발명의 일 실시형태에 따라 웨이퍼에 제조 프로세스를 적용하도록 이용될 수도 있는 또 다른 근접 헤드 입구/출구 배향을 도시한다.
도 6c 는 본 발명의 일 실시형태에 따라 웨이퍼에 제조 프로세스를 적용하도록 이용될 수도 있는 또 다른 근접 헤드 입구/출구 배향을 도시한다.
도 6d 는 본 발명의 일 실시형태에 따른 근접 헤드에 의해 수행될 수도 있는 예시적인 웨이퍼 건조 프로세스의 바람직한 실시형태를 도시한다.
도 6e 는 본 발명의 일 실시형태에 따른 근접 헤드에 의해 수행될 수도 있는 또 다른 소스 입구/출구 배향을 사용하는 또 다른 웨이퍼 건조 프로세스를 도시한다.
도 6f 는 본 발명의 일 실시형태에 따른 추가 유체를 유입하는데 추가 소스 출구가 이용될 수도 있는 또 다른 소스 입구 및 출구 배향을 도시한다.
도 7a 는 본 발명의 일 실시형태에 따른 예시적인 건조 공정을 수행하는 근접 헤드를 도시한다.
도 7b 는 본 발명의 일 실시형태에 따른 근접 헤드의 일부의 평면도이다.
도 8a 는 본 발명의 일 실시형태에 따른 듀얼 웨이퍼 표면 프로세싱 시스템 에 사용되는 근접 헤드의 측면도를 도시한다.
도 8b 는 본 발명의 일 실시형태에 따른 듀얼 웨이퍼 표면 프로세싱 시스템의 근접 헤드를 도시한다.
도 9a 는 본 발명의 일 실시형태에 따른 프로세싱 윈도우를 도시한다.
도 9b 는 본 발명의 일 실시형태에 따른 실질적으로 원형의 프로세싱 윈도우를 도시한다.
도 10a 는 본 발명의 일 실시형태에 따른 예시적인 근접 헤드를 도시한다.
도 10b 는 본 발명의 일 실시형태에 따른 근접 헤드의 단면도를 도시한다.
도 11a 는 본 발명의 일 실시형태에 따른 근접 헤드에 의해 형성되는 예시적인 메니스커스의 평면도이다.
도 11b 는 본 발명의 일 실시형태에 따른 근접 헤드에 의해 형성되는 메니스커스의 단면도이다.
도 12a 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템을 도시한다.
도 12b 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템을 도시한다.
도 13 은 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템의 블록도이다.
도 14 는 본 발명의 일 실시형태에 따른 근접 헤드에서의 프로세스를 인시츄 모니터링하는 방법 공정의 흐름도이다.
예시적 실시형태의 상세한 설명
표면 장력 구배를 이용하고 인시츄 계측법 시스템과 결합된 반도체 제조 프로세스에 대한 몇몇 예시적인 실시형태를 설명한다. 본 명세서에서 설명하는 특정한 세부사항들의 일부 또는 전부없이도 본 발명이 실행될 수도 있음은 당업자에게 자명할 것이다.
본 발명을 몇몇 바람직한 실시형태의 관점에서 설명하지만, 선행 명세서를 판독하고 도면을 연구한 당업자는 다양한 변형, 추가, 변경 및 균등물을 실현할 수 있을 것이다. 따라서, 본 발명은 본 발명의 진정한 사상 및 범주에 속하는 이러한 모든 변형, 추가, 변경 및 균등물을 포함한다.
이하, 도 1 내지 도 2c 는 예시적인 웨이퍼 프로세싱 시스템의 실시형태를 도시한다. 이 시스템은 예시적이며, 근접 헤드(들)를 웨이퍼에 근접하도록 이동시킬 수 있는 임의의 다른 적절한 타입의 구성이 이용될 수도 있다. 도시된 실시형태에서, 근접 헤드(들)는 웨이퍼의 중심부로부터 웨이퍼의 에지로 선형 방식으로 이동할 수도 있다. 근접 헤드(들)가 웨이퍼의 일 에지로부터 웨이퍼의 또 다른 대향 에지로 선형 방식으로 이동하는 다른 실시형태가 이용될 수도 있고, 예를 들어, 반경 이동, 원형 이동, 나선 이동, 지그재그 이동 등과 같은 다른 비선형 이동이 이용될 수도 있다. 또한, 이동은, 사용자가 소망하는 임의의 적절한 특정 이동 프로파일일 수도 있다. 또한, 일 실시형태에서, 웨이퍼는 회전할 수도 있고, 근접 헤드가 웨이퍼의 모든 부분을 프로세싱할 수 있도록 근접 헤드가 선형으로 이동될 수도 있다. 또한, 웨이퍼는 회전하지 않지만, 근접 헤드가 웨이퍼 의 모든 부분을 프로세싱할 수 있는 방식으로 웨이퍼 상으로 이동하는 다른 실시형태가 이용될 수도 있다. 또한, 본 명세서에서 설명하는 근접 헤드 및 웨이퍼 프로세싱 시스템은 예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 평탄한 패널 등과 같은 임의의 형태 및 크기의 기판을 프로세싱하는데 이용될 수도 있다. 웨이퍼 프로세싱 시스템은 시스템의 구성에 따라 임의의 타입의 제조 프로세스를 웨이퍼에 적용하는데 이용될 수도 있다.
도 1 은 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (100) 을 도시한다. 시스템 (100) 은, 웨이퍼 표면이 프로세싱될 수 있도록 웨이퍼를 유지하고 회전시킬 수도 있는 롤러 (102a, 102b 및 102c) 를 포함한다. 또한, 일 실시형태에서 시스템 (100) 은 상부 암 (104a) 및 하부 암 (104b) 에 각각 부착된 근접 헤드 (106a 및 106b) 를 포함한다. 상부 암 (104a) 및 하부 암 (104b) 은, 웨이퍼의 반경을 따라 근접 헤드 (106a 및 106b) 의 선형 이동을 실질적으로 가능하게 하는 근접 헤드 캐리어 어셈블리 (104) 의 일부이다.
일 실시형태에서, 근접 헤드 캐리어 어셈블리 (104) 는 웨이퍼 위에 근접 헤드 (106a) 를 유지하고, 웨이퍼 아래에 근접하여 근접 헤드 (106b) 를 유지하도록 구성된다. 이는, 근접 헤드가 웨이퍼 프로세싱을 시작하는 위치로 수평으로 이동되면, 근접 헤드 (106a 및 106b) 가 웨이퍼에 근접한 위치에서 수직으로 이동될 수 있도록 상부 암 (104a) 및 하부 암 (104b) 을 이동시킴으로써 달성될 수도 있다. 상부 암 (104a) 및 하부 암 (104b) 은, 근접 헤드 (106a 및 106b) 가 전술한 바와 같이 웨이퍼 프로세싱을 가능하게 하도록 이동될 수 있는 임의의 적절한 방식으로 구성될 수도 있다. 시스템은, 도 6d 내지 도 8b 를 참조하여 후술하는 바와 같이 메니스커스를 생성하고 제어하기 위해 근접 헤드(들)가 웨이퍼에 근접하여 이동될 수 있는 한 임의의 적절한 방식으로 구성될 수도 있다. 또한, 도 6d 내지 도 8b 를 참조하여 더 설명하는 바와 같이 메니스커스가 유지될 수 있는 한 근접은 임의의 적절한 거리일 수도 있다. 일 실시형태에서, 근접 헤드 (106a 및 106b) (및 본 명세서에서 설명하는 임의의 다른 근접 헤드) 는 웨이퍼 프로세싱 공정을 개시하기 위해 웨이퍼로부터 약 0.1 mm 내지 약 10 mm 사이에서 각각 이동될 수도 있다. 바람직한 실시형태에서, 근접 헤드 (106a 및 106b) (및 본 명세서에서 설명하는 임의의 다른 근접 헤드) 는 웨이퍼 프로세싱 공정을 개시하기 위해 웨이퍼로부터 약 0.5 mm 내지 약 4.5 mm 사이에서 각각 이동될 수도 있고, 더 바람직한 실시형태에서는, 근접 헤드 (106a 및 106b) (및 본 명세서에서 설명하는 임의의 다른 근접 헤드) 가 웨이퍼 프로세싱 공정을 개시하기 위해 웨이퍼로부터 약 2 mm 에서 이동될 수도 있다.
도 2a 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (100) 의 도 다른 도면을 나타낸다. 일 실시형태에서, 시스템 (100) 은, 근접 헤드 (106a 및 106b) 를 웨이퍼의 중심으로부터 웨이퍼의 에지로 이동시킬 수 있도록 구성되는 근접 헤드 캐리어 어셈블리 (104) 를 가진다. 근접 헤드 캐리어 어셈블리 (104) 는, 소망에 따라 웨이퍼를 세정 및/또는 건조시키기 위해 근접 헤드 (106a 및 106b) 를 이동시킬 수 있는 임의의 적절한 방식으로 이동될 수도 있다. 일 실시형태에서, 근접 헤드 캐리어 어셈블리 (104) 는 근접 헤드 (106a 및 106b) 를 웨이퍼의 중심으로부터 웨이퍼의 에지로 이동시키도록 동력화될 수 있다. 웨이퍼 프로세싱 시스템 (100) 은 2 개의 근접 헤드 (106a 및 106b) 로 도시되어 있지만, 예를 들어, 1, 2, 3, 4, 5, 6 등과 같은 임의의 적절한 수의 근접 헤드가 이용될 수도 있다. 또한, 웨이퍼 프로세싱 시스템 (100) 의 근접 헤드 (106a 및/또는 106b) 는 예를 들어, 본 명세서에서 설명하는 근접 헤드 중 하나에서 도시되는 바와 같이 임의의 적절한 크기 또는 형태일 수도 있다. 또한, 근접 헤드 (106a 및 106b) 는, 근접 헤드 각각이 웨이퍼 (108) 표면의 상이한 부분 상에 존재할 수 있도록 서로 독립적으로 이동하도록 구성될 수 있다.
본 명세서에서 설명하는 상이한 구성은 근접 헤드와 웨이퍼 사이에 유체 메니스커스를 생성한다. 예를 들어, 웨이퍼 표면에 유체를 도포하고 웨이퍼 표면으로부터 유체를 제거함으로써 웨이퍼를 세정하고 건조시키기 위해 유체 메니스커스가 웨이퍼를 가로질러 이동될 수도 있다. 따라서, 근접 헤드 (106a 및 106b) 는 본 명세서에서 도시하는 바와 같은 임의의 다양한 타입의 구성 또는 본 명세서에서 설명하는 프로세스를 가능하게 하는 다른 구성을 가질 수 있다. 또한, 시스템 (100) 은 웨이퍼의 일면 또는 웨이퍼의 상부면 및 바닥면 모두를 프로세싱할 수도 있다.
또한, 웨이퍼의 상부면 및 바닥면을 프로세싱하는 것에 부가하여, 원한다면, 시스템 (100) 은 웨이퍼의 각 측에 상이한 타입의 유체를 유입하고 배출시킴으로써 웨이퍼의 각 측에서 상이한 프로세스를 수행하도록 구성될 수도 있다. 예를 들어, 시스템 (100) 은 웨이퍼의 전면측을 세정하고 웨이퍼의 후면측을 건조시킬 수 있다. 시스템 (100) 은, 소망하는 공정에 따라 근접 헤드 (106a 및 106b) 의 상단 및 바닥에 각각 상이한 화학물질을 도포할 수도 있다. 근접 헤드는 웨이퍼의 상단 및/또는 바닥을 프로세싱하는 것에 부가하여 웨이퍼의 사면 (bevel) 에지를 프로세싱하도록 구성될 수 있다. 이는, 사면 에지를 세정하는 웨이퍼의 에지로부터 메니스커스를 제거함으로써 달성될 수 있다. 또한, 근접 헤드 (106a 및 106b) 는 동일한 타입의 장치 또는 상이한 타입의 근접 헤드일 수도 있다.
도 2b 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 를 유지하는 웨이퍼 프로세싱 시스템 (100) 의 측면 확대도를 도시한다. 웨이퍼 (108) 는, 프로세싱될 웨이퍼 (108) 부분에 소망하는 근접 헤드를 근접시킬 수 있는 배향인 한, 임의의 적절한 배향으로 롤러 (102a, 102b 및 102c) 에 의해 유지되고 회전될 수도 있다. 일 실시형태에서, 롤러 (102b) 는 스핀들 (111) 을 사용하여 회전될 수도 있고, 롤러 (102c) 는 롤러 암 (109) 에 의해 유지되고 회전될 수도 있다. 또한, 롤러 (102a) 는 (도 3b 에 도시된 바와 같이) 자체의 스핀들에 의해 회전될 수도 있다. 일 실시형태에서, 롤러 (102a, 102b 및 102c) 는 웨이퍼 (108) 를 반시계방향으로 회전시키도록 시계방향으로 회전할 수 있다. 소망하는 웨이퍼 회전에 따라 롤러는 시계방향으로 회전할 수도 있고, 반시계방향으로 회전할 수도 있다. 일 실시형태에서, 롤러 (102a, 102b 및 102c) 에 의해 웨이퍼 (108) 상에 부여되는 회전은, 프로세싱되지 않은 웨이퍼 영역을 근접 헤드 (106a 및 106b) 에 근접하게 이동시키도록 기능한다. 예시적인 건조 공정에서는, 웨이퍼의 습식 영역이 근접 헤드 (106a 및 106b) 의 선형 이동 및 웨이퍼 (108) 의 회전 모두를 통해 근접 헤드 (106a 및 106b) 에 제공될 것이다. 건조 또는 세정 공정 자체는 근접 헤드 중 하나 이상에 의해 수행된다. 그 결과, 일 실시형태에서는, 건조 공정이 진행함에 따라 웨이퍼 (108) 의 건조 영역이 웨이퍼의 중심 영역으로부터 에지 영역으로 나선 이동으로 연장될 것이다. 바람직한 실시형태에서, 웨이퍼 (108) 의 건조 영역은 웨이퍼 (108) 주위로 이동하고, 웨이퍼 (108) 는 1 회전으로 건조될 것이다 (근접 헤드 (106a 및 106b) 의 길이가 적어도 웨이퍼 (108) 의 반경인 경우). 시스템 (100) 의 구성, 및 근접 헤드 (106a 및 106b) 의 배향 및 이동을 변경함으로써, 임의의 적절한 타입의 건조 경로를 거의 수용하도록 건조 이동이 변경될 수도 있다.
근접 헤드 (106a 및 106b) 는 탈이온수 (DIW) 또는 다른 프로세싱 화학물질을 유입하도록 구성되는 하나 이상의 제 1 소스 입구 (DIW 입구로도 공지됨), 증기 형태의 이소프로필 알코올 (IPA) 을 유입하도록 구성되는 하나 이상의 제 2 소스 입구 (IPA 입구로도 공지됨), 및 진공을 가함으로써 웨이퍼와 특정 근접 헤드 사이의 영역으로부터 유체를 배출하도록 구성되는 하나 이상의 소스 출구 (진공 출구로도 공지됨) 를 가지도록 구성될 수도 있다. 또한 본 명세서에서 이용되는 진공은 흡입일 수도 있다. 또한, 예를 들어, 에칭 화학물질, 포토레지스트 습식 스트리핑 화학물질, 세정액, 암모니아, HF 등과 같은 다른 타입의 용액이 제 1 소스 입구 및 제 2 소스 입구로 유입될 수도 있다. 예시적인 실시형태의 일부에서는 IPA 증기가 사용되지만, 다른 장력 활성 물질 (tensio-active substance; 기판과 액체의 계면 사이에서 표면 장력 구배를 제공하거나 증가시키거나 감소시키는 물질) 및 질소, 또는 다른 불활성 캐리어 가스가 장력 활성 증기를 운반하는데 사용될 수도 있다. IPA 에 대한 대체물로는: 디아세톤, 디아세톤 알코올, 1-메톡시-2-프로판올, 에틸글리콜, 메틸-피롤리돈, 에틸락테이트, 2-부타놀 등이 포함되지만 이에 한정되는 것은 아니다. 또한, 물과 혼합될 수도 있는, 예를 들어, 질소, 아르곤, 또는 다른 가스, 임의의 적절한 알코올 증기, 유기 화합물 등과 같은 임의의 다른 타입의 증기 또는 가스가 이용될 수도 있다.
일 실시형태에서는, 하나 이상의 IPA 증기 입구가 하나 이상의 DIW 입구에 교대로 근접한 하나 이상의 진공 출구에 근접하여 IPA-진공-DIW 배향을 형성한다. 강화되도록 추구되는 웨이퍼 프로세싱에 따라 IPA-DIW-진공, DIW-진공-IPA, 진공-IPA-DIW 등과 같은 다른 타입의 배향이 이용될 수도 있다. 바람직한 실시형태에서는, 웨이퍼를 세정하고 건조시키기 위해 근접 헤드와 웨이퍼 사이에 위치한 메니스커스를 효과적으로 생성하고, 제어하고, 이동시키기 위해 IPA-진공-DIW 배향이 이용될 수도 있다. 전술한 배향이 유지된다면, DIW 입구, IPA 증기 입구, 진공 출구가 임의의 적절한 방식으로 배열될 수도 있다. 예를 들어, 또 다른 실시형태에서는, IPA 증기 입구, 진공 출구 및 DIW 입구에 추가하여, 소망하는 근접 헤드의 구성에 따라 IPA 증기 출구, DIW 입구 및/또는 진공 출구의 추가적 세트가 존재할 수도 있다. 따라서, 또 다른 실시형태에서는, IPA-진공-DIW-DIW-진공-IPA 를 이용할 수도 있고, 또는 IPA 소스 입구, 진공 소스 출구, 및 DIW 소스 출구 구성이 도 6d 를 참조하여 설명하는 바람직한 실시형태로 설명된다. IPA-진공- DIW 배향의 정확한 구성은 애플리케이션에 따라 변화될 수도 있다. 예를 들어, IPA 입구, 진공, 및 DIW 입구 위치 사이의 거리는 그 거리가 일정하거나 또는 일정하지 않도록 변화될 수도 있다. 또한, IPA 입구, 진공, 및 DIW 출구 사이의 거리는 도 9a 및 9b 를 참조하여 더 상세히 설명하는 바와 같이 근접 헤드 (106a) 의 크기, 형태 및 구성, 및 프로세싱 윈도우의 소망하는 크기 (즉, 메니스커스의 형태 및 크기) 에 따라 크기에서 달라질 수도 있다. 또한, 도 9a 및 9b 를 참조하여 설명하는 바와 같이, IPA-진공-DIW 배향은, 진공 영역이 DIW 영역을 실질적으로 둘러싸고 IPA 영역이 진공 영역의 적어도 트레일링 영역을 실질적으로 둘러싸도록 구성된다.
도 2c 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (100) 의 또 다른 측면 확대도를 도시한다. 이 실시형태에서는, 근접 헤드 캐리어 어셈블리 (104) 를 이용하여 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 의 상부면 (108a) 및 바닥면 (108b) 에 각각 근접하여 위치된다. 이 위치에서, 상부면 (108a) 및 바닥면 (108b) 으로부터 유체를 제거할 수 있는 웨이퍼 (108) 와 접촉하여 프로세싱 메니스커스를 생성하기 위해, 근접 헤드 (106a 및 106b) 는 IPA 및 DIW 소스 입구 및 진공 소스 출구(들)를 이용할 수도 있다. IPA 증기 및 DIW 가 웨이퍼 (108) 와 근접 헤드 (106a 및 106b) 사이의 영역으로 유입되는 도 6a 내지 도 9b 를 참조한 설명에 따라 웨이퍼 프로세싱 메니스커스가 생성될 수도 있다. IPA 및 DIW 가 유입되는 것과 실질적으로 동시에, 웨이퍼 표면 상에 존재할 수도 있는 IPA 증기, DIW 및 유체를 배출하기 위해 웨이퍼 표면에 근접하여 진공이 가해질 수도 있다. 예시적인 실시형태에서는 IPA 가 사용되지만, 물과 혼합될 수도 있는 임의의 적절한 알코올 증기, 유기 화합물, 헥사놀, 에틸 글리콜 등과 같은 임의의 적절한 타입의 증기가 이용될 수도 있다. 이러한 유체는 표면 장력 감소 유체로도 공지되어 있다. 표면 장력 감소 유체는 2 면 (예를 들어, 근접 헤드 및 웨이퍼의 표면) 사이에서 표면 장력 구배를 증가시키도록 동작한다.
근접 헤드와 웨이퍼 사이의 영역에 존재하는 DIW 부분이 메니스커스이다. 본 명세서에서 사용하는 용어 "배출" 은 웨이퍼 (108) 와 특정 근접 헤드 사이의 영역으로부터 유체의 제거를 나타내고, 용어 "유입" 은 웨이퍼 (108) 와 특정 근접 헤드 사이의 영역으로 유체의 도입을 나타낸다.
또 다른 예시적인 실시형태에서는, 웨이퍼 (108) 가 회전하지 않고 웨이퍼 (108) 의 모든 부분이 프로세싱되는 방식으로 근접 헤드 (106a 및 106b) 가 이동될 수도 있다. 이러한 실시형태에서는, 근접 헤드 캐리어 어셈블리 (104) 가 근접 헤드 (106a 및 106b) 의 하나 또는 모두를 웨이퍼 (108) 의 임의의 적절한 영역에 근접하게 이동시킬 수 있도록 구성될 수도 있다. 근접 헤드의 길이가 웨이퍼의 반경보다 작은 일 실시형태에서는, 근접 헤드가 웨이퍼 (108) 의 중심으로부터 가장자리로 또는 그 반대로 나선 방식으로 이동하도록 구성될 수도 있다. 근접 헤드의 길이가 웨이퍼의 반경보다 긴 바람직한 실시형태에서는, 근접 헤드 (106a 및 106b) 가 일회전으로 웨이퍼의 전체 표면 상으로 이동될 수도 있다. 또 다른 실시형태에서는, 웨이퍼 표면 (108a 및/또는 108b) 의 모든 부분이 프로세싱될 수도 있도록 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 를 가로질러 앞뒤로 선형 방식으로 이동하도록 구성될 수도 있다. 또 다른 실시형태에서는, 도 5c 내지 5h 를 참조하여 후술하는 바와 같은 구성이 이용될 수도 있다. 그 결과, 웨이퍼 프로세싱 공정의 최적화를 얻기 위해, 시스템 (100) 의 무한히 다른 구성이 이용될 수도 있다.
도 3a 는 본 발명의 일 실시형태에 따른 듀얼 근접 헤드를 갖는 웨이퍼 프로세싱 시스템 (100) 을 도시하는 평면도이다. 도 1 내지 도 2c 를 참조하여 전술한 바와 같이, 상부 암 (104a) 은 웨이퍼 (108) 위의 근접한 위치에서 근접 헤드 (106a) 를 이동시키고 유지하도록 구성될 수도 있다. 또한 상부 암 (104a) 은 근접 헤드 (106a) 를 웨이퍼 (108) 의 중심부로부터 웨이퍼 (108) 의 가장자리쪽으로 실질적인 선형 방식 (113) 으로 이동시키도록 구성될 수도 있다. 그 결과, 일 실시형태에서는, 웨이퍼 (108) 가 방향 (112) 에서 회전함에 따라, 근접 헤드 (106a) 는 후술할 도 6a 내지 8b 를 참조하여 더 상세히 설명하는 프로세스를 사용하여 웨이퍼의 상부면 (108a) 으로부터 유체막을 이동시키고 제거할 수 있다. 따라서, 근접 헤드 (106a) 는 웨이퍼 (108) 위에서 실질적 나선 경로로 웨이퍼 (108) 를 프로세싱할 수도 있다. 도 3b 를 참조하여 도시된 바와 같은 또 다른 실시형태에서는, 제 2 근접 헤드가 웨이퍼 (108) 의 아래에 위치되어 웨이퍼 (108) 의 바닥면 (108b) 상에서 프로세스를 수행할 수 있다.
도 3b 는 본 발명의 일 실시형태에 따른 듀얼 근접 헤드를 갖는 웨이퍼 프로세싱 시스템 (100) 의 측면도이다. 이 실시형태에서, 시스템 (100) 은 웨이퍼의 상부면을 프로세싱할 수 있는 근접 헤드 (106a) 및 웨이퍼 (108) 의 바닥면을 프로세싱할 수 있는 근접 헤드 (106b) 를 모두 포함한다. 일 실시형태에서는, 롤러 암 (109) 와 함께 스핀들 (111a 및 111b) 이 롤러 (102a, 102b 및 102c) 를 각각 회전시킬 수도 있다. 롤러 (102a, 102b 및 102c) 의 회전은, 건조 및/또는 세정을 위해 웨이퍼 (108) 의 실질적으로 모든 표면이 근접 헤드 (106a 및 106b) 에 제공될 수 있도록 웨이퍼 (108) 를 회전시킬 수도 있다. 일 실시형태에서는, 웨이퍼 (108) 가 회전하는 동안, 암 (104a 및 104b) 에 의해 근접 헤드 (106a 및 106b) 가 각각 웨이퍼 표면 (108a 및 108b) 에 근접하게 된다. 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 에 근접하게 되면, 웨이퍼 프로세싱이 시작될 수도 있다. 공정시에, 근접 헤드 (106a 및 106b) 는 도 6a 내지 도 6f 에서 후술하는 바와 같이 웨이퍼 (108) 의 상부면 및 바닥면에 IPA, 탈이온수 및 진공을 가함으로써 웨이퍼 (108) 로부터 프로세싱 유체를 각각 이동/제거할 수도 있다.
일 실시형태에서는, 근접 헤드 (106a 및 106b) 를 사용함으로써 시스템 (100) 이 예를 들어, 45 초 미만에 200 mm 웨이퍼를 건조시킬 수도 있다. 근접 헤드 (106a 및 106b) 가 적어도 웨이퍼 반경 길이인 또 다른 실시형태에서는, 웨이퍼에 대한 건조 시간이 30 초 미만일 수도 있다. 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 의 중심으로부터 웨이퍼 (108) 의 에지로 이동하는 속도를 증가시킴으로써 프로세싱 시간이 감소될 수도 있다. 또 다른 실시형태에서는, 더 짧은 시간에 웨이퍼 (108) 를 프로세싱하기 위해 근접 헤드 (106a 및 106b) 가 더 고속의 웨이퍼 회전과 함께 이용될 수도 있다. 또 다른 실시형태에서는, 최적의 프로세싱 속도를 얻기 위해 웨이퍼 (108) 의 회전 및 근접 헤드 (106a 및 106b) 의 이동이 조절될 수도 있다. 일 실시형태에서는, 근접 헤드 (106a 및 106b) 가 초당 약 0 mm 내지 초당 약 50 mm 사이에서 웨이퍼 (108) 의 중심 영역으로부터 웨이퍼 (108) 의 에지로 선형으로 이동할 수도 있다.
도 4a 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 의 특정 표면에 대해 다수의 근접 헤드를 포함하는 웨이퍼 프로세싱 시스템 (100-1) 의 평면도이다. 이 실시형태에서, 시스템 (100-1) 은 상부 암 (104a-1) 및 상부 암 (104a-2) 을 포함한다. 도 4b 에 도시된 바와 같이, 시스템 (100-1) 은 또한 근접 헤드 (106b-1 및 106b-2) 에 각각 접속된 하부 암 (104b-1) 및 하부 암 (104b-2) 을 포함할 수도 있다. 시스템 (100-1) 에서는, 2 개의 근접 헤드가 웨이퍼 (108) 의 특정 표면을 프로세싱하게 함으로써 프로세싱 시간이 약 1/2로 감소될 수 있도록 근접 헤드 (106a-1 및 106a-2) (뿐만 아니라 상부면 및 바닥면 프로세싱이 수행되고 있으면 106b-1 및 106b-2) 가 동작한다. 따라서, 공정시에, 웨이퍼 (108) 가 회전하는 동안, 근접 헤드 (106a-1, 106a-2, 106b-1 및 106b-2) 는 웨이퍼 (108) 의 중심 근처에서 웨이퍼 (108) 를 프로세싱하기 시작하고 실질적인 선형 방식으로 웨이퍼 (108) 의 에지를 향해 외부로 이동한다. 이 방식으로, 웨이퍼 (108) 의 모든 부분을 프로세싱하기 위해 웨이퍼 (108) 의 회전 (112) 이 웨이퍼 (108) 의 모든 영역을 근접 헤드에 근접시킨다. 따라서, 근접 헤드 (106a-1, 106a-2, 106b-1 및 106b-2) 의 선형 이동 및 웨이퍼 (108) 의 회전 이동으로, 프로세싱되는 웨이퍼 표면은 웨이퍼 (108) 의 중심으로부터 웨이퍼 (108) 의 에지로 나선 방식으로 이동한다.
또 다른 실시형태에서는, 근접 헤드 (106a-1 및 106b-1) 가 웨이퍼 (108) 프로세싱을 시작할 수도 있고, 웨이퍼 (108) 의 중심 영역으로부터 이들이 제거된 후, 웨이퍼 프로세싱 공정을 증가시키기 위해 근접 헤드 (106a-2 및 106b-2) 가 웨이퍼 (108) 의 중심 영역 위치로 이동될 수도 있다. 따라서, 특정 웨이퍼 표면을 프로세싱하는 다수의 근접 헤드를 사용함으로써 웨이퍼 프로세싱 시간이 현저하게 감소될 수도 있다.
도 4b 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 의 특정 표면에 대한 다수의 근접 헤드를 포함하는 웨이퍼 프로세싱 시스템 (100-1) 의 측면도이다. 이 실시형태에서, 시스템 (100-1) 은 웨이퍼 (108) 의 상부면 (108a) 을 프로세싱할 수 있는 근접 헤드 (106a-1 및 106a-2) 및 웨이퍼 (108) 의 바닥면 (108b) 을 프로세싱할 수 있는 근접 헤드 (106b-1 및 106b-2) 모두를 포함한다. 시스템 (100) 에서와 같이, 롤러 암 (109) 와 함께 스핀들 (111a 및 111b) 이 롤러 (102a, 102b 및 102c) 를 각각 회전시킬 수도 있다. 웨이퍼 프로세싱 공정을 위해 웨이퍼 (108) 의 실질적으로 모든 표면이 근접 헤드 (106a-1, 106a-2, 106b-1 및 106b-2) 에 근접하게 되도록, 롤러 (102a, 102b 및 102c) 의 회전이 웨이퍼 (108) 를 회전시킬 수도 있다.
공정시에, 근접 헤드 (106a-1, 106a-2, 106b-1 및 106b-2) 각각은 예를 들어 도 6a 내지 도 8b 에 도시된 바와 같이 웨이퍼 (108) 의 상부면 및 바닥면에 IPA, 탈이온수 및 진공을 가함으로써 웨이퍼 (108) 로부터 프로세싱 유체를 도포/이동/제거할 수도 있다. 웨이퍼 측당 2 개의 근접 헤드를 가짐으로써 웨이퍼 프로세싱 공정 (예를 들어, 세정, 건조, 에칭, 증착 등) 은 실질적으로 더 짧은 시간에 달성될 수도 있다. 도 3a 및 도 3b 를 참조하여 설명한 웨이퍼 프로세싱 시스템에 관하여, 적절한 웨이퍼 프로세싱을 가능하게 하는 구성인 한, 웨이퍼 회전의 속도는 임의의 적절한 속도로 변화될 수도 있다. 일 실시형태에서는, 전체 웨이퍼를 프로세싱하는데 웨이퍼 (108) 의 1/2 회전이 사용되는 경우 웨이퍼 프로세싱 시간은 감소될 수도 있다. 이러한 실시형태에서, 프로세싱 속도는 웨이퍼 측당 하나의 근접 헤드만이 사용되는 경우의 프로세싱 속도의 약 1/2 일 수도 있다.
도 5a 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 의 직경을 가로질러 연장된 수평 구성의 근접 헤드 (106a-3) 를 갖는 웨이퍼 프로세싱 시스템 (100-2) 의 평면도이다. 이 실시형태에서, 근접 헤드 (106a-3) 는 웨이퍼 (108) 의 직경을 가로질러 연장된 상부 암 (104a-3) 에 의해 유지된다. 이 실시형태에서, 근접 헤드 (106a-3) 는 웨이퍼 (108) 의 근접 위치에 존재할 수 있도록 상부 암 (104a-3) 의 수직 이동에 의해 프로세싱 위치로 이동될 수도 있다. 근접 헤드 (106a-3) 가 웨이퍼 (108) 에 근접하면, 웨이퍼 (108) 의 상부면의 웨이퍼 프로세싱 공정이 시작될 수 있다.
도 5b 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 의 직경을 가로질러 연장된 수평 구성의 근접 헤드 (106a-3 및 106b-3) 을 갖는 웨이퍼 프로세싱 시스템 (100-2) 의 측면도이다. 이 실시형태에서, 근접 헤드 (106a-3) 및 근접 헤드 (106b-3) 모두는 웨이퍼 (108) 의 직경에 걸칠 수 있도록 충분히 연장된다. 일 실시형태에서는, 웨이퍼 (108) 가 회전하는 동안, 상부 암 (104a-3) 및 하부 암 (104b-3) 에 의해 근접 헤드 (106a-3 및 106b-3) 가 각각 웨이퍼 표면 (108a 및 108b) 에 근접하게 된다. 근접 헤드 (106a-3 및 106b-3) 가 웨이퍼 (108) 를 가로질러 연장되기 때문에, 웨이퍼 (108) 를 프로세싱하는데 전체 회전의 1/2 만이 요구될 수도 있다.
도 5c 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼 (108) 에 하나 이상의 제조 프로세스를 적용하도록 구성되는 수평 구성의 근접 헤드 (106a-3 및 106b-3) 를 갖는 웨이퍼 프로세싱 시스템 (100-3) 의 평면도이다. 이 실시형태에서, 웨이퍼 (108) 는 예를 들어, 에지 그립, 에지 부착부를 갖는 핑거 등과 같은 임의의 적절한 타입의 웨이퍼 유지 디바이스에 의해 정적으로 유지될 수도 있다. 근접 헤드 캐리어 어셈블리 (104"') 는 전체 웨이퍼 직경을 교차한 후, 웨이퍼 (108) 의 직경을 가로질러 웨이퍼 (108) 의 일 에지로부터 웨이퍼 (108) 의 다른 측 상의 에지로 이동될 수 있도록 구성된다. 이 방식에서, (도 5d 를 참조하여 후술하는 바와 같이) 근접 헤드 (106a-3) 및/또는 근접 헤드 (106b-3) 는 일 에지로부터 반대 에지로 웨이퍼 (108) 의 직경에 따른 경로를 따라 웨이퍼를 가로질러 이동할 수도 있다. 근접 헤드 (106a-3 및/또는 106b-3) 는 웨이퍼 (108) 의 일 에지로부터 다른 대향 에지로 이동시킬 수 있는 임의의 적절한 방식으로 이동될 수도 있다. 일 실시혀태에서, 근접 헤드 (106a-3 및/또는 106b-3) 는 방향 (121; 예를 들어, 도 5c 의 상단에서 바닥으로 또는 바닥에서 상단으로) 에서 이동할 수도 있다. 따라서, 웨이퍼 (108) 는 어떠한 회전 또는 이동없이 정적으로 유지될 수도 있고, 근접 헤드 (106a-3) 및/또는 근접 헤드 (106b-3) 는 웨이퍼에 근접하게 이동할 수도 있고, 웨이퍼 (108) 위의 일 경로를 통해 웨이퍼 (108) 의 상단 및/또는 바닥면을 프로세싱할 수도 있다.
도 5d 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼 (108) 를 프로세싱하도록 구성되는 수평 구성에서 근접 헤드 (106a-3 및 106b-3) 를 갖는 웨이퍼 프로세싱 시스템 (100-3) 의 측면도이다. 이 실시형태에서, 근접 헤드 (106a-3) 는 수평 위치에 존재하고, 웨이퍼 (108) 또한 수평 위치에 존재한다. 적어도 웨이퍼 (108) 의 직경에 걸친 근접 헤드 (106a-3) 및 근접 헤드 (106b-3) 를 사용하여, 도 5c 를 참조하여 설명한 바와 같이 근접 헤드 (106a-3 및 106b-3) 를 방향 (121) 에서 이동시킴으로써 웨이퍼 (108) 가 일 경로에서 프로세싱될 수도 있다.
도 5e 는 본 발명의 일 실시형태에 따라, 정적인 웨이퍼 (108) 를 프로세싱할 수 있도록 구성된 근접 헤드 (106a-3 및 106b-3) 를 갖는 웨이퍼 프로세싱 시스템 (100-4) 의 측면도이다. 이 실시형태에서, 근접 헤드 (106a-3 및 106b-3) 는 수직 구성이고, 근접 헤드 (106a-3 및 106b-3) 는, 웨이퍼 (108) 의 제 1 에지로부터 제 1 에지에 대향하는 웨이퍼 (108) 의 제 2 에지로 시작하여 좌측으로부터 우측으로 또는 우측으로부터 좌측으로 이동하도록 구성된다. 따라서, 이러한 실시형태에서는, 근접 헤드 캐리어 어셈블리 (104"') 가 웨이퍼 (108) 와 매우 근접한 근접 헤드 (104a-3 및 104b-3) 를 이동시키고, 또한 웨이퍼가 일 경로에서 프로세싱되어 웨이퍼 (108) 의 프로세싱 시간을 감소시킬 수 있도록 근접 헤드 (104a-3 및 104b-3) 를 일 에지로부터 또 다른 에지로 웨이퍼를 가로지를 수 있게 할 수도 있다.
도 5f 는 본 발명의 일 실시형태에 따라 도 5e 에 도시된 측면도로부터 90 도 시프트된 웨이퍼 프로세싱 시스템 (100-4) 의 또 다른 측면도이다. 근접 헤드 캐리어 어셈블리 (104"') 는, 예를 들어, 근접 헤드 캐리어 어셈블리 (104"') 가 도 5f 에 도시된 어셈블리에 비해 180 도 회전되는 것과 같은 임의의 적절한 방식으로 배향될 수도 있다.
도 5g 는 본 발명의 일 실시형태에 따라 웨이퍼 (108) 의 반경을 가로질러 연장되는 수평 구성의 근접 헤드 (106a-4) 를 갖는 웨이퍼 프로세싱 시스템 (100-5) 의 평면도이다. 일 실시형태에서, 근접 헤드 (106a-4) 는 프로세싱되는 기판의 반경 미만으로 연장된다. 또 다른 실시형태에서, 근접 헤드 (106a-4) 는 프로세싱되는 기판의 반경만큼 연장될 수도 있다. 바람직한 실시형태에서는, 근접 헤드 (106a-4) 가 웨이퍼의 중심점 및 웨이퍼의 에지를 커버하고 프로세싱하기 위해 근접 헤드가 웨이퍼 (108) 의 에지 및 웨이퍼 (108) 의 중심점 모두를 프로세싱할 수도 있도록 근접 헤드 (106a-4) 가 웨이퍼 (108) 반경보다 더 연장된다. 이 실시형태에서는, 근접 헤드 (106a-4) 가 웨이퍼 (108) 에 근접한 위치에 존재할 수 있도록 상부 암 (104a-4) 의 수직 이동에 의해 근접 헤드 (106a-4) 가 프로세싱 위치로 이동될 수도 있다. 근접 헤드 (106a-4) 가 웨이퍼 (108) 에 근접하면, 웨이퍼 (108) 상부면의 웨이퍼 프로세싱 공정이 시작할 수 있다. 일 실시형태에서는 근접 헤드 (106a-4) 가 웨이퍼의 반경보다 더 연장되기 때문에, 일 회전으로 웨이퍼가 프로세싱될 수도 있다.
도 5h 는 본 발명의 일 실시형태에 따른 웨이퍼 (108) 의 반경을 가로질러 연장된 수평 구성의 근접 헤드 (106a-4 및/또는 106b-4) 를 갖는 웨이퍼 프로세싱 시스템 (100-5) 의 측면도이다. 이 실시형태에서, 근접 헤드 (106a-4) 및 근접 헤드 (106b-4) 모두는 웨이퍼 (108) 의 반경보다 더 연장될 수 있도록 연장된다. 도 5g 를 참조하여 설명한 바와 같이, 소망하는 실시형태에 따라, 근접 헤드 (106a-4) 는 웨이퍼 (108) 의 반경보다 덜 연장될 수도 있고, 정확하게 반경만큼 연장될 수도 있고, 반경보다 더 연장될 수도 있다. 일 실시형태에서는, 웨이퍼 (108) 가 회전하는 동안, 상부 암 (104a-4) 및 하부 암 (104b-4) 에 의해 근접 헤드 (106a-4 및 106b-4) 가 각각 웨이퍼 (108a 및 108b) 에 근접하게 된다. 일 실시형태에서는 근접 헤드 (106a-4 및 106b-4) 가 웨이퍼 (108) 의 반경보다 더 연장되기 때문에, 웨이퍼 (108) 를 프로세싱하는데 하나의 회전만이 요구될 수도 있다.
웨이퍼 (108) 표면 또는 에지에 근접하는데 사용되고 메니스커스를 형성하는데 보조하기 위해 하나 이상의 메니스커스 (106a-6 및 106b-6) 에 참가하는 (즉, 속박되는) 근접 헤드 (106a-5 및 106b-5) 와 같은 디바이스 및 표면은 증가된 표면 장력 구배가 존재하면 메니스커스의 액체 성분을 이동시키는데 더 효율적일 수 있다. 예를 들어, 근접 헤드가 웨이퍼보다 낮은 표면 장력을 가지는 경우 표면 장력 구배는 증가될 수 있다. 웨이퍼 (108) 가 근접 헤드 (106a-5 및 106b-5) 보다 더 소수성이기 때문에, 표면 장력 구배는 더 클 수 있다. 소수성 재료는 선택된 액체에 대해 더 작은 인력 (예를 들어, 더 높은 표면 장력) 을 가진다. 친수성 재료는 선택된 액체에 더 큰 인력 (즉, 더 낮은 표면 장력) 을 가진다. 예를 들어, 근접 헤드 (106a-5 및 106b-5) 가 메니스커스 (106a-6) 의 액체 성분에 대해 웨이퍼 (108) 보다 더 낮은 표면 장력을 가지면 (예를 들어, 더 친수성이면), 메니스커스가 웨이퍼를 가로질러 이동할 때 메니스커스의 더 적은 액체 성분이 웨이퍼 상에 남겨지는 경향이 있다 (즉, 웨이퍼는 더 건조할 것이다). 표면 장력의 차이를 최대화하는 것 (즉, 표면 장력 구배를 최대화하는 것) 은 제 1 표면으로부터 제 2 표면으로 메니스커스를 이동시키는 건조 효과를 더 강화할 것이다.
따라서, 이러한 디바이스 및 표면의 표면 재료는 웨이퍼 (108) 에 비해 디바이스 및 표면의 상대적 표면 장력을 최적화하도록 선택될 수 있다. 예를 들어, 웨이퍼 (108) 및 에지 플랫폼 (110) 모두보다 더 친수성 특성을 가진 근접 헤드는, 메니스커스가 웨이퍼의 표면을 가로질러 이동됨에 따라 웨이퍼 상에 남겨질 수도 있는 액체의 양을 최소화하는 것을 보조할 것이다.
도 6a 는 본 발명의 일 실시형태에 따라 웨이퍼 (108) 에 제조 프로세스를 적용하는데 이용될 수도 있는 근접 헤드 입구/출구 배향 (117) 을 도시한다. 일 실시형태에서, 배향 (117) 은 근접 헤드 (106a) 의 일부이며, 여기서 다른 소스 출구 (304) 에 부가하여 다른 소스 입구 (302 및 306) 가 도시된 배향 (117) 에 추가로 이용될 수도 있다. 배향 (117) 은 리딩 에지 (109; leading edge) 상의 소스 입구 (306) 와 함께 소스 입구 (306) 와 소스 입구 (302) 사이에 소스 출구 (304) 를 포함할 수도 있다.
도 6b 는 본 발명의 일 실시형태에 따라 웨이퍼 (108) 에 제조 프로세스를 적용하는데 이용될 수도 있는 근접 헤드 입구/출구 배향 (119) 을 도시한다. 일 실시형태에서, 배향 (119) 은 근접 헤드 (106a) 의 일부이며, 여기서 다른 소스 출구 (304) 에 부가하여 다른 소스 입구 (302 및 306) 가 도시된 배향 (119) 에 추가로 이용될 수도 있다. 배향 (119) 은 리딩 에지 (109) 상의 소스 출구 (304) 와 함께 소스 출구 (304) 와 소스 입구 (306) 사이의 소스 입구 (302) 를 포함할 수도 있다.
도 6c 는 본 발명의 일 실시형태에 따라 웨이퍼 (108) 에 제조 프로세스를 적용하는데 이용될 수도 있는 근접 헤드 입구/출구 배향 (121) 을 더 도시한다. 일 실시형태에서, 배향 (121) 은 근접 헤드 (106a) 의 일부이며, 여기서 다른 소스 출구 (304) 에 부가하여 다른 소스 입구 (302 및 306) 가 도시된 배향 (121) 에 추가로 이용될 수도 있다. 배향 (121) 은 리딩 에지 (109) 상의 소스 입구 (306) 와 함께 소스 출구 (304) 와 소스 입구 (306) 사이의 소스 입구 (302) 를 포함할 수도 있다.
도 6d 는 본 발명의 일 실시형태에 따라 근접 헤드 (106a) 에 의해 수행될 수도 있는 예시적인 웨이퍼 건조 프로세스의 바람직한 실시형태를 도시한다. 도 6d 가 건조되는 상부면 (108a) 을 도시하지만, 웨이퍼 건조 프로세스는 웨이퍼 (108) 의 하부면 (108b) 에 대해서 실질적으로 동일한 방식으로 이루어질 수도 있다. 도 6d 는 웨이퍼 건조 프로세스를 도시하지만, 많은 다른 제조 프로세스들이 유사한 방법으로 웨이퍼 표면에 적용될 수도 있다. 일 실시형태에서, 소스 입구 (302) 는 이소프로필 알코올 (IPA) 증기를 웨이퍼 (108) 의 상부면 (108a) 을 향해 가하는데 이용될 수도 있으며, 소스 입구 (306) 는 탈이온수 (DIW) 를 웨이퍼 (108) 의 상부면 (108a) 을 향해 가하는데 이용될 수도 있다. 또한, 소스 출구 (304) 는 진공을 웨이퍼 표면에 근접한 영역에 가하여 상부면 (108a) 상 또는 부근에 위치할 수도 있는 유체 또는 증기를 제거하는데 이용될 수도 있다. 하나 이상의 소스 입구 (302) 가 하나 이상의 소스 입구 (306) 에 교대로 인접한 하나 이상의 소스 출구 (304) 에 근접하는 하나 이상의 조합이 존재하는 한 소스 입구와 소스 출구의 임의의 적절한 조합이 이용될 수도 있다. IPA 는 예를 들어, 증기 형태의 IPA가 N2 캐리어 가스의 사용을 통해 투입되는 IPA 증기와 같은 임의의 적절한 형태일 수도 있다. 또한, 여기서는 DIW 가 이용되지만, 예를 들어, 다른 방법으로 정화된 물, 세정 유체 및 다른 프로세싱 유체 및 화학물질과 같은 웨이퍼 프로세스를 가능하게 하거나 강화시킬 수도 있는 임의의 다른 적절한 유체가 이용될 수도 있다. 일 실시형태에서, IPA 유입 (310) 은 소스 입구 (302) 를 통해서 제공되고, 진공 (312) 은 소스 출구 (304) 를 통해서 가해지며, DIW 유입 (314) 은 소스 입구 (306) 를 통해 제공될 수도 있다. 따라서, 도 2a 를 참조하여 전술한 IPA-진공-DIW 배향의 일 실시형태가 이용된다. 따라서, 유체막이 웨이퍼 (108) 상에 존재한다면, 제 1 유체 압력은 IPA 유입 (310) 에 의해 웨이퍼 표면에 가해질 수도 있으며, 제 2 유체 압력은 DIW 유입 (314) 에 의해 웨이퍼 표면에 가해질 수도 있으며, 제 3 유체 압력은 진공 (312) 에 의해 가해져서 DIW, IPA 및 웨이퍼 표면 상의 유체막을 제거할 수도 있다.
따라서, 일 실시형태에서, DIW 유입 (314) 및 IPA 유입 (310) 이 웨이퍼 표면에 대해 적용되므로, 웨이퍼 표면상의 임의의 유체가 DIW 유입 (314) 과 서로 혼합된다. 이때, 웨이퍼 표면을 향해 가해지는 DIW 유입 (314) 은 IPA 유입 (310) 과 만난다. IPA 는 DIW 유입 (314) 과의 계면 (118) (IPA/DIW 계면 (118) 로도 공지됨) 을 형성하고, 진공 (312) 과 함께 웨이퍼 (108) 의 표면으로부터의 임의의 다른 유체와 함께 DIW 유입 (314) 의 제거를 돕는다. 일 실시형태에서, IPA/DIW 계면 (118) 은 DIW 의 표면 장력을 감소시킨다. 공정시, DIW 가 웨이퍼 표면을 향해 가해지며 소스 출구 (304) 에 의해 가해진 진공에 의해 거의 즉시 웨이퍼 표면상의 유체와 함께 제거된다. 웨이퍼 표면을 향해 가해지고 웨이퍼 표면상의 임의의 액체와 함께 근접 헤드와 웨이퍼 표면 사이의 영역에 잠시 존재하는 DIW 는 메니스커스 (116) 를 형성하고, 메니스커스 (116) 의 경계는 IPA/DIW 계면 (118) 이다. 따라서, 메니스커스 (116) 는 표면을 향해 가해지고 거의 동시에 웨이퍼 표면 상의 임의의 유체와 함께 제거되는 유체의 일정한 흐름이다. 웨이퍼 표면으로부터의 DIW 의 거의 즉각적인 제거는 건조되는 웨이퍼 표면의 영역 상에 유체 방울의 형성을 방지함으로써 웨이퍼 (108) 상의 오염물 건조의 가능성을 감소시킨다. IPA 의 하향 주입의 (IPA 의 유량에 의해 야기되는) 압력은 또한 메니스커스 (116) 를 유지시키는 것을 돕는다.
IPA에 대한 N2 캐리어 가스의 유량은 근접 헤드와 웨이퍼 표면 사이의 영역으로부터의 물 흐름 및 유체가 근접 헤드로부터 출력될 수도 있는 소스 출구 (304) 내로의 물 흐름의 시프트 또는 푸시를 야기하는 것을 돕는다. 따라서, IPA 와 DIW 가 소스 출구 (304) 내로 흡입되므로, IPA/DIW 계면 (118) 을 구성하는 경계는 연속적인 경계가 아니며, 그 이유는 가스 (즉, 공기) 가 유체와 함께 소스 출구 (304) 로 흡입되기 때문이다. 일 실시형태에서, 소스 출구 (304) 로부터의 진공이 DIW, IPA 및 웨이퍼 표면 상의 유체를 흡입하므로, 소스 출구 (304) 로의 흐름은 단속적이다. 이 흐름 단속성은 진공이 유체와 가스의 조합에 가해질 때 스트로 (straw) 를 통해 당겨지는 유체 및 가스와 유사하다. 따라서, 근접 헤드 (106a) 가 이동함에 따라, 메니스커스가 근접 헤드와 함께 이동하며, 메니스커스에 의해 미리 점유된 영역은 IPA/DIW 계면 (118) 의 이동에 기인하여 건조된다. 임의의 적절한 수의 소스 입구 (302), 소스 출구 (304) 및 소스 입구 (306) 는 소망하는 메니스커스의 크기 및 형상, 및 장치의 구성에 따라 이용될 수도 있다. 다른 실시형태에서, 액체 유량 및 진공 유량은 진공 출구로의 총 액체 흐름이 연속적으로 되는 유량이며, 따라서 진공 출구로의 가스 흐름은 없다.
메니스커스 (116) 가 유지될 수 있는 한 임의의 적절한 유량이 IPA, DIW 및 진공에 대해 이용될 수도 있다. 일 실시형태에서, 일 세트의 소스 입구 (306) 를 통한 DIW의 유량은 약 25 ㎖/분 내지 약 3,000 ㎖/분이다. 바람직한 실시형태에서, 일 세트의 소스 입구 (306) 를 통한 DIW의 유량은 약 400 ㎖/분이다. 유체의 유량은 근접 헤드의 크기에 따라 달라질 수도 있다. 일 실시형태에서, 더 큰 헤드는 작은 근접 헤드보다 더 큰 유체의 유량을 가질 수도 있다. 이는 일 실시형태에서, 큰 근접 헤드가 큰 근접 헤드에 대한 더 많은 흐름의 더 많은 소스 입구 (302 및 306) 및 소스 출구 (304) 를 가지기 때문에 발생한다.
일 실시형태에서, 일 세트의 소스 입구 (302) 를 통한 IPA 증기의 유량은 약 1 SCFH (standard cubic feet per hour) 내지 약 100 SCFH이다. 바람직한 실시형태에서, IPA 유량은 약 5 내지 50 SCFH 이다.
일 실시형태에서, 일 세트의 소스 출구 (304) 를 통한 진공에 대한 유량은 약 10 SCFH (standard cubic feet per hour) 내지 약 1250 SCFH이다. 바람직한 실시형태에서, 일 세트의 소스 출구 (304) 를 통한 진공에 대한 유량은 약 350 SCFH 이다. 예시적인 실시형태에서, 흐름계 (flow meter) 가 IPA, DIW 및 진공의 유량을 측정하는데 이용될 수도 있다.
도 6e 는 본 발명의 일 실시형태에 따른 근접 헤드 (106a) 에 의해 수행될 수도 있는 또 다른 소스 입구/출구 배향을 사용하는 또 다른 웨이퍼 건조 프로세스를 도시한다. 이 실시형태에서는, 메니스커스가 웨이퍼 표면 (108a) 을 따라 이동될 수도 있도록 근접 헤드 (106a) 는 웨이퍼 (108) 의 상부면 (108a) 위를 이동할 수도 있다. 메니스커스는 웨이퍼 표면에 유체를 도포하고 웨이퍼 표면으로부터 유체를 제거하여 웨이퍼를 동시에 세정하고 건조시킨다. 이 실시형태에서, 소스 입구 (306) 는 DIW 흐름 (314) 을 웨이퍼 표면 (108a) 으로 인가하고, 소스 입구 (302) 는 IPA 흐름 (310) 을 웨이퍼 표면 (108a) 으로 인가하고, 소스 출구 (312) 는 웨이퍼 표면 (108a) 으로부터 유체를 제거한다. 이 실시형태 및 본 명세서에서 설명하는 근접 헤드 (106a) 의 다른 실시형태에서, 추가적인 수 및 타입의 소스 입구 및 소스 출구가 도 6e 에 도시된 소스 입구 (302 및 306) 및 소스 출구 (304) 의 배향과 함께 사용될 수도 있다. 또한, 이 실시형태 및 다른 근접 헤드 실시형태에서는, 웨이퍼 표면 (108a) 상의 유체 흐름의 양을 제어하고 인가된 진공을 제어함으로써, 메니스커스는 임의의 적절한 방식으로 관리되고 제어될 수도 있다. 예를 들어, 일 실시형태에서, DIW 흐름 (314) 을 증가시키고/증가시키거나 진공 (312) 을 감소시킴으로써 소스 출구 (304) 를 통한 유출은 웨이퍼 표면 (108a) 으로부터 제거되는 유체 및 거의 모든 DIW 일 수도 있다. 또 다른 실시형태에서는, DIW 흐름 (314) 을 감소시키고/감소시키거나 진공 (312) 을 증가시킴으로써, 소스 출구 (304) 를 통한 유출은 실질적으로 웨이퍼 표면 (108a) 으로부터 제거되는 유체 및 DIW 와 공기의 조합일 수도 있다.
도 6f 는 본 발명의 일 실시형태에 따른 추가 유체를 유입하는데 추가 소스 출구 (307) 가 이용될 수도 있는 또 다른 소스 입구 및 출구 배향을 도시한다. 도 6e 에 도시된 바와 같은 입구 및 출구의 배향은, 추가 소스 출구 (307) 가 소스 출구 (304) 의 반대측 상에서 소스 입구 (306) 에 인접하여 포함된 것을 제외하고는 도 6d 를 참조하여 상세히 설명한 배향이다. 이러한 실시형태에서, DIW 는 소스 입구 (306) 를 통해 유입될 수도 있고, 예를 들어, 세정액과 같은 상이한 용액이 소스 입구 (307) 를 통해 유입될 수도 있다. 따라서, 세정액 흐름 (315) 은 실질적으로 동시에 웨이퍼 (108) 의 상부면 (108a) 을 건조시키면서 웨이퍼 (108) 의 세정을 향상시키는데 이용될 수도 있다.
도 7a 는 본 발명의 일 실시형태에 따른 예시적인 건조 공정을 수행하는 근접 헤드 (106) 를 도시한다. 일 실시형태에서, 근접 헤드 (106) 는 웨이퍼 (108) 의 상부면 (108a) 에 근접하여 세정 및/또는 건조 공정을 수행하면서 이동한다. 또한, 근접 헤드 (106) 는 웨이퍼 (108) 의 바닥면 (108b) 을 프로세싱 (예를 들어, 세정, 건조 등) 하는데 이용될 수도 있다. 일 실시형태에서는, 유체가 상부면 (108a) 으로부터 제거되면서 근접 헤드 (106) 가 헤드 이동을 따라 선형 방식으로 이동될 수도 있도록 웨이퍼 (108) 가 회전하고 있다. 소스 입구 (302) 를 통해 IPA (310) 을 인가하고, 소스 출구 (304) 를 통해 진공 (312) 을 인가하고, 소스 입구 (306) 를 통해 탈이온수 (314) 를 인가함으로써, 도 6d 를 참조하여 설명하는 바와 같은 메니스커스 (116) 가 생성될 수도 있다.
도 7b 는 본 발명의 일 실시형태에 따른 근접 헤드 (106) 의 일부분의 평면도이다. 일 실시형태의 평면도에서, 좌측으로부터 우측으로 일 세트의 소스 입구 (302), 일 세트의 소스 출구 (304), 일 세트의 소스 입구 (306), 일 세트의 소스 출구 (304), 및 일 세트의 소스 입구 (302) 가 존재한다. 따라서, N2/IPA 및 DIW 가 근접 헤드 (106) 와 웨이퍼 (108) 사이의 영역으로 유입되고, 진공이 웨이퍼 (108) 에 존재할 수도 있는 임의의 유체막과 함께 N2/IPA 및 DIW 를 제거한다. 또한, 여기서 설명하는 소스 입구 (302), 소스 입구 (306) 및 소스 출구 (304) 는 예를 들어, 원형 개구부, 사각형 개구부 등과 같은 임의의 적절한 타입의 형태일 수도 있다. 일 실시형태에서, 소스 입구 (302 및 306) 및 소스 출구 (304) 는 원형 개구부를 가진다.
도 8a 는 본 발명의 일 실시형태에 따른 듀얼 웨이퍼 표면 프로세싱 시스템에 사용되는 근접 헤드 (106 및 106a) 의 측면도를 도시한다. 이 실시형태에서 는, 진공을 제공하기 위한 소스 출구 (304) 와 함께 N2/IPA 및 DIW (또는 다른 프로세싱 유체) 를 각각 유입하기 위한 소스 입구 (302 및 306) 를 사용하여, 메니스커스 (116) 가 생성될 수도 있다. 도한, 소스 입구 (302) 의 반대측의 소스 입구 (306) 측상에, DIW 를 제거하고 메니스커스 (116) 를 손상되지 않게 유지시키는 소스 출구 (304) 가 존재할 수도 있다. 전술한 바와 같이, 일 실시형태에서는, N2/IPA 유입 (310) 및 DIW 유입 (314) 을 위해 소스 입구 (302 및 306) 가 이용될 수도 있고, 진공 (312) 을 가하기 위해 소스 출구 (304) 가 이용될 수도 있다. 임의의 적절한 구성의 소스 입구 (302), 소스 출구 (304) 및 소스 입구 (306) 가 이용될 수도 있다. 예를 들어, 근접 헤드 (106 및 106b) 는 전술한 도 7a 및 도 7b 를 참조한 구성과 유사한 소스 입구 및 소스 출구의 구성을 가질 수도 있다. 또한, 또 다른 실시형태에서, 근접 헤드 (106 및 106b) 는 도 9a 내지 도 10b 를 참조하여 후술할 구성일 수도 있다. 메니스커스 (116) 의 표면으로의 이동 및 표면으로부터의 제거에 의해 메니스커스 (116) 와 접촉하는 임의의 적절한 표면이 건조될 수도 있다.
도 8b 는 본 발명의 일 실시형태에 따른 듀얼 웨이퍼 표면 프로세싱 시스템의 근접 헤드 (106 및 106b) 를 도시한다. 이 실시형태에서, 근접 헤드 (106) 는 웨이퍼 (108) 의 상부면 (108a) 을 프로세싱하고, 근접 헤드 (106b) 는 웨이퍼 (108) 의 바닥면 (108b) 을 프로세싱한다. 소스 입구 (302 및 306) 에 의해 N2/IPA 및 DIW 를 각각 유입시키고, 소스 출구 (304) 로부터의 진공을 이용함으로써, 메니스커스 (116) 가 근접 헤드 (106) 와 웨이퍼 (108) 사이 및 근접 헤드 (106b) 및 웨이퍼 (108) 사이에 형성될 수도 있다. 따라서, 근접 헤드 (106 및 106b) 및 나아가서는 메니스커스 (116) 는 전체 웨이퍼 (108) 가 프로세싱 (예를 들어, 세정, 건조) 될 수 있는 방식으로 웨이퍼 표면의 습식 영역 위로 이동할 수도 있다.
도 9a 는 본 발명의 일 실시형태에 따른 프로세싱 윈도우 (538-1) 를 도시한다. 일 실시형태에서, 프로세싱 윈도우 (538-1) 는 다수의 소스 입구 (302 및 306) 및 또한 다수의 소스 출구 (304) 를 포함할 수도 있다. 프로세싱 윈도우 (538-1) 는, 메니스커스 (116) 의 형태 및 크기 (예를 들어, 면적) 를 생성하고 제어할 수도 있는 근접 헤드 (106) (또는 본 명세서에서 참조하는 임의의 다른 근접 헤드) 상의 영역이다. 따라서, 프로세싱 윈도우 (538-1) 는, 근접 헤드 (106) 가 이 방식으로 사용되기를 소망하면 웨이퍼를 건조시키는 영역일 수도 있다. 일 실시형태에서, 프로세싱 윈도우 (538-1) 는 실질적으로 사각 형태이다. 프로세싱 윈도우 (538-1) (또는 본 명세서에서 설명하는 임의의 적절한 프로세싱 윈도우) 의 크기는 임의의 적절한 길이 및 폭일 수도 있다 (평면도 참조).
도 9b 는 본 발명의 일 실시형태에 따른 실질적으로 원형인 프로세싱 윈도우 (538-2) 를 도시한다. 일 실시형태에서, 프로세싱 윈도우 (538-2) 는 다수의 소스 입구 (302 및 306) 및 또한 다수의 소스 출구 (304) 를 포함할 수도 있다. 프로세싱 윈도우 (538-2) 는 메니스커스 (116) 를 생성하고 제어할 수도 있는 근접 헤드 (106) (또는 본 명세서에서 참조하는 임의의 다른 근접 헤드) 상의 영역이다. 따라서, 프로세싱 윈도우 (538-2) 는, 근접 헤드 (106) 가 이 방식으로 사용되기를 소망하면 웨이퍼를 건조시키는 영역일 수도 있다. 일 실시형태에서, 프로세싱 윈도우 (538-2) 는 실질적으로 원형 형태이다.
도 10a 는 본 발명의 일 실시형태에 따른 예시적인 근접 헤드 (1000) 를 도시한다. 도 10b 는 본 발명의 일 실시형태에 따른 근접 헤드 (1000) 의 단면도이다. 근접 헤드 (1000) 는 다수의 프로세싱 화학물질 입구 (1004), 다수의 IPA 입구 (1002), 다수의 IPA 증기 입구 (1008) 및 다수의 진공 출구 (1006) 를 포함한다. 다양한 입구 (1002, 1004, 1006) 및 출구 (1008) 가 센서 (1020) 주위에 배열된다. 센서 (1020) 는, 프로세싱 헤드 (1000) 에 의해 적용되는 제조 프로세스의 진행을 평가할 수 있는 계측 센서이다.
센서 (1020) 는 근접 헤드 (1000) 내에서 사용될 수도 있는 임의의 타입의 센서일 수 있다. 예를 들어, 에칭될 재료가 소망하는 결과에 따라 완전히 또는 부분적으로 제거되었는지 여부를 결정하기 위해 인시츄 계측 센서가 에칭 프로세스를 모니터링할 수 있다. 센서 (1020) 는 다음의 예시적 센서: 와전류 센서 (예를 들어, 금속 또는 다른 호환가능한 재료를 측정용), 스케터로미터 (예를 들어, 입자 또는 토포그래피 측정용), 광 간섭계 또는 반사계 (예를 들어, 막 두께 측정용) 및 당업자에게 공지된 임의의 다른 다양한 종점 검출 방법 중 하나 이상을 포함할 수 있다.
도 11a 는, 본 발명의 일 실시형태에 따른, 근접 헤드 (1000) 에 의해 형성되는 예시적인 메니스커스의 평면도를 도시한다. 도 11b 는 본 발명의 일 실시형태에 따라, 근접 헤드 (1000) 에 의해 형성되는 메니스커스 (1100) 의 단면도를 도시한다. 메니스커스 (1100) 는, 센서 (1020) 가 이 센서와 웨이퍼 (108) 사이의 메니스커스 (1100) 로부터 매개하는 프로세싱 화학물질을 가지지 않도록, 액체 메니스커스가 제거되는 "건조한" 중심 영역 (1102) 을 포함한다.
예를 들어, 센서 (1020) 는 건조한 중심 영역 (1102) 으로부터의 레이저 또는 광대역 광 간섭계에 사용될 수 있는 광섬유 센서일 수 있다. 일 실시형태에서, 근접 헤드 (1000) 는 에칭 프로세스를 웨이퍼 (108) 에 적용할 수 있다. 효과적이고 적절하게, 웨이퍼 (108) 의 표면으로부터 제거될 막에 적용되는 복수의 웨이퍼 배치 프로세스에 통상적으로 이용되는 것보다 높은 농도의 에칭 화학물질을 필요로 한다. 정밀한 인시츄 막 측정은, 액체 박막에 의해 통상적으로 발생되는 간섭없이 건조한 중심 영역 (1102) 에서 광 간섭계에 의해 달성될 수 있다. 웨이퍼를 회전시키고 근접 헤드 (1000) 를 스캐닝함으로써, 웨이퍼 (108) 를 가로지르는 센서 (1020) 는 근접 헤드가 웨이퍼를 프로세싱하는 동안, 웨이퍼의 전체 표면의 인시츄 스캐닝을 제공할 수 있다.
또한, 센서 (1020) 는 에칭 프로세스의 실시간 피드백을 제공한다. 에칭 프로세스를 제어하는 제어 시스템에 실시간 피드백을 제공하는 것은 에칭 프로세스의 폐루프 제어를 제공한다. 에칭 프로세스의 폐루프 제어는, 제어 시스템이 실시간으로 에칭 프로세스를 상호조절하도록 허용한다. 복수의 에칭 프로세스 변수 중 임의의 변수는 헤드 위치, 농도, 체류 시간, 유량, 압력, 화학물질 및 다른 프로세스 변수를 포함하도록 조절될 수 있다. 이러한 방식으로, 더 상세한 프로세스 제어가 제공된다. 보다 정밀한 프로세스 제어는 더 높은 농도의 에칭 화학물질이 사용되도록 허용하는데, 이는 차례로 웨이퍼의 프로세스 시간을 최소로 줄인다.
프로세스의 인시츄, 실시간 제어는 웨이퍼의 프로세싱동안 불균일성을 정정하는 것과 같이, 가변 프로세스가 웨이퍼의 표면에 적용되는 것을 가능하게 할 수 있다. 예를 들어, 에칭 프로세스의 경우, 센서는 웨이퍼 (108) 의 제 1 영역에서 더 얇은 막을 검출하고, 제 2 영역에서 더 두꺼운 막을 검출할 수 있다. 에칭 프로세스 레시피는, 근접 헤드 (1000) 가 웨이퍼 (108) 를 가로질러 스캐닝하는 동안, 검출된 막 두께를 동적으로 조절 (예를 들어, 에칭 화학물질 농도, 체류 시간 등) 될 수 있다. 그 결과, 에칭 프로세스가 웨이퍼 (108) 에 적용될 때, 불균일한 막 두께가 인시츄로 동적으로 보정될 수 있어서, 불균일성을 보정하기 위해 웨이퍼를 재프로세싱해야 하는 필요성을 실질적으로 제거할 수 있다.
또 다른 실시형태에서는, 건조한 영역 (1102) 이 요구되지 않는다. 예를 들어, 센서 (1020) 가 와전류 센서라면, 와전류 센서는, 웨이퍼 (108) 의 표면에 도포될 프로세스 화학물질과 같은 액체층 (예를 들어, 메니스커스 (1100)) 을 통해 막 두께를 측정할 수 있다.
도 12a 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (1200) 을 도시한다. 웨이퍼 프로세싱 시스템 (1200) 은 도 3a 에서 전술한 웨이퍼 프로세싱 시스템 (100) 과 유사하지만, 인시츄 센서 (1202A) 가 추가된다. 센서 (1202A) 는 근접 헤드 (106a) 외부의 암 (104a) 상에 설치되지만, 근접 헤드와 함께 세로로 이동할 수 있다. 결과적으로, 센서 (1202A) 는 근접 헤드 (106a) 에 의해 웨이퍼 (108) 에 적용되는 프로세스 인근의 대응 위치를 측정할 수 있다.
도 12b 는 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (1200') 을 도시한다. 웨이퍼 프로세싱 시스템 (1200') 은 도 12a 에서 전술한 웨이퍼 프로세싱 시스템 (1200) 과 유사하지만, 근접 헤드 (106a) 를 유지하는 암 (104a) 과 분리된 암 (1204) 에 설치된 인시츄 센서 (1202B) 를 구비한다. 암 (1204) 은 센서 (1202B) 가 근접 헤드 (106a) 로부터 독립적으로 이동할 수 있도록 허용한다. 결과적으로, 센서 (1202B) 는 근접 헤드 (106a) 에 의해 웨이퍼 (108) 에 적용되는 프로세스 인근의 대응 위치를 측정할 수 있고, 근접 헤드가 프로세스를 웨이퍼에 적용하는 동안, 웨이퍼 표면상의 위치를 실질적으로 유사하게 독립적으로 스캐닝할 수 있다.
도 13 은 본 발명의 일 실시형태에 따른 웨이퍼 프로세싱 시스템 (1300) 의 블록도를 도시한다. 시스템은 레시피 (1304) 를 포함하는 제어기 (1302) 를 구비한다. 이러한 레시피 (1304) 는 근접 헤드 (1310A, 1310B) 에 의해 웨이퍼 (108) 에 적용되는 프로세스의 다양한 파라미터 및 양태를 제어한다. 예를 들어, 이러한 레시피는 DIW, IPA 및 IPA 증기의 유량, 진공의 압력, 및 근접 헤드 (1310A, 1310B) 의 정밀한 위치를 결정하고, 만일 웨이퍼가 회전한다면 웨이퍼 (108) 의 회전방향 및 회전속도를 결정한다. 센서 (1320A, 1320B) 는 근접 헤드 (1310A, 1310B) 에 의해 웨이퍼 (108) 에 적용되는 프로세스를 모니터링 및 평가한다. 일 실시형태에서, 센서 (1320A, 1320B) 는 제어기 (1302) 에 피드백을 제공할 수 있다. 그 후, 제어기 (1302) 는 하나 이상의 센서로부터 피드백에 대응하는 레시피를 수정할 수 있다. 이러한 센서 (1320A, 1320B) 는 도 10a 내지 도 11b 에 도시한 바와 같이, 근접 헤드 (1310A, 1310B) 에 포함될 수 있다. 또한, 센서 (1320A, 1320B) 는 도 12a 및 도 12b 에 도시한 바와 같이, 근접 헤드 (1310A, 1310B) 외부에 존재할 수 있다.
도 14 는 본 발명의 일 실시형태에 다른, 근접 헤드에서 프로세스를 인시츄 모니터링하는 방법의 공정 1400 을 나타내는 흐름도이다. 공정 1405 에서, 표면 장력 구배에 의한 웨이퍼 (108) 표면에 대한 프로세스는 근접 헤드와 같은 시스템을 프로세싱한다. 이러한 프로세스는 린싱, 세정, 에칭, 건조, 증착, 전기도금 또는 표면 장력 구배에 의해 적용될 수 있는 다른 프로세스를 포함할 수 있다. 근접 헤드는 전기도금 프로세스를 지원할 수 있다.
공정 1410 에서, 인시츄 센서는 상세하게 전술한 바와 같이 웨이퍼 (108) 에 적용되는 프로세스를 모니터링한다.
공정 1415 에서, 인시츄 센서는 프로세스의 모니터링 결과를 실시간으로 출력한다. 일 실시형태에서, 모니터링 결과는 이후의 참조를 위하여 저장된다. 또 다른 실시형태에서, 모니터링 결과는 프로세스를 제어하는 제어 시스템에 출력된다.
공정 1420 에서, 프로세스 (예를 들어, 레시피) 는 인시츄 센서로부터 출력된 모니터링 결과에 따라 조절된다. 일 실시형태에서, 이러한 프로세스는 제어 시스템에 의해 실시간으로 동적으로 조절된다. 또 다른 실시형태에서, 프로세스는 후속 웨이퍼를 위하여 조절된다. 그 후, 이러한 방법 및 공정이 종료된 다. 또한, 이러한 프로세스가 실시간으로 조절된다면, 이러한 방법 및 공정은 전술한 공정 1405 를 기점으로 반복될 수 있다.
전술한 바와 같이, 인시츄 센서는 추가적 프로세스 제어를 제공할 수 있다. 따라서, 프로세스 제어는 더 정밀하게 제어되는 프로세스일 수 있으며, 차례로 향상된 웨이퍼 처리량으로 전환되는 더 신속한 프로세스 시간을 허용한다. 또한, 인시츄 센서는 프로세스를 동적이고 실시간으로 조절하는데 이용될 수 있는 실시간 프로세스 데이터를 제공할 수 있다.
또한, 도 14 의 공정에 의해 표현되는 지시는 도시된 순서대로 수행될 필요가 없으며, 공정에 의해 표현되는 모든 프로세싱이 본 발명을 실시하기 위해 필요하지 않을 수도 있다. 이해의 명확화를 위해 전술한 발명을 상세하게 설명하였지만, 소정의 변화 및 수정이 첨부된 청구범위의 범위 내에서 이루어질 수도 있음은 자명하다. 따라서, 본 실시형태들은 예시적이며, 한정적으로 고려되지 않고, 본 발명은 본 명세서의 상세한 설명에 따라 한정되지 않으며, 첨부된 청구범위의 범위 및 균등물 내에서 수정될 수도 있다.

Claims (21)

  1. 근접 헤드를 포함하는 표면 장력 구배 (surface tension gradient) 디바이스에 의해 액체 메니스커스 내에서 지원되는 프로세스를 웨이퍼에 적용하는 단계;
    상기 프로세스의 결과를 인시츄 센서에 의해 모니터링하는 단계; 및
    상기 모니터링된 결과를 출력하는 단계를 포함하고,
    상기 근접 헤드는,
    복수의 평탄한 표면 영역들을 갖는 헤드 표면;
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 입구들; 및
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 출구들을 포함하며,
    상기 복수의 소스 입구들 및 상기 복수의 소스 출구들은, 상기 헤드 표면에 상주하고 상기 헤드 표면의 상기 복수의 평탄한 표면 영역들을 통해서 연장하는 별개의 홀들에 의해 정의되는, 웨이퍼 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 프로세스는 세정 프로세스, 린싱 프로세스, 건조 프로세스, 에칭 프로세스, 증착 프로세스 및 전기도금 프로세스로 이루어지는 프로세스들의 그룹 중 적어도 하나를 포함하는, 웨이퍼 프로세싱 방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 인시츄 센서는 광 센서 및 와전류 센서로 이루어지는 그룹 중 적어도 하나를 포함하는, 웨이퍼 프로세싱 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 모니터링된 결과는 실시간으로 출력되는, 웨이퍼 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 모니터링된 결과에 따라 상기 프로세스를 조절하는 단계를 더 포함하는, 웨이퍼 프로세싱 방법.
  8. 제 1 항에 있어서,
    상기 모니터링된 결과는 프로세스 제어기로 출력되는, 웨이퍼 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 프로세스 제어기는 상기 모니터링된 결과에 따라 상기 프로세스를 조절하는, 웨이퍼 프로세싱 방법.
  10. 제 9 항에 있어서,
    상기 프로세스 제어기는 실시간으로 상기 프로세스를 조절하는, 웨이퍼 프로세싱 방법.
  11. 근접 헤드를 포함하며, 액체 메니스커스 내에서 프로세스를 지원할 수 있는 적어도 하나의 표면 장력 구배 디바이스;
    상기 프로세스의 결과를 모니터링하는 인시츄 센서; 및
    상기 인시츄 센서 및 상기 표면 장력 구배 디바이스에 커플링되며 프로세스 레시피 (process recipe) 를 포함하는 시스템 제어기를 구비하고,
    상기 근접 헤드는:
    복수의 평탄한 표면 영역들을 갖는 헤드 표면;
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 입구들; 및
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 출구들을 포함하며,
    상기 복수의 소스 입구들 및 상기 복수의 소스 출구들은, 상기 헤드 표면에 상주하고 상기 헤드 표면의 상기 평탄한 표면 영역들을 통해서 연장하는 별개의 홀들에 의해 정의되는, 웨이퍼 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 프로세스는 세정 프로세스, 린싱 프로세스, 에칭 프로세스, 증착 프로세스 및 전기도금 프로세스로 이루어지는 프로세스들의 그룹 중 적어도 하나를 포함하는, 웨이퍼 프로세싱 시스템.
  13. 제 11 항에 있어서,
    상기 인시츄 센서는 광 센서 및 와전류 센서로 이루어지는 그룹 중 적어도 하나를 포함하는, 웨이퍼 프로세싱 시스템.
  14. 제 11 항에 있어서,
    상기 모니터링된 결과는 실시간으로 출력되는, 웨이퍼 프로세싱 시스템.
  15. 삭제
  16. 삭제
  17. 제 11 항에 있어서,
    상기 인시츄 센서는 상기 표면 장력 구배 디바이스 내에 포함되는, 웨이퍼 프로세싱 시스템.
  18. 제 17 항에 있어서,
    상기 액체 메니스커스는 상기 인시츄 센서를 둘러싸는 건조 영역을 포함하는, 웨이퍼 프로세싱 시스템.
  19. 제 11 항에 있어서,
    상기 인시츄 센서는 상기 표면 장력 구배 디바이스와 함께 이동될 수 있는, 웨이퍼 프로세싱 시스템.
  20. 제 11 항에 있어서,
    상기 인시츄 센서는 상기 표면 장력 구배 디바이스와는 독립적으로 이동될 수 있는, 웨이퍼 프로세싱 시스템.
  21. 근접 헤드에 의해 액체 메니스커스 내에서 지원되는 프로세스를 웨이퍼에 적용하는 단계;
    상기 프로세스의 결과를 인시츄 센서에 의해 모니터링하는 단계;
    상기 모니터링된 결과를 프로세스 제어기에 실시간으로 출력하는 단계; 및
    상기 모니터링된 결과에 따라 상기 프로세스 제어기에서 상기 프로세스에 대한 레시피를 실시간으로 조절하는 단계를 포함하고,
    상기 근접 헤드는:
    복수의 평탄한 표면 영역들을 갖는 헤드 표면;
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 입구들; 및
    상기 헤드 표면의 상기 복수의 평탄한 표면 영역들 중 적어도 하나의 평탄한 표면 영역 내의 복수의 소스 출구들을 포함하며,
    상기 복수의 소스 입구들 및 상기 복수의 소스 출구들은, 상기 헤드 표면에 상주하고 상기 헤드 표면의 상기 평탄한 표면 영역들을 통해서 연장하는 별개의 홀들에 의해 정의되는, 웨이퍼 프로세싱 방법.
KR1020057024849A 2003-06-24 2004-06-09 인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및방법 KR101047822B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/606,022 2003-06-24
US10/606,022 US7252097B2 (en) 2002-09-30 2003-06-24 System and method for integrating in-situ metrology within a wafer process
PCT/US2004/018900 WO2005006431A1 (en) 2003-06-24 2004-06-09 System and method for integrating in-situ metrology within a wafer process

Publications (2)

Publication Number Publication Date
KR20060063805A KR20060063805A (ko) 2006-06-12
KR101047822B1 true KR101047822B1 (ko) 2011-07-08

Family

ID=34062272

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057024849A KR101047822B1 (ko) 2003-06-24 2004-06-09 인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및방법

Country Status (9)

Country Link
US (1) US7252097B2 (ko)
EP (1) EP1636835B1 (ko)
JP (1) JP4384662B2 (ko)
KR (1) KR101047822B1 (ko)
CN (2) CN100550334C (ko)
AT (1) ATE527689T1 (ko)
MY (1) MY135489A (ko)
TW (1) TWI246140B (ko)
WO (1) WO2005006431A1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7520284B2 (en) * 2000-06-30 2009-04-21 Lam Research Corporation Apparatus for developing photoresist and method for operating the same
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US6809794B1 (en) * 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
KR20170070264A (ko) 2003-09-03 2017-06-21 가부시키가이샤 니콘 액침 리소그래피용 유체를 제공하기 위한 장치 및 방법
US7528929B2 (en) 2003-11-14 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8054448B2 (en) 2004-05-04 2011-11-08 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US8485120B2 (en) 2007-04-16 2013-07-16 Lam Research Corporation Method and apparatus for wafer electroless plating
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
JP2006310756A (ja) * 2005-03-30 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
JP4810411B2 (ja) 2006-11-30 2011-11-09 東京応化工業株式会社 処理装置
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
US8051863B2 (en) * 2007-10-18 2011-11-08 Lam Research Corporation Methods of and apparatus for correlating gap value to meniscus stability in processing of a wafer surface by a recipe-controlled meniscus
JP2009141081A (ja) * 2007-12-05 2009-06-25 Sumco Corp 半導体ウェーハ表面検査装置
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
WO2011017638A2 (en) * 2009-08-07 2011-02-10 Fas Holdings Group, Llc. Segmented or selected-area coating
NL2007182A (en) * 2010-08-23 2012-02-27 Asml Netherlands Bv Fluid handling structure, module for an immersion lithographic apparatus, lithographic apparatus and device manufacturing method.
US20130052360A1 (en) * 2011-08-30 2013-02-28 Tadashi Maegawa Substrate processing apparatus, substrate processing method, and nozzle
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
TW201636460A (zh) * 2015-04-02 2016-10-16 台灣先進系統股份有限公司 電路板的真空預潤方法與裝置
WO2020214209A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. In-situ metrology and process control
CN110560424A (zh) * 2019-09-18 2019-12-13 宁波南大光电材料有限公司 一种用于光刻设备的非接触式超声清洗装置
CN114162274A (zh) * 2021-12-31 2022-03-11 杭州电子科技大学 一种自驱动仿生水上染色机器人及水体表面流动检测方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092784A (ja) 1996-09-10 1998-04-10 Toshiba Microelectron Corp ウェーハ処理装置およびウェーハ処理方法
JPH1133506A (ja) 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JP2002151463A (ja) * 2000-11-15 2002-05-24 Sony Corp 半導体加工装置および半導体評価装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1242527A (en) * 1967-10-20 1971-08-11 Kodak Ltd Optical instruments
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
JP3653198B2 (ja) 1999-07-16 2005-05-25 アルプス電気株式会社 乾燥用ノズルおよびこれを用いた乾燥装置ならびに洗浄装置
JP2002075947A (ja) 2000-08-30 2002-03-15 Alps Electric Co Ltd ウェット処理装置
US6555017B1 (en) 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) * 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092784A (ja) 1996-09-10 1998-04-10 Toshiba Microelectron Corp ウェーハ処理装置およびウェーハ処理方法
JPH1133506A (ja) 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JP2002151463A (ja) * 2000-11-15 2002-05-24 Sony Corp 半導体加工装置および半導体評価装置

Also Published As

Publication number Publication date
JP4384662B2 (ja) 2009-12-16
CN1839353A (zh) 2006-09-27
ATE527689T1 (de) 2011-10-15
CN1853264A (zh) 2006-10-25
EP1636835B1 (en) 2011-10-05
WO2005006431A1 (en) 2005-01-20
EP1636835A1 (en) 2006-03-22
CN1839353B (zh) 2013-08-21
MY135489A (en) 2008-04-30
JP2007524225A (ja) 2007-08-23
US20040182422A1 (en) 2004-09-23
CN100550334C (zh) 2009-10-14
US7252097B2 (en) 2007-08-07
TW200507145A (en) 2005-02-16
TWI246140B (en) 2005-12-21
KR20060063805A (ko) 2006-06-12

Similar Documents

Publication Publication Date Title
KR101047822B1 (ko) 인시츄 계측법을 웨이퍼 프로세스 내에 통합하는 시스템 및방법
EP1583136B1 (en) Control of ambient environment during wafer drying using proximity head
KR101047821B1 (ko) 향상된 웨이퍼 세정 방법
US20050026455A1 (en) Substrate processing apparatus and substrate processing method
CN1722373B (zh) 衬底弯月面界面及用于操作的方法
US6929903B2 (en) Developing method, substrate treating method, and substrate treating apparatus
US8105441B2 (en) Carrier for reducing entrance and/or exit marks left by a substrate-processing meniscus
US6875289B2 (en) Semiconductor wafer cleaning systems and methods
US20040180142A1 (en) Liquid processing apparatus and liquid processing method
US20100108652A1 (en) System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
KR101036603B1 (ko) 노즐 및 이를 갖는 기판 처리 장치
JP2003286597A (ja) 基板処理装置およびそれを備えたメッキ装置
KR102583262B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR102628419B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20080031465A (ko) 웨이퍼의 경사 에지에 대한 최소 지지 방법
KR20220023899A (ko) 기판 처리 장치 및 기판 처리 방법
JP2001267277A (ja) ウェハの洗浄装置及び洗浄方法
WO2008042238A1 (en) Reduction of entrance and exit marks left by a substrate-processing meniscus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee