KR100959680B1 - System for transferring the substrate - Google Patents

System for transferring the substrate Download PDF

Info

Publication number
KR100959680B1
KR100959680B1 KR1020050070302A KR20050070302A KR100959680B1 KR 100959680 B1 KR100959680 B1 KR 100959680B1 KR 1020050070302 A KR1020050070302 A KR 1020050070302A KR 20050070302 A KR20050070302 A KR 20050070302A KR 100959680 B1 KR100959680 B1 KR 100959680B1
Authority
KR
South Korea
Prior art keywords
substrate
transfer
chamber
line
substrate transfer
Prior art date
Application number
KR1020050070302A
Other languages
Korean (ko)
Other versions
KR20070015750A (en
Inventor
이영종
최준영
조생현
Original Assignee
엘아이지에이디피 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘아이지에이디피 주식회사 filed Critical 엘아이지에이디피 주식회사
Priority to KR1020050070302A priority Critical patent/KR100959680B1/en
Priority to TW95118518A priority patent/TWI318195B/en
Priority to CN2008100891388A priority patent/CN101308773B/en
Priority to CN2008100891392A priority patent/CN101308774B/en
Publication of KR20070015750A publication Critical patent/KR20070015750A/en
Application granted granted Critical
Publication of KR100959680B1 publication Critical patent/KR100959680B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Nonlinear Science (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 평판표시소자 제조 공정 중에 기판을 효율적으로 이송하기 위한 기판 이송 시스템에 관한 것이다. The present invention relates to a substrate transfer system for efficiently transferring a substrate during a flat panel display device manufacturing process.

본 발명은, 일측에 직선상으로 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제1 기판 반송 라인; 타측에 상기 제1 기판 반송 라인과 평행하게 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제2 기판 반송 라인; 상기 제1 기판 반송 라인과 제2 기판 반송 라인 사이의 공간에 마련되며, 기판에 소정의 처리를 실시하는 처리 모듈;을 포함하되, The present invention includes a first substrate transfer line disposed in a straight line on one side and continuously moving the substrate in a constant direction; A second substrate transfer line disposed on the other side in parallel with the first substrate transfer line and continuously moving the substrate in a predetermined direction; And a processing module provided in a space between the first substrate transfer line and the second substrate transfer line and performing a predetermined process on the substrate.

상기 처리 모듈은, 상기 제1 기판 반송 라인과 제2 기판 반송 라인에 각각 수직하도록 긴 직사각형 형태로 마련되어 기판을 반송하는 반송 챔버; 상기 반송 챔버의 외측에 연결되어 적어도 2열 이상으로 마련되며, 상기 반송 챔버에 의하여 공급되는 기판에 소정의 처리를 실시하는 다수개의 공정 챔버;를 포함하여 구성되는 기판 이송 시스템을 제공한다.The processing module may include a transfer chamber provided in an elongate rectangular shape so as to be perpendicular to the first substrate transfer line and the second substrate transfer line, respectively; And a plurality of process chambers connected to the outside of the transfer chamber and provided in at least two rows and performing predetermined processing on the substrate supplied by the transfer chamber.

평판표시소자, 평판표시소자 제조장치, 인라인, 기판 이송 Flat Panel Display, Flat Panel Display Manufacturing Equipment, Inline, Substrate Transfer

Description

기판 이송 시스템{SYSTEM FOR TRANSFERRING THE SUBSTRATE}Substrate Transfer System {SYSTEM FOR TRANSFERRING THE SUBSTRATE}

도 1은 종래의 기판 이송 시스템의 레이아웃을 나타내는 도면이다. 1 is a view showing the layout of a conventional substrate transfer system.

도 2는 본 발명의 일 실시예에 따른 기판 이송 시스템의 레이아웃을 나타내는 도면이다. 2 is a view showing a layout of a substrate transfer system according to an embodiment of the present invention.

도 3은 본 발명의 일 실시예에 따른 반송 챔버의 구조를 나타내는 도면이다. 3 is a view showing the structure of a transfer chamber according to an embodiment of the present invention.

도 4는 본 발명의 일 실시예에 따른 로드락 챔버의 구조를 나타내는 도면이다. 4 is a view showing the structure of a load lock chamber according to an embodiment of the present invention.

도 5는 본 발명의 일 실시예에 따른 기판 이송 시스템의 운용례를 보여주는 도면들이다. 5 is a view showing an operation example of a substrate transfer system according to an embodiment of the present invention.

본 발명은 평판표시소자 제조 공정 중에 기판을 효율적으로 이송하기 위한 기판 이송 시스템에 관한 것이다. The present invention relates to a substrate transfer system for efficiently transferring a substrate during a flat panel display device manufacturing process.

LCD, PDP, OLED 등의 평판표시소자를 제조하는 과정에서는 대면적 유리 기판을 취급한다. 대면적 유리 기판을 처리하는 과정에서는, 기판의 효율적이면서도 안 전한 이송이 중요하다. 또한 동일한 면적을 가지면서 기판의 처리시간을 최소화할 수 있는 이송 방법이 요구된다. 따라서 기판의 처리 시간을 최소화하면서 기판을 이송시키기 위하여 클러스터 방식과 인라인 방식 등 다양한 방식에 의하여 기판을 이송한다. 또한 최근에는 기판의 효율적인 처리를 위하여 클러스터와 인라인 방식을 혼용한 형태의 기판 이송 방식도 제시되고 있다. In manufacturing flat panel display devices such as LCD, PDP and OLED, large-area glass substrates are handled. In the process of processing large-area glass substrates, efficient and safe transfer of the substrates is important. There is also a need for a transfer method having the same area and minimizing the processing time of the substrate. Therefore, in order to transfer the substrate while minimizing the processing time of the substrate, the substrate is transferred by various methods such as a cluster method and an in-line method. In addition, recently, a substrate transfer method in which a cluster and an in-line method are used for efficient processing of a substrate has been proposed.

이하에서는 종래의 기판 이송 방식을 도 1을 참조하여 설명한다. 도 1은 종래의 기판 이송 시스템(1)의 레이 아웃(lay-out)을 도시한 개략도이다. Hereinafter, a conventional substrate transfer method will be described with reference to FIG. 1. 1 is a schematic diagram showing the layout of a conventional substrate transfer system 1.

종래의 기판 이송 시스템(1)은, 나란하게 배치되는 두 개의 기판 반송 라인(10, 20)과, 상기 두 개의 기판 반송 라인 사이의 공간에 배치되는 기판 처리 모듈(30, 40)로 구성된다. The conventional substrate transfer system 1 is composed of two substrate transfer lines 10 and 20 arranged side by side and substrate processing modules 30 and 40 arranged in the space between the two substrate transfer lines.

이때 기판 반송 라인(10, 20)은, 기판을 일정한 방향으로 연속하여 이동시킬 수 있는 구조로 마련된다. 따라서 이 기판 반송 라인은 일반적으로 컨베이어 구조를 취하게 된다. 따라서 그 상부에 기판을 적재한 상태에서 회전 구동하여 기판을 특정한 방향으로 이동시킨다. 그리고 기판 반송 라인이 2개가 나란하게 배열되며, 이 2개의 기판 반송 라인 중 어느 한 라인(10)은 기판의 공급을 담당하고, 다른 하나의 라인(20)은 기판의 배출을 담당한다. At this time, the board | substrate conveyance lines 10 and 20 are provided in the structure which can move a board continuously in a fixed direction. Thus, the substrate conveying line generally takes a conveyor structure. Therefore, the substrate is moved in a specific direction by rotational driving while the substrate is loaded thereon. In addition, two substrate transfer lines are arranged side by side, one of the two substrate transfer lines is responsible for supplying the substrate, and the other line 20 is responsible for discharging the substrate.

다음으로 기판 처리 모듈(30, 40)은 도 1에 도시된 바와 같이, 1대의 반송 챔버(transfer chamber, 32, 42)와 2대의 공정 챔버(process chamber, 34, 44)로 이루어진다. 리고 각 기판 처리 모듈 사이에는 버퍼 챔버(buffer chamber, 50)가 배치된다. 여기에서 공정 챔버(34, 44)는 기판에 소정의 처리를 실시하는 챔버이다. 또한 반송 챔버(32, 42)는 각 공정 챔버와 연결되어 마련되며, 공정 챔버의 진공 분위기를 깨지 않으면서 기판의 반입 및 반출이 가능하게 하는 챔버이다. 그 내부에는 기판의 반송을 담당하는 반송 수단(도면에 미도시)이 마련된다. 일반적으로 이 반송 수단은 반송 로봇으로 구성된다. Subsequently, as shown in FIG. 1, the substrate processing modules 30 and 40 include one transfer chamber 32 and 42 and two process chambers 34 and 44. In addition, a buffer chamber 50 is disposed between each substrate processing module. Here, the process chambers 34 and 44 are chambers which perform predetermined processing on the substrate. In addition, the transfer chambers 32 and 42 are provided in connection with each process chamber, and are chambers that enable the loading and unloading of the substrate without breaking the vacuum atmosphere of the process chamber. The conveyance means (not shown in figure) which is in charge of conveyance of a board | substrate is provided in the inside. Generally, this conveying means consists of a conveying robot.

다음으로 버퍼 챔버(50)는 양 기판 처리 모듈(30, 40) 사이에서 기판의 이송을 위한 버퍼 공간으로서 기능한다. 즉, 제1 기판 처리 모듈(30)에서 처리가 완료된 기판을 제2 기판 처리 모듈(40)로 이송하는 경우, 제1 반송 챔버(32)에 마련된 반송 수단에 의하여 기판을 버퍼 챔버(50)로 이송시키면, 제2 반송 챔버(42)에 마련된 반송 수단이 버퍼 챔버(50)로 들어와서 이 기판을 들고 제2 기판 처리 모듈(40)로 이동하는 것이다. 따라서 이 버퍼 챔버(50)는 기판 공급 라인(10)과 기판 배출 라인(20)을 연결하는 역할도 수행한다. The buffer chamber 50 then functions as a buffer space for the transfer of the substrate between both substrate processing modules 30 and 40. That is, in the case where the first substrate processing module 30 transfers the processed substrate to the second substrate processing module 40, the substrate is transferred to the buffer chamber 50 by a transfer means provided in the first transfer chamber 32. When it transfers, the conveying means provided in the 2nd conveyance chamber 42 will enter the buffer chamber 50, and will carry this board | substrate and will move to the 2nd substrate processing module 40. FIG. Therefore, the buffer chamber 50 also serves to connect the substrate supply line 10 and the substrate discharge line 20.

그리고 기판 반송 라인(10, 20) 중 기판 처리 모듈(30, 40)과 연결되는 부분에는 로드락 챔버(12, 22)가 마련된다. 이 로드락 챔버(12, 22)는 기판 반송 라인(10, 20) 중에 마련되면서도 기판 처리 모듈의 반송 챔버(32, 42)와 연결되어 마련된다. 따라서 이 로드락 챔버(12, 22)는 진공 분위기의 기판 처리 모듈(30, 40)과 대기압 분위기의 기판 반송 라인(10, 20) 사이에서 기판을 공급 또는 배출하는 역할을 한다. 따라서 이 로드락 챔버(12, 22)에는 그 내부를 진공 분위기로 만들 수 있는 펌핑 수단(도면에 미도시)과 대기압 분위기로 만들 수 있는 벤팅 수단(도면에 미도시)이 동시에 마련된다. The load lock chambers 12 and 22 are provided at portions connected to the substrate processing modules 30 and 40 of the substrate transfer lines 10 and 20. The load lock chambers 12 and 22 are provided in the substrate transfer lines 10 and 20 and are connected to the transfer chambers 32 and 42 of the substrate processing module. Therefore, the load lock chambers 12 and 22 serve to supply or discharge substrates between the substrate processing modules 30 and 40 in a vacuum atmosphere and the substrate transfer lines 10 and 20 in an atmospheric pressure atmosphere. Therefore, the load lock chambers 12 and 22 are provided with pumping means (not shown in the drawing) that can make the interior into a vacuum atmosphere and venting means (not shown in the drawing) that can make the atmosphere at atmospheric pressure.

이러한 구조를 가지는 기판 이송 시스템(1)에서는 도 1에 도시된 바와 같이, 어느 한 기판 반송 라인(10)이 기판 공급라인이 되고, 다른 한 기판 반송 라인(20)이 기판 배출라인이 되어, 기판이 일정한 방향으로 흘러가면서 기판의 연속적인 공급 및 처리가 이루어진다. In the substrate transfer system 1 having such a structure, as shown in FIG. 1, one substrate transfer line 10 becomes a substrate supply line, and the other substrate transfer line 20 becomes a substrate discharge line. Flowing in this constant direction, the continuous feeding and processing of the substrate takes place.

그런데 이러한 종래의 기판 이송 시스템(1)에서는 기판의 반입과 반출이 하나의 기판 반송 라인에 의하여 이루어지므로, 어느 한 곳에 문제가 발생하여 수리를 요한다면 생산이 전면 중단되는 문제점이 있다. By the way, in the conventional substrate transfer system (1), because the loading and unloading of the substrate is made by a single substrate transfer line, there is a problem that the production is completely stopped if any problem occurs and requires repair.

또한 중앙의 버퍼 챔버(50)를 이용하여 반입과 반출 작업을 동시에 수행하는 장점을 가지고 있으나 기판 이송 과정에서 기판이 2개의 로드락 챔버를 거쳐 가므로 택트 타임(TACT TIME)이 길어지는 문제점이 있다. In addition, it has the advantage of simultaneously carrying out and carrying out operations by using the central buffer chamber 50, but there is a problem that the tact time is long because the substrate passes through the two load lock chamber in the substrate transfer process .

본 발명의 목적은 시스템이 차지하는 풋프리트는 감소되면서도 기판 이송에 소요되는 반송시간을 단축할 수 있는 기판 이송 시스템을 제공함에 있다. An object of the present invention is to provide a substrate transfer system that can reduce the transfer time required for substrate transfer while the foot frit occupied by the system.

전술한 목적을 달성하기 위하여 본 발명은, 일측에 직선상으로 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제1 기판 반송 라인; 타측에 상기 제1 기판 반송 라인과 평행하게 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제2 기판 반송 라인; 상기 제1 기판 반송 라인과 제2 기판 반송 라인 사이의 공간에 마련되며, 기판에 소정의 처리를 실시하는 처리 모듈;을 포함하되, In order to achieve the above object, the present invention includes a first substrate conveying line arranged in a straight line on one side, to continuously move the substrate in a constant direction; A second substrate transfer line disposed on the other side in parallel with the first substrate transfer line and continuously moving the substrate in a predetermined direction; And a processing module provided in a space between the first substrate transfer line and the second substrate transfer line and performing a predetermined process on the substrate.

상기 처리 모듈은, 상기 제1 기판 반송 라인과 제2 기판 반송 라인에 각각 수직하도록 긴 직사각형 형태로 마련되어 기판을 반송하는 반송 챔버; 상기 반송 챔버의 외측에 연결되어 적어도 2열 이상으로 마련되며, 상기 반송 챔버에 의하여 공급되는 기판에 소정의 처리를 실시하는 다수개의 공정 챔버;를 포함하여 구성되는 기판 이송 시스템을 제공한다.The processing module may include a transfer chamber provided in an elongate rectangular shape so as to be perpendicular to the first substrate transfer line and the second substrate transfer line, respectively; And a plurality of process chambers connected to the outside of the transfer chamber and provided in at least two rows and performing predetermined processing on the substrate supplied by the transfer chamber.

그리고 본 발명에 따른 상기 반송 챔버에는, 그 내부에 마련되어 기판의 반송을 담당하며, 상기 반송 챔버 내에서 수평 이동가능한 반송 로봇이 마련되는 것이, 기판을 효율적으로 반송할 수 있어서 바람직하다. In addition, it is preferable that the transfer chamber according to the present invention be provided with a transfer robot provided therein and responsible for the transfer of the substrate, and that the transfer robot capable of horizontal movement within the transfer chamber is capable of efficiently transferring the substrate.

이하에서는 첨부된 도면을 참조하여 본 발명의 구체적인 일 실시예를 상세하게 설명한다. Hereinafter, with reference to the accompanying drawings will be described in detail a specific embodiment of the present invention.

본 실시예에 따른 기판 이송 시스템(100)은, 도 2에 도시된 바와 같이, 제1 기판 반송 라인(110); 제2 기판 반송 라인(120); 처리 모듈(130);을 포함하여 구성된다. Substrate transfer system 100 according to the present embodiment, as shown in Figure 2, the first substrate transfer line 110; Second substrate transfer line 120; The processing module 130; is configured to include.

여기에서 제1 기판 반송 라인(110)은, 시스템의 일측에 직선상으로 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 구성요소이다. 이때 이 제1 기판 반송 라인(110)의 소정 부분에는 제1 로드락 챔버(112)가 형성된다. 이 로드락 챔버(112)는 제1 기판 반송 라인(110) 중 상기 처리 모듈(130)과 연결되는 부분에 배치되며, 대기압과 진공 분위기를 반복하면서 처리 모듈(130)에 기판을 반입하고 반 출한다. Here, the 1st board | substrate conveyance line 110 is a component arrange | positioned linearly on one side of a system, and moves a board continuously in a fixed direction. At this time, a first load lock chamber 112 is formed in a predetermined portion of the first substrate transfer line 110. The load lock chamber 112 is disposed at a portion of the first substrate transfer line 110 connected to the processing module 130, and loads and unloads a substrate into the processing module 130 while repeating the atmospheric pressure and the vacuum atmosphere. do.

다음으로 제2 기판 반송 라인(130)은, 상기 제1 기판 반송 라인(110)과 평행하게 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 구성요소이다. 물론 이 제2 기판 반송 라인(130)에도 제1 기판 반송 라인(110)과 마찬가지로 제2 로드락 챔버(132)가 마련된다. Next, the 2nd board | substrate conveyance line 130 is a component arrange | positioned in parallel with the said 1st board | substrate conveyance line 110, and moves a board continuously in a fixed direction. Of course, the second load lock chamber 132 is also provided in the second substrate transfer line 130 similarly to the first substrate transfer line 110.

이때 본 실시예에서는 상기 제1 기판 반송 라인(110)과 제2 기판 반송 라인(130) 각각이 기판의 반입 및 반출 기능을 모두 수행할 수 있도록 구성된다. 즉, 어느 한 쪽 라인은 기판의 반입만 담당하고, 다른 한 쪽은 기판의 반출만 담당하는 것이 아니라, 한 라인이 특정한 경우에는 기판의 반입을 담당하다가 다른 경우에는 기판의 반입을 담당하거나, 기판의 반입 및 반출을 동시에 담당하는 것을 말한다. At this time, in this embodiment, each of the first substrate transfer line 110 and the second substrate transfer line 130 is configured to perform both loading and unloading functions of the substrate. That is, one line is responsible for only the import of the substrate, the other is only responsible for the export of the substrate, and if one line is specific, it is responsible for the import of the substrate, and in the other case, it is responsible for the import of the substrate, or We are in charge of import and export of at the same time.

다음으로 처리 모듈(130)은 상기 제1 기판 반송 라인(110)과 제2 기판 반송 라인(120) 사이의 공간에 마련되며, 기판에 소정의 처리를 실시하는 구성요소이다. 본 실시예에서는 이 처리 모듈이 하나의 반송 챔버(132)와 다수개의 공정 챔버(134)로 구성된다. Next, the processing module 130 is provided in a space between the first substrate transfer line 110 and the second substrate transfer line 120, and is a component that performs a predetermined process on the substrate. In this embodiment, this processing module is composed of one transfer chamber 132 and a plurality of process chambers 134.

여기에서 반송 챔버(132)는, 상기 제1 기판 반송 라인(110) 및 제2 기판 반송 라인(120)에 마련되는 상기 제1 로드락 챔버(112) 및 제2 로드락 챔버(122)와 연결되어 마련되며, 기판을 외부로 부터 공정 챔버(134)로 공급하고, 공정챔버(134)에서 처리 완료된 기판을 외부로 반출하는 역할을 한다. 그런데 본 실시예에서는 도 2에 도시된 바와 같이, 이 반송 챔버(132)가 긴 직사각형 형태로 마련되어, 상기 제1 기판 반송 라인(110)과 제2 기판 반송 라인(120)에 각각 수직한 상태 로 배치된다. 이는 하나의 반송 챔버로 2 개의 기판 반송 라인으로 부터 기판을 공급받는 동시에 기판을 배출하기 위한 구조로서, 보다 효율적으로 기판을 처리할 수 있는 장점이 있다. Here, the transfer chamber 132 is connected to the first load lock chamber 112 and the second load lock chamber 122 provided in the first substrate transfer line 110 and the second substrate transfer line 120. The substrate is supplied to the process chamber 134 from the outside, and serves to carry out the processed substrate from the process chamber 134 to the outside. In the present embodiment, however, as shown in FIG. 2, the conveying chamber 132 is provided in a long rectangular shape, and is perpendicular to the first substrate conveying line 110 and the second substrate conveying line 120, respectively. Is placed. This is a structure for discharging the substrate while simultaneously supplying the substrate from two substrate transfer lines to one transfer chamber, which has the advantage of processing the substrate more efficiently.

따라서 본 실시예에 따른 반송 챔버(132) 내에는, 도 3에 도시된 바와 같이, 그 내부에 위치되어 기판의 반송을 담당하는 반송 로봇(132a)이 마련된다. 이때 이 반송 로봇(132a)은 반송 챔버(132) 내부에서 수평 이동가능한 구조로 마련된다. 즉, 상기 제1 기판 반송 라인(110)과 제2 기판 반송 라인(120)을 연결하고 있는 반송 챔버(132) 내에서 상기 제1 기판 반송 라인(110) 측으로 접근하여 제1 로드락 챔버(112)로부터 기판을 전달받아서 공정 챔버(134)로 전달하고, 다시 제2 기판 반송 라인(110) 측으로 접근하여 제2 로드락 챔버(122)로부터 기판을 전달받아서 다른 공정 챔버로 전달할 수 있도록, 반송 챔버(132) 내에서 수평이동이 가능한 구조로 마련되는 것이다. 이를 위해 본 실시예에서는 반송 챔버(132) 내에 수평 방향으로 레일(132b)을 마련하고, 이 레일(132b)을 따라서 반송 로봇(132a)이 이동하도록 한다. 이 경우에는 로봇의 이동 경로가 정확하게 제어되므로, 기판의 반송 작업이 오차없이 정확하게 이루어질 수 있는 장점이 있다. Therefore, in the conveyance chamber 132 which concerns on a present Example, as shown in FIG. 3, the conveyance robot 132a located in the inside and handling a board | substrate is provided. At this time, the transfer robot 132a is provided in a structure capable of horizontal movement in the transfer chamber 132. That is, within the transfer chamber 132 connecting the first substrate transfer line 110 and the second substrate transfer line 120, the first load lock chamber 112 approaches the first substrate transfer line 110. Transfer the substrate to the process chamber 134, and again to the second substrate transfer line 110 side to receive the substrate from the second load lock chamber 122 to transfer to another process chamber, 132 is provided in a structure capable of horizontal movement. To this end, in this embodiment, the rail 132b is provided in the transport chamber 132 in the horizontal direction, and the transport robot 132a moves along the rail 132b. In this case, since the movement path of the robot is precisely controlled, there is an advantage that the transfer operation of the substrate can be made accurately without error.

다음으로 공정 챔버(134)는 챔버 내부에 기판을 위치시킨 상태에서 소정의 처리를 실시하는 구성요소이다. 본 실시예에서는 이 공정 챔버(134)가 도 2에 도시된 바와 같이, 반송 챔버(132) 외측에 다수개가 연결되어 배치된다. 이때 이 공정 챔버(134)는 적어도 2열 이상으로 배치된다. 여기에서 '열(L)'이라 함은, 도면 상에서 상하 방향으로 배치된 가상의 라인을 말하는 것으로서, 상기 제1 기판 반송 라인(110)과 제2 기판 반송 라인(120) 사이에 배치되는 공정 챔버 배치 라인을 말한다. 본 실시예에서는 하나의 반송 챔버(132)에 2 열 이상의 공정 챔버(134)가 배치되고, 이 공정 챔버에 대하여 하나의 반송 챔버 및 반송 로봇이 기판의 반입 및 반출을 담당하므로 기판 처리의 효율성 및 장소 이용의 효율성이 제고되는 장점이 있다. 또한 클러스터 방식의 장점을 그대로 유지하면서도 전체적으로 인라인 방식을 유지함으로써 기판 이송의 효율성을 제고할 수 있는 장점이 있다. Next, the process chamber 134 is a component that performs a predetermined process with the substrate placed in the chamber. In this embodiment, as shown in FIG. 2, a plurality of process chambers 134 are connected to the outside of the transfer chamber 132. At this time, the process chamber 134 is arranged in at least two rows. Here, the term “column L” refers to an imaginary line disposed in the vertical direction on the drawing, and is a process chamber disposed between the first substrate transfer line 110 and the second substrate transfer line 120. Say a batch line. In this embodiment, two or more rows of process chambers 134 are disposed in one transfer chamber 132, and one transfer chamber and a transfer robot are responsible for loading and unloading substrates to the process chamber. There is an advantage that the efficiency of the use of the place is improved. In addition, there is an advantage of improving the efficiency of substrate transfer by maintaining the overall inline method while maintaining the advantages of the cluster method.

한편 본 실시예에 따른 제1, 제2 기판 반송 라인(110, 120)은, 그 상부에 기판의 하면이 접촉한 상태에서 회전하여 기판을 일정한 방향으로 이동시키는 컨베이어로 마련되는 것이, 기판을 손상시키지 않으면서 안정적으로 이동시킬 수 있어서 바람직하다. On the other hand, the first and second substrate transfer lines 110 and 120 according to the present embodiment are provided with a conveyor that rotates while the lower surface of the substrate is in contact with the upper portion and moves the substrate in a constant direction, thereby damaging the substrate. It is preferable to be able to move stably without making it.

따라서 본 실시예에 따른 제1, 제2 로드락 챔버(112, 122)에도, 도 4에 도시된 바와 같이, 그 내부에 마련되며, 그 상부에 기판의 하면이 접촉한 상태에서 회전하여 기판을 일정한 방향으로 이송시키는 컨베이어가 마련되는 것이 바람직하다. 즉, 각 로드락 챔버에 연결되는 기판 반송 라인으로부터 기판을 원활하게 전달받고, 전달할 수 있는 것이다. Accordingly, the first and second load lock chambers 112 and 122 according to the present exemplary embodiment are also provided in the interior of the first and second load lock chambers 112 and 122, and the substrate is rotated while the lower surface of the substrate is in contact therewith. It is preferable to provide a conveyor for feeding in a constant direction. That is, the substrate can be smoothly received and transferred from the substrate transfer line connected to each load lock chamber.

이하에서는 본 실시예에 따른 기판 이송 시스템(100)의 운용 방안을 설명한다. Hereinafter, an operation plan of the substrate transfer system 100 according to the present embodiment will be described.

우선 도 5a에 도시된 바와 같이, 하나의 기판 반송 라인(110)을 기판 반입 라인으로 사용하고, 다른 기판 반송 라인(120)을 기판 반출 라인으로 설정하여 기판이 일정한 방향으로 흘러가면서 기판을 처리하는 방식이 가능하다. 이 경우에는 하나의 기판 반송 라인(110)에서 연속적으로 기판을 반입시키고, 이렇게 반입된 기판을 상기 기판 반송 로봇이 반송 챔버(132) 내에서 이동하면서 각 공정 챔버로 기판을 공급한다. 그리고 처리가 완료된 기판을 공정 챔버로부터 들고 나와서 다른 기판 반송 라인(120)으로 배출한다. 이때 각 로드락 챔버와 반송 챔버의 작동은 서로 연동되어 제어된다. First, as shown in FIG. 5A, one substrate transfer line 110 is used as a substrate loading line, and another substrate transfer line 120 is set as a substrate export line to process the substrate while the substrate flows in a constant direction. The way is possible. In this case, the substrate is continuously loaded in one substrate transfer line 110, and the substrate transfer robot supplies the substrate to each process chamber while the substrate transfer robot moves in the transfer chamber 132. Then, the processed substrate is lifted out of the process chamber and discharged to another substrate transfer line 120. At this time, the operation of each load lock chamber and the transfer chamber is controlled in conjunction with each other.

이때 기판 공급 라인으로 사용되던 기판 반송 라인(110)의 상측에 고장이 발생하면, 다른 기판 반송 라인(120)을 기판 공급 라인으로 전환하고, 상측이 고장난 기판 반송 라인(110)을 기판 배출 라인으로 전환하여 사용한다. 따라서 기판 반송 라인의 소정 부분에 고장이 발생하더라도 전체 시스템을 그대로 운용하면서 유지 보수 작업을 진행할 수 있는 장점이 있다. 또한 종래와 달리 버퍼 챔버 없이도 시스템을 효율적으로 운용할 수 있어서, 풋프린트가 현격히 감소되는 효과가 있다. At this time, if a failure occurs on the upper side of the substrate transfer line 110 used as the substrate supply line, the other substrate transfer line 120 is switched to the substrate supply line, and the substrate transfer line 110 on which the upper side has failed is replaced by the substrate discharge line. Switch to use. Therefore, even if a failure occurs in a predetermined portion of the substrate transfer line, there is an advantage that the maintenance work can be performed while operating the entire system as it is. In addition, unlike the conventional method, the system can be efficiently operated without a buffer chamber, thereby reducing the footprint.

한편 도 5b에 도시된 바와 같이, 2개의 기판 반송 라인(110, 120)이 모두 기판의 반입 및 반출을 수행하는 방안도 가능하다. 즉, 제1 기판 반송 라인(110)을 통해서 공급되는 기판이 어느 하나의 공정 챔버에서 처리된 후, 제2 기판 반송 라인(120)을 통해서 배출되기도 하고, 제1 기판 반송 라인(110)을 통해서 공급된 기판이 그냥 제1 기판 반송 라인(110)을 통해서 배출되기도 하는 것이다. 물론 제2 기판 반송 라인(120)을 통하여 공급된 기판도 마찬가지이다. 이 경우에는 기판이 일정한 방향으로 흘러가면서 처리되는 것은 아니지만, 각 로드락 챔버 및 반송 로봇을 가장 효율적으로 이용할 수 있는 장점이 있다. 이렇게 하면 버퍼 챔버 없이도 기판을 다양한 방향으로 전달하면서 기판을 처리할 수 있으며, 풋 프린트를 현격히 줄일 수 있는 장점이 있다. On the other hand, as shown in Figure 5b, it is also possible that the two substrate transfer line (110, 120) both carry in and out of the substrate. That is, after the substrate supplied through the first substrate transfer line 110 is processed in any one process chamber, it may be discharged through the second substrate transfer line 120, or through the first substrate transfer line 110. The supplied substrate is just discharged through the first substrate transfer line 110. The same applies to the substrate supplied through the second substrate transfer line 120, of course. In this case, the substrate is not processed while flowing in a constant direction, but there is an advantage that each load lock chamber and the transfer robot can be used most efficiently. This allows the substrate to be processed while transferring the substrate in various directions without the need for a buffer chamber, and has the advantage of significantly reducing the footprint.

본 발명에 따르면 버퍼 챔버를 사용하지 않으면서도 기판을 효율적으로 이송하면서 기판을 처리할 수 있으므로, 기판 이송 시스템이 차지하는 풋 프린트를 현격하게 감소시킬 수 있는 장점이 있다. According to the present invention, since the substrate can be processed while efficiently transferring the substrate without using the buffer chamber, the footprint occupied by the substrate transfer system can be significantly reduced.

Claims (7)

일측에 직선상으로 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제1 기판 반송 라인;A first substrate transfer line disposed on one side in a straight line and continuously moving the substrate in a constant direction; 타측에 상기 제1 기판 반송 라인과 평행하게 배치되어, 기판을 일정한 방향으로 연속해서 이동시키는 제2 기판 반송 라인;A second substrate transfer line disposed on the other side in parallel with the first substrate transfer line and continuously moving the substrate in a predetermined direction; 상기 제1 기판 반송 라인과 제2 기판 반송 라인 사이의 공간에 마련되며, 기판에 소정의 처리를 실시하는 처리 모듈;을 포함하되, And a processing module provided in a space between the first substrate transfer line and the second substrate transfer line and performing a predetermined process on the substrate. 상기 처리 모듈은, The processing module, 상기 제1 기판 반송 라인과 제2 기판 반송 라인에 각각 수직하도록 긴 직사각형 형태로 마련되어 기판을 반송하는 반송 챔버;A conveying chamber provided in an elongate rectangular shape so as to be perpendicular to the first substrate conveying line and the second substrate conveying line, respectively; 상기 반송 챔버의 외측에 연결되어 적어도 2열 이상으로 마련되며, 상기 반송 챔버에 의하여 공급되는 기판에 소정의 처리를 실시하는 다수개의 공정 챔버;A plurality of process chambers connected to the outside of the transfer chamber and provided in at least two rows and performing predetermined processing on a substrate supplied by the transfer chamber; 상기 반송 챔버과 연결되는 부분에 배치되며, 대기압과 진공 분위기를 반복하면서 상기 반송 챔버에 기판을 반입하고, 반송 챔버로부터 기판을 전달받아 배출하는 제1 로드락 챔버 및 제2 로드락 챔버;A first load lock chamber and a second load lock chamber disposed at a portion connected to the transfer chamber, the first load lock chamber and a second load lock chamber receiving and discharging the substrate from the transfer chamber while repeating the atmospheric pressure and the vacuum atmosphere; 상기 제1 로드락 챔버 및 제2 로드락 챔버 내에 구비되며, 상부에 기판의 하면이 접촉한 상태에서 회전하여 기판을 일정한 방향으로 이송시키는 컨베이어; 및 A conveyor provided in the first load lock chamber and the second load lock chamber to rotate in a state where a lower surface of the substrate is in contact with the upper portion to transfer the substrate in a predetermined direction ; And 상기 반송 챔버 내부에 마련되어 기판의 반송을 담당하며, 상기 반송 챔버 내에서 수평 이동가능하도록 마련되는 반송 로봇;을 포함하여 구성되는 기판 이송 시스템. A transfer robot provided inside the transfer chamber and responsible for transfer of a substrate and provided to be horizontally movable within the transfer chamber; Substrate transfer system comprising a. 삭제delete 삭제delete 삭제delete 제1항에 있어서, 상기 제1, 제2 기판 반송 라인은, The method of claim 1, wherein the first and second substrate transfer line, 그 상부에 기판의 하면이 접촉한 상태에서 회전하여 기판을 일정한 방향으로 이동시키는 컨베이어로 마련되는 것을 특징으로 하는 기판 이송 시스템.And a conveyor for rotating the substrate in a predetermined direction by rotating the lower surface of the substrate in contact with the upper portion thereof. 삭제delete 삭제delete
KR1020050070302A 2005-05-26 2005-08-01 System for transferring the substrate KR100959680B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020050070302A KR100959680B1 (en) 2005-08-01 2005-08-01 System for transferring the substrate
TW95118518A TWI318195B (en) 2005-05-26 2006-05-24 System for manufacturing flat-panel display
CN2008100891388A CN101308773B (en) 2005-05-26 2006-05-26 System for manufacturing flat display
CN2008100891392A CN101308774B (en) 2005-05-26 2006-05-26 System for manufacturing flat display

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050070302A KR100959680B1 (en) 2005-08-01 2005-08-01 System for transferring the substrate

Publications (2)

Publication Number Publication Date
KR20070015750A KR20070015750A (en) 2007-02-06
KR100959680B1 true KR100959680B1 (en) 2010-05-26

Family

ID=43650132

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050070302A KR100959680B1 (en) 2005-05-26 2005-08-01 System for transferring the substrate

Country Status (1)

Country Link
KR (1) KR100959680B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101231968B1 (en) * 2011-03-17 2013-02-15 노바테크인더스트리 주식회사 Substrate Carrier System
KR101462596B1 (en) * 2012-12-31 2014-11-18 주식회사 에스에프에이 Substrate processing apparatus
KR101967888B1 (en) * 2015-12-14 2019-04-10 주식회사 씨에이치솔루션 Substrate treatment apparatus and substrate tretment method
KR101982653B1 (en) * 2015-12-14 2019-05-27 주식회사 씨에이치솔루션 Substrate treatment apparatus with sharing chamber
KR102507268B1 (en) * 2021-04-26 2023-03-07 (주)에스티아이 Lamination system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02180103A (en) * 1988-12-24 1990-07-13 Yanagiya:Kk Method and device for tray packing in levels for product
KR19980032330A (en) * 1996-10-17 1998-07-25 페. 좀머캄프,에. 투트 Vacuum processing device for thin film deposition on 3D shell type or prism substrate
US5826699A (en) 1993-08-14 1998-10-27 Trauten; Carlos Goods storage and conveyor system
KR20010030988A (en) * 1997-10-08 2001-04-16 노르만 엘. 터너 Modular substrate processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02180103A (en) * 1988-12-24 1990-07-13 Yanagiya:Kk Method and device for tray packing in levels for product
US5826699A (en) 1993-08-14 1998-10-27 Trauten; Carlos Goods storage and conveyor system
KR19980032330A (en) * 1996-10-17 1998-07-25 페. 좀머캄프,에. 투트 Vacuum processing device for thin film deposition on 3D shell type or prism substrate
KR20010030988A (en) * 1997-10-08 2001-04-16 노르만 엘. 터너 Modular substrate processing system

Also Published As

Publication number Publication date
KR20070015750A (en) 2007-02-06

Similar Documents

Publication Publication Date Title
CN101461051B (en) Substrate transfer equipment and substrate processing system using the same
JP4744427B2 (en) Substrate processing equipment
JP5068738B2 (en) Substrate processing apparatus and method
KR100959680B1 (en) System for transferring the substrate
KR20150004131U (en) A multi-module system for processing thin film photovoltaic devices
KR101681191B1 (en) Transfer unit, Apparatus for treating substrate, and Method for treating substrate
KR100921519B1 (en) Substrate transfering apparatus and facility for treating with the same, and method for trasfering substrate with the apparatus
KR101991889B1 (en) Substrate horizontal rotation module, and substrate transfer method
CN106548965B (en) Substrate processing apparatus and substrate processing method
KR101768519B1 (en) Apparatus for Processing Substrate
KR100959678B1 (en) Flat panel display manufacturing machine
KR20070015759A (en) Apparatus for manufacturing flat panel display
KR100965511B1 (en) System for transferring the substrate
KR100934769B1 (en) Substrate Transfer System
KR20070019423A (en) Flat panel display manufacturing machine
KR100588451B1 (en) A method for supply and discharge a base plate
JPH10310240A (en) Substrate processing device and substrate processing method
KR100483824B1 (en) Substrate Transporting Apparatus, Substrate Processing Apparatus using the Transporting Apparatus and Substrate Processing Method
KR101739012B1 (en) Substrate processing system
KR100934765B1 (en) Apparatus for manufacturing flat panel display
KR100920420B1 (en) Apparatus for manufacturing flat panel display
KR100905394B1 (en) Apparatus for manufacturing flat panel display
JP2003124293A (en) Position equipment of thin plate article
KR100560956B1 (en) Apparatus for manufacturing flat panel display
KR100596335B1 (en) Apparatus for manufacturing FPD

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140520

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee