KR100867776B1 - 에지 증착을 방지하는 방법 및 장치 - Google Patents

에지 증착을 방지하는 방법 및 장치 Download PDF

Info

Publication number
KR100867776B1
KR100867776B1 KR1020070057641A KR20070057641A KR100867776B1 KR 100867776 B1 KR100867776 B1 KR 100867776B1 KR 1020070057641 A KR1020070057641 A KR 1020070057641A KR 20070057641 A KR20070057641 A KR 20070057641A KR 100867776 B1 KR100867776 B1 KR 100867776B1
Authority
KR
South Korea
Prior art keywords
substrate support
purge
ring
edge
substrate
Prior art date
Application number
KR1020070057641A
Other languages
English (en)
Other versions
KR20070070143A (ko
Inventor
조셉 유도프스키
토마스 에이. 마다르
살바도르 유모토이
손 니고크 트린
로렌스 씨. 레이
안즈홍 앤드류 창
지아오지옹 존 유안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070070143A publication Critical patent/KR20070070143A/ko
Application granted granted Critical
Publication of KR100867776B1 publication Critical patent/KR100867776B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 지지체는 제거가능한 에지 링을 가지는데, 에지 링은 제공되는 기판 지지체의 열팽창 계수보다 낮은 열팽창 계수(CTE)를 가지는 재료로 제조된다. 에지 링 및 기판 지지체는 핀 및 슬롯 결합을 위하여 구성된다. 특히, 에지 링 또는 기판 지지체는 다수의 핀을 포함하며, 다른 에지 링 또는 기판 지지체는 핀이 삽입될 수 있는 다수의 중공 영역 또는 슬롯을 포함한다. 슬롯은 적어도 다수의 핀들중 대응하는 하나의 핀만큼 넓으며 열 순환 동안 팽창 및 수축한다. 각각의 슬롯은 장치가 노출되는 공정 온도의 범위에 걸쳐, 기판 지지체의 CTE와 에지 링의 CTE 사이의 차이를 보상하기 위한 충분한 길이로 연장된다. 서셉터는 알루미늄으로 제조되며 에지 링은 세라믹으로 제조되는 것이 바람직하다. 제한 갭은 기판 지지체상에 배치되는 기판의 에지로 유동하는 퍼지 가스의 용적을 제한하기 위하여 기판 지지체의 표면과 퍼지 링의 표면 사이에서 형성될 수 있다. 퍼지 가스 전달 채널은 노출된 배출구를 가질 수 있으며 세척을 용이하게 하기 위하여 상방으로 각도가 형성될 수 있다.

Description

에지 증착을 방지하는 방법 및 장치{METHOD AND APPARATUS FOR PREVENTING EDGE DEPOSITION}
도 1은 본 발명의 서셉터의 제 1 양태에 따른 분해 사시도.
도 2는 본 발명의 서셉터의 제 1 양태에 따른 관련 부분의 측면도.
도 3은 본 발명의 서셉터의 제 2 양태에 따른 관련 부분의 측면도.
도 4는 본 발명의 서셉터의 제 3 양태에 따른 관련 부분의 측면도.
도 5a 및 도 5b는 본 발명의 서셉터의 제 4 양태에 따른 관련 부분의 측면도.
도 6은 본 발명의 서셉터의 제 5 양태에 따른 관련 부분의 측면도.
* 도면의 주요 부분에 대한 부호의 설명 *
11a,11b,11c,11d : 서셉터 13 : 기판 지지체
15 : 퍼지 링 17 : 슬롯
19 : 핀 21 : 패드
23 : 가이드 핀 25 : 가스 전달 채널
27 : 가스 분배 채널 29 : 퍼지 슬롯
O : 오리피스 R : 제한 갭
W : 웨이퍼
본 발명은 기판의 에지 및 후면 상에 공정 가스의 증착을 보다 균일하게 방지하며 세척이 용이한 개선된 서셉터에 관한 것이다.
화학적 기상 증착(CVD)은 반도체 기판상에 재료의 박막을 증착하기 위하여 이용되는 다수의 공정중 하나의 공정이다. CVD를 이용하여 기판을 처리하기 위하여, 진공 챔버는 기판을 수용하도록 구성된 서셉터(susceptor)가 제공된다. 통상적인 CVD 챔버에서, 기판은 로봇 블레이드에 의하여 CVD 챔버내에 놓이며 로봇 블레이드에 의하여 CVD 챔버로부터 제거되며 서셉터에 의해 처리과정 동안 지지된다. 전구체 가스는 기판 상에 설치된 가스 매니폴드 플레이트를 통하여 진공 챔버내로 충전되는데, 기판은 일반적으로 250 ℃ 내지 650 ℃의 범위의 처리 온도로 가열된다. 전구체 가스가 가열된 기판 표면 상에서 반응하여 기판 표면 상에 박막층이 증착되며 챔버 배기 시스템을 통하여 펌핑되는 휘발성의 부산물 가스가 형성된다.
기판 처리의 주목적은 최대 유효 표면적을 얻는 것으로, 결과적으로는 각각의 기판으로부터 가능한 많은 칩을 얻기 위한 것이다. 이는 처리될 기판 상에서 에지 제외부가 제로가 되도록, 즉 웨이퍼 에지를 포함하여 기판 표면의 부분이 폐기되지 않기를 원하는, 최근 반도체 칩 제조자들에 의한 요구조건에 따라 주목되고 있다. 고려되는 소정의 중요한 요인은 기판상에 증착되는 층의 두께 및 균일성에 영향을 미치는 처리 변수, 및 기판에 부착되어 기판 전체 또는 일부를 쓸모없게 만 드는 오염물을 포함한다. 이러한 두 가지 요인은 처리되는 각각의 기판에 대하여 유효 표면 영역이 최대화되도록 제어되어야 한다.
챔버내의 입자 오염의 원인 중 하나는 기판의 후면 상에 또는 에지에서의 재료의 증착이다. 기판 에지는 통상적으로 경사져있어, 이들 표면에 대한 증착 제어를 어렵게 만든다. 그러므로 기판 에지에서의 증착이 불균일할 수 있다. 부가적으로 금속이 증착될 경우, 금속은 실리콘에 부착되는 것보다 유전체에 어렵게 부착되는 경향이 있다. 웨이퍼의 유전체 층이 경사지게 연장되지 않는 경우, 금속은 실리콘 경사면 상에 증착될 수 있으며, 박편화가 야기될 수 있다. 이는 증착되는 층이 기판 에지 및 결국 칩에 적절하게 부착되지 않게 하거나 또는 챔버에 원치않는 입자를 발생시키는 박편화를 유도할 수 있다.
부가적으로, 화학적 기계적 연마는 종종 텅스텐 또는 다른 금속으로 코팅된 기판의 표면을 평탄하게 하기 위하여 종종 이용된다. 연마 작용은 에지 및 후면의 임의의 증착물이 원치 않는 입자를 생성하고 및 박편화를 야기하게 한다. 처리 공정 동안 기판 에지 상에 공정 가스의 증착을 제어하기 위한 많은 방안이 적용되었다. 이러한 방안중 하나는 본질적으로 공정 가스로부터 기판 주변부의 일부를 만들어, 기판의 전체 유효 표면 영역을 감소시키는 새도우 링(shadow ring)을 사용한다. 에지 제외부 제로를 위한 현재 요구의 견지에서, 이 방법은 덜 바람직하다.
또 다른 방안은 기판의 에지를 따라 퍼지 가스를 전달하기 위해 기판의 에지 부근에서 퍼지 링을 사용하여 에지 증착을 방지하는 것이다. 퍼지 가스는 증착 가스가 기판에 도달하는 것을 방지하거나 제한함으로써 웨이퍼의 경사진 에지 상에서 의 증착을 방지한다. 세 번째 방안은 웨이퍼의 에지 양단에 퍼지 가스를 유도하기 위해 기판의 에지에 인접한 퍼지 가스 주입구 및 배출구를 갖춘 퍼지 가스 챔버를 형성하기 위해 셔터 링과 퍼지 링을 조합하여 사용하는 것이다.
웨이퍼는 통상적으로 퍼지 링 바로 안쪽(방사상)에 장착되어 이들 사이에 갭을 갖는다. 통상적으로 퍼지 링은 알루미늄으로 제조되며 처리공정 동안 퍼지 링이 변형되는 것을 방지하기 위하여 기판 지지체에 용접된다. 그러나 CVD 처리 챔버내에서 발생하는 열적 순환 동안, 알루미늄 링이 변형되어, 링 형상의 보존이 이루어지지 않아 웨이퍼의 에지 상에 입자의 증착을 방지하는 능력이 손상된다. 이것은 갭의 크기를 변화시킬 수 있으며, 웨이퍼의 에지 양단에 불균일한 증착을 초래한다. 알루미늄 링이 팽창되고 수축됨에 따라, 알루미늄 링 상의 재료는 박편화되어 웨이퍼를 오염시킬 수 있는 입자를 발생시킨다.
또한, 링이 차폐(shadowing)를 위해, 특히 정화를 위해 효과적으로 작용하도록 하기 위하여, 링은 갭을 변형시키거나 웨이퍼 상에서 박편화되어 증착될 수 있는 증착 재료를 제거하기 위하여 자주 세척되어야 한다. 이러한 세척은 챔버 중단시간을 증가시키며, 작업 처리량을 감소시키며 더 높은 작업 비용을 초래한다. 따라서 에지 증착을 확실히 방지하며 용이하게 세척될 수 있는 개선된 서셉터에 대한 가 요구된다.
본 발명은 기판 지지체의 열팽창 계수보다 낮은 열팽창 계수(CTE)를 가지는 재료로 제조된 제거가능한 에지 링을 가지는 기판 지지체를 제공함으로써 종래기술 의 문제점을 극복하기 위한 것이다. 에지 링 및 기판 지지체는 핀 및 슬롯 결합을 위해 구성된다.
특히, 에지 링 또는 기판 지지체는 다수의 핀을 포함하며, 에지 링 또는 기판 지지체의 나머지 부분은 핀이 삽입될 수 있는 다수의 중공 영역 또는 슬롯을 포함한다. 슬롯은 적어도 다수의 핀들 중 대응하는 핀 만큼 넓으며 열적 순환동안 기판 지지체가 팽창 및 수축하는 방향으로 연장된다. 각각의 슬롯은 장치가 노출되는 공정 온도의 범위에 따라, 기판 지지체의 CTE와 에지 링의 CTE 사이의 차이를 보상하기에 충분한 길이로 연장된다. 서셉터는 알루미늄으로 제조하며 에지 링은 세라믹으로 제조하는 것이 바람직하다.
서셉터의 성능은 각각의 다수의 핀들을 단열 패드로 둘러싸고, 각각의 슬롯이 다수의 핀들중 하나의 대응하는 핀의 길이보다 더 큰 깊이를 가지는 것을 보장함으로서 더욱 개선되어, 단열 패드의 이용으로 기판 지지체로부터 핀과 에지 링 모두가 단열된다.
에지 링은 새도우 링 및/또는 퍼지 링 타입일 수 있다. 기판 지지체는 퍼지 링 및/또는 퍼지 가스 채널을 포함할 수 있다. 기판 지지 표면 주위로 방사상 이격되며 기판 지지 표면에 대해 방사상 외측 방향으로 연장되는 3개의 슬롯과 접촉하는 3개의 핀이 현재로서 바람직하다.
본 발명의 에지 링은 에지 링의 비교적 낮은 CTE, 및 에지 링과 기판 지지체 사이의 핀과 슬롯의 결합으로 인해 변형되지 않는다. 또한, 핀 및 슬롯 결합은 에지 링의 세척을 위하여 신속하고 용이하게 제거되는 것을 가능케 함으로써 챔버의 정지 시간을 감소시킨다.
본 발명의 다른 목적, 특징 및 장점은 바람직한 실시예 및 첨부된 도면의 후술되는 상세한 설명으로부터 더욱 명백하게 된다.
도 1은 본 발명의 서셉터(11a)의 제 1 양태의 분해 사시도이다. 서셉터(11a)는 퍼지 링(15)과 같은 에지 링과 핀 및 슬롯을 결합시키도록 조작된 기판 지지체(13)를 포함한다. 특히, 기판 지지체(13)는 기판 지지체(13)의 상부면으로부터 상향 연장되는 3개의 핀(19a 내지 19c)을 포함한다. 퍼지 링(15)의 바닥면은 3개의 핀(19a 내지 19c)과 접촉하도록 위치된 3개의 중공 영역 또는 슬롯(17)을 포함한다. 기판 지지체(13)는 중앙 웨이퍼 지지 표면(13a)을 포함하며, 3개의 핀(19)은 기판 지지 표면(13a) 둘레에 방사상 동일 간격으로 이격된다. 각각의 슬롯(17)은 적어도 대응하는 핀(19) 만큼 넓으며, 열적 순환 동안 기판 지지체가 팽창 및 수축되는 방향으로, 기판 지지 표면(13a)의 중앙에서 방사상 바깥방향으로 연장된다.
기판 지지체(13)는 종래와 같이 알루미늄과 같은 금속으로 제조하는 것이 바람직하다. 퍼지 링(15)은 기판 지지 재료의 CTE보다 낮은 CTE를 가지는 재료로 제조하는 것이 바람직하다. 퍼지 링은 세라믹으로 제조되는 것이 바람직하다. 슬롯(17)은 서셉터(11a)가 노출되는 공정 온도 범위에 따라, 기판 지지 재료의 CTE와 퍼지 링 재료의 CTE 사이의 차이를 충분히 보상하는 길이로 연장된다. 도 2를 참조로 하기 설명되는 바와 같이, 기판 지지체(13)와 퍼지 링(15) 사이의 단열을 달 성하기 위해, 각각의 핀(19)은 단열 재료로 제조된 패드(21)에 의하여 둘러싸이는 것이 바람직하다. 패드(21)는 바람직하게 고도로 연마된 세라믹으로 제조되며 입자 발생을 최소화시키면서 퍼지 링(15)이 패드를 따라 용이하게 슬라이드되게 허용한다. 1998년 6월 24일에 출원된 미국 특허 출원 제 09/103,462호(본 명세서에서 전체적으로 참조되는)에 공개된 바와 같이, 퍼지 링(15)은 정확한 웨이퍼 배치를 용이하게 하기 위하여 다수의 웨이퍼 가이드 핀(23)을 더 포함할 수 있다.
도 2는 상부에 웨이퍼(W)가 배치된 본 발명의 서셉터(11a)의 제 1 양태의 관련된 부분의 측면도이다. 도 2에 도시된 바와 같이, 기판 지지체(13), 퍼지 링(15) 및 슬롯(17)은 패드(21)의 이용과 함께, 기판 지지체(13)와 퍼지 링(15) 사이에 직접적인 접촉이 존재하지 않도록 형성된다. 금속 기판 지지체(13)로부터 퍼지 링(15)을 단열시킴으로써, 퍼지 링(15)이 통상적으로 더 높은 온도 기판 지지체(13)와 직접적으로 접촉하는 경우 발생하는 열 응력보다 적은 열 응력이 퍼지 링(15)에 작용한다. 또한 도 2에 도시된 바와 같이, 슬롯(17)은 핀(19)을 경유하는 기판 지지체(13)로부터 퍼지 링(15)으로의 열 전도를 감소시키기 위하여 핀(19)의 길이보다 더 큰 깊이를 가진다.
슬롯(17)은 기판 지지 표면(13)의 중심부를 기준으로 방사상 바깥방향으로 연장되며, 바람직하게는 각각의 핀(19)보다 각각 약간 더 넓어 슬롯(17)과 핀(19) 쌍 사이의 여유공간(clearance)에 대해 요구되는 간격보다 큰 열 순환 유도 팽창 및 수축의 결과로서 퍼지 링(15)이 측방으로 이동하는 것을 방지한다. 이러한 방식에서, 핀은 회전 정렬을 제공한다.
기판 지지체(13)는 퍼지 가스 전달 채널(25), 및 확산 링(13b)을 포함하는며, 확산 링(13b)은 확산 링(13b)의 내부 에지와 기판 지지체(13)의 외부 에지에 의하여 한정된 퍼지 가스 분배 채널(27) 및 퍼지 링(15)의 하부 에지로 확산 링(13b)에 형성된 다수의 소형 오리피스(O)를 통해 퍼지 가스 전달 채널(25)로부터 퍼지 가스와 결합된다.
동작시, 웨이퍼(W)는 웨이퍼(W)의 에지가 퍼지 슬롯(29)의 배출구에 인접하여 배치되도록 웨이퍼 지지 표면(13a) 상에 배치된다. 이런 방식으로 퍼지 가스가 웨이퍼(W)의 에지를 따라 퍼지 슬롯(29)을 통하여 상향으로 흐름에 따라, 웨이퍼의 에지상의 증착이 방지된다. 증착을 가능하게 하기 위하여, 서셉터는 통상적으로 서셉터의 하부에 접촉되거나 매립된 가열 코일에 의하여 350 ℃ 내지 475 ℃의 범위의 온도로 가열된다. 그러나 챔버 보수 또는 세척을 위하여, 서셉터는 통상적으로 대기 온도로 다시 냉각된다.
이러한 온도 변화는 기판 지지체 및 퍼지 링을 포함하는 챔버 부품의 열팽창 및 수축을 발생시킨다. CVD 공정 동안 발생하는 열 순환, 및 기판 지지체(13) 및 확산 링(13b)의 팽창 및 수축의 결과에도 불구하고, 핀과 슬롯 결합에 의하여, 온도가 변화할 때 기판 지지체(핀은 기판 지지체를 지지한다.)가 방사상 이동할 수 있기 때문에 열적으로 유도된 응력은 퍼지 링에 작용하지 않는다. 퍼지 링과 웨이퍼 사이의 갭의 열적으로 유도된 임의의 팽창은 중요하지 않다. 따라서 에지 증착은 더욱 균일하게 확실하게 방지된다. 더욱이, 퍼지 링(15)은 루틴 세척 또는 대체를 위하여 핀(19)으로부터 용이하게 상승된다. 따라서 정지시간이 최소화된다.
도 3은 본 발명의 서셉터의 제 2 양태의 관련 부분의 측면도이다. 도 3의 본 발명의 서셉터(11b)는 도 2의 기판 지지체(13)가 확산 링(13b)을 포함하지 않는다는 것을 제외하고 도 2의 서셉터(11a)에 유사하다. 퍼지 가스 전달 채널(25) 대신 퍼지 가스 분배 채널(27)로 퍼지 가스를 전달하는데, 퍼지 가스 분배 채널(27)은 더욱 좁게 형성된 퍼지 가스 슬롯(29)처럼 퍼지 링(15)의 내부 에지 및 기판 지지체(13)의 외부 에지에 의하여 형성된다. 도 3의 실시예는 더 적은 부품을 요구하며 오리피스(O; 도 1)를 제한 갭(R)으로 교체된다. 제한 갭(R)은 기판 지지체(13)의 수평 노치 및 퍼지 링(15)의 대응하는 수평 돌출부에 의하여 형성된다. 제한 갭(R)의 크기는 수평 노치 또는 돌출부에 대한 기판 지지체(13) 및 퍼지 링(15) 각각의 수직 크기 및 패드(21)의 두께에 의하여 결정된다. 그러므로 도 3의 실시예는 도 1 실시예의 오리피스(O)에 의하여 발생될 수 있는 막힘(clogging)을 감소시켜, 연속물의 기판 지지체 주위에 방사상 연장되는 제한 갭(R)이 다수의 오리피스(O)보다 덜 막히게 된다. 부품 수를 감소시킴으로써, 도 3 실시예는 부품들 간의 상이한 팽창 및 결과적인 입자 발생 가능성을 감소시킨다. 도 1 및 도 2 실시예와 같이, 퍼지 링(15)은 절연 패드(21) 상에 배치되며 핀(19)에 의하여 정렬된다.
도 4는 본 발명의 서셉터의 제 3 양태의 관련 부분의 측면도이다. 도 4에 도시된 바와 같이, 본 발명의 서셉터(11c)의 퍼지 링(15)은 퍼지 링(15)의 바닥면으로부터 하향 연장되는 다수의 핀(19)(도면에는 하나만 도시됨)을 가진다. 핀(19)은 퍼지 링(15)내로 가압되며 패드(21)는 동일한 방식으로 핀(19)으로 고정 되거나 핀(19)과 통합될 수 있다. 작동시, 핀(19)은 기판 지지체(13) 상에 배치된 대응 슬롯(17)내로 삽입된다. 본 실시예에서 슬롯(17)은 기판 지지체(13)의 확산 링 부분(13b)에 형성된다. 그러므로, 도 4에는 핀(19)의 돌출부 및 슬롯(17)이 스위칭될 수 있고, 핀 및 슬롯 결합의 장점이 달성된다.
도 5a 및 도 5b는 본 발명의 서셉터(11d)의 제 4 양태에 관련된 부분의 측면도이다. 도 5a 및 도 5b의 퍼지 링(15)은 퍼지 링의 내부 에지(15a)가 웨이퍼(W)의 에지 위에 걸리도록 형성된다. 그러므로, 기술분야에 공지된 바와 같이 퍼지 링(15)은 퍼지 링 및 새도우 링[웨이퍼의 에지 위에 걸리거나 새도우잉(shadowing)하는]으로서 기능한다. 도 2 및 도 3에 대하여 상술된 바와 같이, 도 5a 및 도 5b의 핀 및 슬롯 결합은 기판 지지체(13)가 퍼지/새도우 링(15)의 형상 또는 위치에 영향을 미치지 않고 팽창 및 수축하도록 한다. 도 5a에는 공정 위치의 퍼지/새도우 링(15)이 도시되며, 도 5b에는 웨이퍼 이송 위치의 퍼지/새도우 링(15)이 도시된다. 새도우 링이 웨이퍼의 에지와 중복되기 때문에, 웨이퍼(W)가 기판 지지체(13) 상에 배치되거나 또는 기판 지지체(13)로부터 수축되는 동안 새도우 링은 기판 지지체(13)(예를 들면, 챔버벽으로부터 돌출되는 행거 또는 립에 의하여) 상의 웨이퍼 이송 위치에서 통상적으로 지지된다. 웨이퍼가 기판 지지체(13) 상에 위치된 후, 기판 지지체(13)가 상승되어 하기에 보다 상세히 설명되는 것처럼 새도우 링을 립(lip)에서 기판 지지체(13)로 이송한다.
퍼지 및/또는 새도우 링이 이용되든지 간에 종래의 기판 지지체는 웨이퍼 이송 위치로 초기에 하강한다. 다음 웨이퍼 핸들러가 웨이퍼를 기판 지지체(13) 상 의 위치로 운반하고, 기판 지지체(13)가 상승되며 기판 지지체의 상승 핀(도시안됨)은 웨이퍼 핸들러로부터 웨이퍼를 상승시킨다. 그후 웨이퍼 핸들러는 철회되며 새도우 링이 사용되는 경우 기판 지지체(13)는 추가로 상승되어 처리 챔버(미도시)의 벽에 의해 기판 지지체(13)(도 5b) 위에서 지지되는 새도우 링을 지지체로부터 상승시킨다.
도 6은 본 발명의 서셉터의 제 5 양태의 관련 부분의 측면도이다. 본 발명의 서셉터(11e)는 세척을 위해 퍼지 가스 분배 채널(25)로의 접근이 용이하도록 구성된다. 특히, 핀(19)(또는 다른 일 실시예에서의 슬롯(17))이 위치하는 기판 지지체(13)의 표면은 퍼지 가스 분배 채널(25)의 배출구 아래에 있다. 그러므로, 퍼지 및/또는 새도우 링(15)이 기판 지지체(13)로부터 제거될 때, 가스 분배 채널의 배출구가 노출된다. 세척을 더욱 용이하게 하기 위하여, 도 6에 도시된 바와 같이, 퍼지 가스 분배 채널(25)은 상향으로 각을 이룰 수 있다(0°내지 30°사이가 바람직하다).
상술된 설명으로부터 명백한 바와 같이, 1998년 6월 24일에 출원되고 일반 양도된 미국 특허 출원 제 09/103,462호(본 명세서에서 첨부된)에서 설명된 바와 같이, 도 1 내지 도 5의 본 발명의 서셉터를 적용할 때, 종래의 증착 챔버(CVD, PVD 등)에 비교된 바와 같이 우수한 에지 증착 방지 및 증가된 작업 처리량을 제공한다.
전술된 설명은 본 발명의 바람직한 실시예만을 공개하며 본 발명의 범주내에 있는 상기에 공개된 장치 및 방법의 변형은 기술분야의 일반 기술자에게 매우 명백하다. 예를 들면, 본 발명의 서셉터는 핀이 기판 지지체 또는 링상에 배치되는지 간에 임의의 타입의 에지 링(퍼지 및/또는 새도우) 사이의 핀 및 슬롯 결합을 포함한다. 비록 각각의 도면이 단열 패드의 이용을 나타내지만 상기 패드는 선택적인 것이다. 또한, 가열 부재는 종래에 공지된 바와 같이 서셉터내에 포함될 수 있다. 또한 종래에 공지된 바와 같이, 본 발명의 다양한 실시예의 가스 전달 채널(25)의 각각은 가스 전달 채널(25)(도면의 각각에 도시된 바와 같이)의 개구부 아래로 소정 연장되는 퍼지 가스 분배 채널(27)내로 바람직하게 개방되어, 퍼지 슬롯(29)에 대한 퍼지 가스의 더욱 균일한 분배를 보장하는 버퍼 채널(buffer chanel)이 형성된다.
핀 및 슬롯이란 용어는 도시된 직선 핀 및 슬롯이 아닌 다른 모양(예를 들면, 직사각형 키, 등)을 포함하는 것으로 넓게 해석된다. 또한, 퍼지 또는 퍼지/새도우 링은 핀 및 슬롯 결합이 아닌 다른 메카니즘에 의하여 기판 지지체에 유용하게 제거가능하게 결합된다. 임의의 제거가능하게 결합된 퍼지 링은 퍼지 가스 전달 채널의 노출된 배출구 및 상향 각진 퍼지 가스 전달 채널로부터 장점을 가진다. 유사하게 서셉터가 제거가능하게 결합된 퍼지 링을 가지든 가지지 않든 간에 서셉터는 기판 지지체와 퍼지 링사이의 제한 갭을 가지는 퍼지 가스 분배 채널의 형성으로부터 장점을 가진다. 그러므로, 본 발명의 이러한 특징은 핀 및 슬롯 결합 또는 제거가능하게 결합된 퍼지 링으로 제한되지 않는다.
따라서, 본 발명이 바람직한 실시예와 관련하여 공개되었지만, 다음의 청구범위에 의하여 한정되는 바와 같이, 본 발명의 사상 및 범주내에 있는 것으로 이해 되어야 한다.
본 발명은 기판 지지체의 열팽창 계수보다 낮은 열팽창 계수를 가지는 재료로 제조된 제거가능한 에지 링을 가지는 기판 지지체를 제공함으로써 에지 증착을 확실히 방지하며 용이하게 세척될 수 있는 효과가 있다.

Claims (10)

  1. 퍼지 가스 전달 채널을 가진 기판 지지체;
    상기 기판 지지체에 연결된 퍼지 링; 및
    상기 기판 지지체와 상기 퍼지 링 사이에 형성되어 있으며, 제한 갭을 가지는 퍼지 가스 분배 채널
    을 포함하며, 상기 제한 갭은 상기 퍼지 가스 분배 채널을 통해 상기 퍼지 가스 전달 체널로부터 상기 기판 지지체에 의해 지지된 기판의 에지로 흐르는 퍼지 가스량(volume of purge gas)을 제한하도록 구성되며,
    상기 퍼지 링 및 상기 기판 지지체는 적어도 하나의 핀 및 슬롯을 통해 결합되며 단열 패드가 상기 핀을 둘러싸는 기판 지지 시스템.
  2. 제 1 항에 있어서,
    상기 기판 지지체는 수평 노치를 가지며 상기 퍼지 링은 제한 갭을 한정하는 대응 수평 돌출부를 가지는 것을 특징으로 하는 기판 지지 시스템.
  3. 삭제
  4. 제 2 항에 있어서,
    상기 퍼지 링과 상기 기판 지지체는 제거가능하게 연결되어 있는 것을 특징으로 하는 기판 지지 시스템.
  5. 삭제
  6. 퍼지 링; 및
    출구를 포함하는 퍼지 가스 전달 채널 및 상기 퍼지 링에 제거가능하게 결합되도록 구성된 표면을 가진 기판 지지체
    를 포함하며, 상기 퍼지 링 및 상기 기판 지지체는 적어도 하나의 핀 및 슬롯을 통해 결합되며 단열 패드가 상기 핀을 둘러싸는 기판 지지 시스템.
  7. 제 6 항에 있어서,
    상기 제거가능하게 결합되도록 구성된 표면은 상기 퍼지 가스 전달 채널의 출구 아래에 있는 것을 특징으로 하는 기판 지지 시스템.
  8. 제 7 항에 있어서,
    상기 제거가능하게 결합되도록 구성된 표면은 핀 및 슬롯이 결합하도록 구성되는 것을 특징으로 하는 기판 지지 시스템.
  9. 제 7 항에 있어서,
    상기 퍼지 가스 전달 채널은 상기 출구의 방향으로 상향 각진 것을 특징으로 하는 기판 지지 시스템.
  10. 제 8 항에 있어서,
    상기 퍼지 가스 전달 채널은 상기 출구의 방향으로 상향 각진 것을 특징으로 하는 기판 지지 시스템.
KR1020070057641A 1999-09-01 2007-06-13 에지 증착을 방지하는 방법 및 장치 KR100867776B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/387,928 US6375748B1 (en) 1999-09-01 1999-09-01 Method and apparatus for preventing edge deposition
US09/387,928 1999-09-01

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020000051588A Division KR100852098B1 (ko) 1999-09-01 2000-09-01 에지 증착을 방지하는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20070070143A KR20070070143A (ko) 2007-07-03
KR100867776B1 true KR100867776B1 (ko) 2008-11-10

Family

ID=23531894

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020000051588A KR100852098B1 (ko) 1999-09-01 2000-09-01 에지 증착을 방지하는 방법 및 장치
KR1020070057641A KR100867776B1 (ko) 1999-09-01 2007-06-13 에지 증착을 방지하는 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020000051588A KR100852098B1 (ko) 1999-09-01 2000-09-01 에지 증착을 방지하는 방법 및 장치

Country Status (6)

Country Link
US (1) US6375748B1 (ko)
EP (1) EP1081744A2 (ko)
JP (1) JP4729160B2 (ko)
KR (2) KR100852098B1 (ko)
SG (1) SG93262A1 (ko)
TW (1) TW471009B (ko)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4260307B2 (ja) * 1999-09-28 2009-04-30 芝浦メカトロニクス株式会社 気相成長装置
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6702900B2 (en) * 2001-03-22 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer chuck for producing an inert gas blanket and method for using
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US20050092255A1 (en) * 2003-11-04 2005-05-05 Taiwan Semiconductor Manufacturing Co. Ltd. Edge-contact wafer holder for CMP load/unload station
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
JP5260023B2 (ja) * 2007-10-19 2013-08-14 三菱重工業株式会社 プラズマ成膜装置
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
WO2011017226A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Compound lift pin tip with temperature compensated attachment feature
KR101840322B1 (ko) 2009-12-31 2018-03-20 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 엣지 및 경사면 증착을 수정하기 위한 쉐도우 링
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2013537719A (ja) * 2010-08-20 2013-10-03 アプライド マテリアルズ インコーポレイテッド 長寿命デポジションリング
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103928291B (zh) * 2013-01-11 2017-06-13 无锡华润上华科技有限公司 半导体面电阻均匀性的改善方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
WO2014191623A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder, arrangement and substrate carrier for supporting substrates
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160002778A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN109256357B (zh) * 2017-07-13 2020-06-19 北京北方华创微电子装备有限公司 高温静电卡盘
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109767968B (zh) * 2018-12-17 2021-06-08 北京北方华创微电子装备有限公司 下电极结构及反应腔室
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition
US20220108872A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Bevel backside deposition elimination
TWI749956B (zh) * 2020-12-18 2021-12-11 天虹科技股份有限公司 薄膜沉積裝置
CN112647054B (zh) * 2020-12-23 2023-10-03 深圳市捷佳伟创新能源装备股份有限公司 双面镀膜系统以及双面镀膜方法
US11561254B2 (en) * 2021-05-27 2023-01-24 Semilab Semiconductor Physics Laboratory Co., Ltd. Topside contact device and method for characterization of high electron mobility transistor (HEMT) heterostructure on insulating and semi-insulating substrates
CN114520182B (zh) * 2022-01-12 2023-03-24 北京北方华创微电子装备有限公司 半导体工艺设备及其承载装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4911812A (en) 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5697427A (en) * 1995-12-22 1997-12-16 Applied Materials, Inc. Apparatus and method for cooling a substrate
US5863340A (en) * 1996-05-08 1999-01-26 Flanigan; Allen Deposition ring anti-rotation apparatus
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus

Also Published As

Publication number Publication date
SG93262A1 (en) 2002-12-17
KR20010030222A (ko) 2001-04-16
TW471009B (en) 2002-01-01
JP4729160B2 (ja) 2011-07-20
US6375748B1 (en) 2002-04-23
KR20070070143A (ko) 2007-07-03
EP1081744A2 (en) 2001-03-07
KR100852098B1 (ko) 2008-08-13
JP2001203163A (ja) 2001-07-27

Similar Documents

Publication Publication Date Title
KR100867776B1 (ko) 에지 증착을 방지하는 방법 및 장치
JP4669606B2 (ja) 基板処理装置及び基板支持方法
US6521292B1 (en) Substrate support including purge ring having inner edge aligned to wafer edge
US6368450B2 (en) Processing apparatus
KR101840322B1 (ko) 웨이퍼 엣지 및 경사면 증착을 수정하기 위한 쉐도우 링
KR100915252B1 (ko) 샤워 헤드 구조체 및 그의 의한 성막 방법과, 가스 처리 장치
US6033480A (en) Wafer edge deposition elimination
KR100190726B1 (ko) 개선된 화학증기증착(cvd) 챔버
EP1275135B1 (en) Apparatus for thermally processing wafers
US5888304A (en) Heater with shadow ring and purge above wafer surface
US6146463A (en) Apparatus and method for aligning a substrate on a support member
EP0688888B1 (en) Apparatus and method for substrate processing
US6223447B1 (en) Fastening device for a purge ring
WO2002008489A2 (en) Emissivity-change-free pumping plate kit in a single wafer chamber
CN116705689A (zh) 用于选择性预清洁的快速响应基座组件
US11697877B2 (en) High temperature face plate for deposition application
JP2004079845A (ja) 基板処理装置
KR20020003011A (ko) 일체형 서셉터를 구비하는 화학 기상 증착 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141030

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 11