JP2001203163A - 端部の堆積を防止する方法及びその装置 - Google Patents

端部の堆積を防止する方法及びその装置

Info

Publication number
JP2001203163A
JP2001203163A JP2000306815A JP2000306815A JP2001203163A JP 2001203163 A JP2001203163 A JP 2001203163A JP 2000306815 A JP2000306815 A JP 2000306815A JP 2000306815 A JP2000306815 A JP 2000306815A JP 2001203163 A JP2001203163 A JP 2001203163A
Authority
JP
Japan
Prior art keywords
substrate support
ring
pins
purge
end ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000306815A
Other languages
English (en)
Other versions
JP4729160B2 (ja
JP2001203163A5 (ja
Inventor
Yudovsky Joseph
ユドフスキー ジョセフ
Thomas A Madar
エイ マーダー トーマス
Umotoi Salvador
ウモトイ サルヴァドール
Son Ngoc Trinh
ンゴク トリン ソン
Lawrence C Lei
シー レイ ローレンス
Anzhong Andrew Chang
アンドリュー チャン アンツォン
Xiaoxiong John Yuan
ジョン ユーアン シャオション
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001203163A publication Critical patent/JP2001203163A/ja
Publication of JP2001203163A5 publication Critical patent/JP2001203163A5/ja
Application granted granted Critical
Publication of JP4729160B2 publication Critical patent/JP4729160B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 端部の堆積を確実に防止でき、容易に洗浄可
能な改善したサセプタを提供する。 【解決手段】 取外し可能な端部リングは基板支持13
より小さい熱膨張係数(CTE)の材料製である。端部
リング及び基板支持はピン19a〜c及びスロット17
a〜c結合のために構成されている。端部リング又は基
板支持の一方、及び他方は複数の中空領域又はスロット
を備え、ピンが挿入されてもよい。スロットは少なくと
も複数のピンの対応するものと同様で、熱サイクルの
間、基板支持が膨張すると共に収縮する方向に延びる。
好ましくは、サセプタ11aはアルミニウム製であり、
端部リングはセラミック製である。リストリクターの間
隙は基板支持の表面とパージリング15の表面の間に形
成されてもよく、基板支持に配置された基板の端部に流
れるパージガスの量を制限するようになっている。パー
ジガス放出経路は露出の出口を有し、洗浄を促進するよ
うに上方に角度を向けられていてもよい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は基板の端部及び後面
への処理ガスの堆積をより均一に抑制し、容易に洗浄で
きる改善したサセプタに関する。
【0002】
【従来の技術】化学気相成長法(CVD)は半導体基板
に材料の薄膜を堆積するために使用される多数の処理の
1つである。CVDを使用して基板を処理するため、真
空チャンバーは基板を受け取るように構成されたサセプ
ターを供給されている。通常のCVDチャンバーでは、
基板はロボットブレードによりチャンバーに配置される
と共に取り除かれ、処理の間、サセプタにより支持され
る。先駆物質ガスは基板上方に置かれたガスマニホール
ド板を通って真空チャンバーに充填され、基板は、通常
約250℃から650℃の範囲の処理温度まで加熱され
る。先駆物質ガスは加熱された基板表面で反応し、そこ
に薄層を堆積し、揮発性の副産物ガスを形成し、チャン
バー排気システムを介して排出される。
【0003】
【発明が解決しようとする課題】基板処理の主要な目的
は最大の有用な表面領域を得て、結果として、各基板か
ら可能な最大数のチップを得ることである。これは、処
理される基板の端部を排除することのない、すなわち、
ウェーハの端部を含めて基板表面の如何なる部分も無駄
にしないという半導体チップ製造業者による最近の要求
により強調されてきている。考える幾つかの重要な要因
は、基板に堆積された層の均一性及び厚さに影響を与え
る処理変数、及び基板に付着し、基板の全て又は一部分
を無用にする汚染物質を含んでいる。これらの要因の両
方は処理された各基板のための有用な表面領域を最大に
するように制御されるべきである。
【0004】チャンバー内の粒子汚染の1つの原因は端
部又は基板の後面での材料の堆積である。通常、基板端
部は面取りされ、これらの表面上で堆積を制御するのを
困難にしている。従って、基板端部での堆積は不均一と
なることがある。さらに、金属が堆積されるところで
は、それはシリコンより異なって誘電体に付着する傾向
がある。ウェーハの誘電層が面取り部まで延びない場合
には、金属はシリコンの面取り部に堆積され、剥離を生
じさせることがある。これは、基板の端部、そして結局
はチップ又は薄片に適当に付着しない堆積層となり、チ
ャンバー内に不必要な粒子を発生させる。
【0005】さらに、化学機械的研磨はしばしば、タン
グステン又は他の材料で被覆された基板の表面を円滑に
するために使用される。研磨の作用は端部及び後面に堆
積させ、剥離させると共に不必要な粒子を発生させる。
多数のアプローチが使用され、処理中の基板端部への処
理ガスの堆積を制御する。1つのアプローチは、基板の
外辺部の一部分を本質的に処理ガスから覆うシャドウリ
ングを使用し、基板の全体有効表面領域を減少させる。
端部を排除しないという現在の要求に照らして、この方
法は余り好ましくなくなって来ている。
【0006】別のアプローチは、基板の端部近くのパー
ジリングを使用し、基板の端部に沿ってパージガスを放
出し、それにより端部の堆積を防止する。パージガスは
堆積ガスが基板に到達するのを制限又は防止し、従っ
て、ウェーハの面取り端部への堆積を防止する。第3の
アプローチは、シャッターリングとパージリングを組合
せて使用し、基板の端部近傍にパージガス入口及び出口
を有するパージガスチャンバーを形成し、ウェーハの端
部を渡ってパージガスを導くようになっている。
【0007】一般的に、ウェーハはその間に間隙をあけ
てパージリングのちょうど内側(放射状に)位置してい
る。伝統的に、パージリングはアルミニウム製であり、
処理中にリングが変形するのを防止するように努力して
基板支持に溶接される。しかし、CVD処理チャンバー
内に起こる熱サイクルの間、それにもかかわらず、アル
ミニウムリングは変形し、それらの形状の完全性は失わ
れ、そのため基板の端部に粒子が堆積するのを抑制する
能力を損なう。これは間隙の寸法を変え、ウェーハの端
部に不均一な堆積をさせる。アルミニウムリングが膨張
すると共に収縮すると、その材料は剥離し、ウェーハを
汚染する可能性のある粒子を発生させる。
【0008】さらに、光を遮り、特にパージするために
有効に作動するリングのため、それらはしばしば洗浄さ
れ、間隙を変えたり、又は剥げ落ちてウェーハに堆積し
たりする可能性のある堆積材料を取り除かなければなら
ない。そのような洗浄はチャンバーの休止時間を増加さ
せ、スループットを減少させ、結果として稼動コストが
高くなる。従って、端部の堆積を確実に防止でき、容易
に洗浄可能な改善したサセプタの必要性がある。
【0009】
【課題を解決するための手段】本発明は、基板支持より
小さい熱膨張率(CTE)を有する材料製の取外し可能
な端部リングを有する基板支持を供給することにより従
来技術の問題を克服する。端部リング及び基板支持はピ
ン及びスロット結合のために構成される。特に、端部リ
ング又は基板支持の一方は複数のピンを備え、端部リン
グ又は基板支持の他方はピンが挿入される複数の中空領
域又はスロットを含んでいる。スロットは少なくとも複
数のピンの対応するものと同じ広さであり、基板支持が
熱サイクルの間に膨張されると共に収縮される方向に延
びている。両方のスロットは、装置が晒される処理温度
の範囲で、基板支持のCTEと端部リングのCTEの差
を補償するのに十分な長さ、延びている。好ましくは、
サセプタはアルミニウム製であり、端部リングはセラミ
ック製である。
【0010】サセプタの性能は、複数のピンのそれぞれ
を断熱パッドで取囲むことにより、そして、各スロット
が復習のピンの対応するものの長さ以上の深さを有する
ことを保証することにより、断熱パッドの使用がピンと
端部リングの両方を基板支持から断熱するようになり、
さらに改善される。
【0011】端部リングはシャドウリング及び又はパー
ジリングタイプのいずれかであってもよい。基板支持は
パージリング及び又はパージガス経路を含んでいてもよ
い。基板支持表面の回りで放射状に間隔を空け、基板支
持表面に関して放射状外側に延びている3つのスロット
と連動している3つのピンが現在、好まれている。
【0012】本発明の端部リングは、その比較的低いC
TEにより、及び端部リングと基板支持の間のピン及び
スロット結合により、変形に耐える。さらに、ピン及び
スロット結合は端部リングを洗浄のため迅速且つ容易に
取り除かせ、従って、チャンバーの休止時間を減少させ
る。
【0013】本発明の他の目的、特徴及び利点は好適な
実施例の以下の詳細な説明、添付した特許請求の範囲及
び添付図面からもっとよく明らかになるであろう。
【0014】
【発明の実施の形態】図1は発明のサセプタ11aの第
1の特徴の分解斜視図である。サセプタ11aは基板支
持13を備え、パージリング15のように端部リングを
有するピン及びスロット結合に適合する。特に、基板支
持13は基板支持13の最上面から上方に延びる3つの
ピン19a〜cを備えている。パージリング15の最下
面は3つのピン15a〜cと連動するように配置された
3つの中空領域又はスロット17を備えている。基板支
持13は中央ウェーハ支持表面13aを備え、3つのピ
ン19は基板支持表面13aの回りを放射状に均等に間
隔を空けられている。それぞれのスロット17は少なく
とも対応するピン19と同じ広さであり、熱サイクルの
間に基板支持が膨張及び収縮する方向で、基板支持表面
13aの中央から放射状外側に延びている。
【0015】好ましくは、基板支持13は従来のように
アルミニウムのような金属製である。パージリング15
は基板支持材料のCTEより小さいCTEを有する材料
製である。好ましくは、パージリングはセラミック製で
ある。スロット17はサセプタ11aが晒されている処
理温度の範囲に渡り、基板支持材料のCTEとパージリ
ング材料のCTEの差を補償するのに十分な長さ、延び
ている。好ましくは、各ピン19は断熱材料製のパッド
21により取囲まれており、図2に関連してさらに後述
するように、基板支持13及びパージリング15の間で
断熱を達成するようになっている。好ましくは、パッド
21はよく研磨されたセラミック製であり、そのため、
粒子の発生を最小にしている間、パージリング15をそ
れに沿って容易にスライドさせる。パージリング15は
複数のウェーハのガイドピン23をさらに含み、(この
引用によりその全体にここに組込まれた)1998年6
月24日に出願された米国特許出願No.09/103,462に開
示されているように、正確なウェーハの配置を容易にす
る。
【0016】図2は発明のサセプタ11aの第1の特徴
の適切な部分の側面図であり、そこに配置されたウェー
ハWを有している。図2に示されているように、基板支
持13、パージリング15及びスロット17はパッド2
1の使用により基板支持13とパージリング15の間に
直接の接触がないように構成されている。パージリング
15を金属の基板支持13から断熱することにより、パ
ージリング15が通常のより高い温度の基板支持13と
直接接触する場合には、パージリング15はそうでない
場合に生じるより小さい熱応力を受ける。また図2に示
されているように、スロット17はぴん9の長さ以上の
深さを有し、基板支持13からピン19を介してパージ
リング15への熱伝導を減少させる。
【0017】スロット17は基板支持表面13の中央部
に対して放射状外側に延び、好ましくは、それぞれのピ
ン19よりそれぞれほんの僅かに広く、従って、スロッ
ト17とピン19の一対の間の隙間に要求される距離以
上の熱サイクルで引き起こされた膨張及び収縮の結果と
して、パージリング15が横に動くのを防ぐようになっ
ている。この方法では、ピンはまた回転配列を供給す
る。
【0018】基板支持13はパージガス放出経路25と
ディフューザリング13bとを備え、ディフューザリン
グはディフューザリング13bの内側端部と基板支持1
3の外側端部により形成されたパージガス分配経路27
を通り、その後、ディフューザリング13bで形成され
た複数の小さいオリフィスを通ってパージリング15の
下端部にパージガス放出経路25からのパージガスを結
合する。
【0019】作動では、ウェーハWはウェーハ支持表面
13aに配置され、ウェーハWの端部がパージスロット
29の外側近傍に配置されるようになっている。この方
法では、パージガスがウェーハWの端部に沿ってパージ
ガススロット29を通って上方に流れる時、ウェーハ端
部の堆積は回避される。堆積を可能にするため、サセプ
タは、サセプタに埋め込まれた加熱コイル又はその下側
と接触した加熱コイルにより、350℃から475℃の
範囲の温度まで加熱される。しかし、チャンバーの保守
又は点検のため、サセプタは通常、周囲の温度に冷却さ
せる。
【0020】この温度変化は基板支持及びパージリング
を含むチャンバーエレメントの膨張及び収縮を引き起こ
す。CVD処理の間に起こる熱サイクル、及び結果とし
て起こる基板支持13及びディフューザリング13bの
膨張及び収縮に拘らず、パージリング(及びそれを支持
するピン)はピン及びスロット結合のため、温度が変化
すると放射状に動くことができるので、熱により引き起
こされた応力はパージリングにかからない。パージリン
グとウェーハの間の隙間の熱で引き起こされた膨張はほ
んの僅かである。その上さらに、パージリング15は日
常の洗浄及び交換のためピン19を容易に持上げられて
もよい。従って、休止時間は最小となる。
【0021】図3は発明のサセプタの第2の特徴の適切
な部分の側面図である。図3の発明のサセプタ11b
は、図2の基板支持がディフューザリング13bを備え
ていないことを除いて、図2のサセプタ11aに類似し
ている。代わりに、より狭く形成されたパージガススロ
ット29のように、パージガス放出経路25はパージリ
ング15の内側端部と基板支持13の外側端部により規
定されるパージガス分配経路27にパージガスを送る。
図3の実施例はより少ない部品を必要とし、(図1の)
オリフィスOをリストリクターの間隙Rと交換する。リ
ストリクターの間隙Rは基板支持13の水平切欠き部及
びパージリング15の対応する水平な突出部により形成
されている。リストリクターの間隙Rのサイズは基板支
持13及びパージリング15の水平な切欠き部又は突出
部に対するそれぞれ垂直な寸法及びパッド21の厚さに
より決定される。そのため、連続して基板支持の回りに
放射状に延びるリストリクターの間隙Rが複数のオリフ
ィスOより詰まりにくそうなので、図3の実施例は図1
の実施例のオリフィスOにより受ける閉塞を減少させ
る。部品数を減少させることにより、図3の実施例はま
たその間の異なる膨張及び結果として生じる粒子の発生
の可能性を減少させる。図1及び2の実施例のように、
パージリング15は断熱パッド21に置かれ、ピン19
により整列される。
【0022】図4は発明のサセプタの第3の特徴の適切
な部分の側面図である。図4に示されているように、発
明のサセプタ11cのパージリング15はパージリング
15の最下面から下方に延びる複数のピン(1つだけ図
示されている)を有している。ピン19はパージリング
15に押圧され、パッド21は同一の方法でピン19に
固定され、又は恐らくピン19に絶対必要である。作動
では、ピン19は基板支持13に配置された対応するス
ロット17内に挿入される。この例では、スロット17
は基板支持13のディフューザリング部分13bに形成
されている。従って、図4はピン19及びスロット17
の位置が切換えられ、ピン及びスロット結合の利点を依
然として成し遂げることを示している。
【0023】図5A及び5Bは発明のサセプタ11dの
第4の特徴の適切な部分の側面図である。図5A及び5
Bのパージリング15はその内側端部15aがウェーハ
Wの端部に張出すように構成されている。従って、パー
ジリング15は、当技術で公知なように、パージリング
及びシャドウリングの両方として機能する(ウェーハの
端部を張出し又は光を遮る)。図5A及び5Bのピン及
びスロット結合は、図2及び3に関連させて上述したよ
うに、パージ/シャドウリング15の形状又は位置に影
響を与えることなしに基板支持13を膨張及び収縮させ
る。図5Aは処理位置のパージ/シャドウリング15を
示し、図5Bはウェーハ搬送位置のパージ/シャドウリ
ング15を示している。シャドウリングはウェーハの端
部に重なるので、ウェーハWが基板支持13に置かれ、
又はそこから引抜かれる間、それらは伝統的に(例え
ば、チャンバー壁から突出するハンガー又は唇状部によ
り)基板支持上方のウェーハ搬送位置で支持されてい
る。ウェーハが基板支持13に置かれた後、基板支持1
3は上昇し、さらに後述するように、唇状部から基板支
持13にシャドウリングを搬送する。
【0024】パージ及び又はシャドウリングで使用され
るかどうかの伝統的な基板支持は最初、ウェーハ搬送位
置に降下される。その後、ウェーハハンドラーは基板支
持13の上方の位置にウェーハを運び、基板支持13は
上昇し、そのリフトピン(図示せず)はウェーハをウェ
ーハハンドラーから上昇させる。その後、ウェーハハン
ドラーは引っ込められ、シャドウリングが使用される場
合には、基板支持13はさらに上昇し、シャドウリング
を持上げ、処理チャンバー(図示せず)の壁によりその
支持から基板支持13(図5B)の上方で支持される。
【0025】図6は発明のサセプタの第5の特徴の適切
な部分の側面図である。発明サセプタ11eは洗浄のた
めパージガス分配経路25へのアクセスを容易にするよ
うに構成されている。特に、ピン19(又は代わりの実
施例ではスロット17)が配置される基板支持13の表
面はパージガス分配経路25の出口の下方にある。従っ
て、パージ及び又はシャドウリング15が基板支持13
から取り除かれる時、ガス分配経路の出口が露出され
る。洗浄をさらに容易にするため、パージガス分配経路
25は、図6に示されているように、上方(好ましく
は、0°と30°の間)に向けられてもよい。
【0026】上述から明らかなように、1998年6月
24日に出願され、共同で譲渡された米国特許出願No.0
9/103,462(ここにその全体を組込んでいる)のような
チャンバーは、図1から5の発明のサセプタを使用する
時、伝統的な堆積チャンバー(CVD、PVD等)と比
べて、優れた端部堆積の防止及び増加したスループット
を供給する。
【0027】前述は本発明の好適な実施例のみを開示し
ており、本発明の範囲内にある上述した装置及び方法の
変更は当業者であれば容易に明らかとなるであろう。例
えば、発明のサセプタは、ピンが基板支持又はリングに
配置されているかどうかに拘らず、端部リング(パージ
及び又はシャドウ)のタイプの間にピン及びスロット結
合を備えている。それぞれの図は断熱パッドの使用を示
しているが、これらのパッドは任意である。さらに、伝
統的には公知なように、加熱エレメントはサセプタに含
まれていると認識されるであろう。また、伝統的に知ら
れているように、好ましくは、本発明の各種実施例のそ
れぞれのガス放出経路25は、(それぞれの図面に示さ
れているように)ガス放出経路25の開口部下方に多少
延びているパージガス分配経路27に開いており、パー
ジガスのパージスロット29への分配でさえ保証するバ
ッファ経路を作るようになっている。
【0028】ピン及びスロットの用語は示された直線の
ピン及びスロット(例えば、矩形のキー等)以外の形状
を含むように広く解釈されるべきである。さらに、パー
ジ又はパージ/シャドウリングは、ピン及びスロット結
合以外の機構により、基板支持に都合よく取外し可能に
結合可能である。取り外し可能に結合されたパージリン
グはパージガス放出経路の露出出口及び上方に向いたパ
ージガス放出経路のためになるであろう。同様に、取り
外し可能に結合されたパージリングを有するかどうかの
サセプタは基板支持とパージリングの間にリストリクタ
ーの間隙を有するパージガス分配経路の定義のためにな
ることができる。従って、本発明のこれらの特徴はピン
及びスロット結合又は取外し可能な結合されたパージリ
ングにそれぞれ限定されるべきではない。
【0029】従って、本発明はその好適な実施例と関連
して説明されているが、以下の特許請求の範囲により定
義されているように、他の実施例が本発明の精神及び範
囲内にあると理解されるべきである。
【図面の簡単な説明】
【図1】発明のサセプタの第1の特徴の分解斜視図であ
る。
【図2】発明のサセプタの第1の特徴の適切な部分の側
面図である。
【図3】発明のサセプタの第2の特徴の適切な部分の側
面図である。
【図4】発明のサセプタの第3の特徴の適切な部分の側
面図である。
【図5A】発明のサセプタの適切な部分の第4の特徴の
側面図である。
【図5B】発明のサセプタの適切な部分の第4の特徴の
側面図である。
【図6】発明のサセプタの第5の特徴の適切な部分の側
面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 トーマス エイ マーダー アメリカ合衆国 カリフォルニア州 94089 サニーヴェイル ヴィエーナ ド ライヴ 1225−449 (72)発明者 サルヴァドール ウモトイ アメリカ合衆国 カリフォルニア州 94509 アンティオック ワイルドフラワ ー ドライヴ 2801 (72)発明者 ソン ンゴク トリン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ アルカルデ ロ ード 22882 (72)発明者 ローレンス シー レイ アメリカ合衆国 カリフォルニア州 95035ルピタス カントリー クラブ ド ライヴ 1594 (72)発明者 アンツォン アンドリュー チャン アメリカ合衆国 カリフォルニア州 95129 サン ホセ ランドルスウッド コート 5847 (72)発明者 シャオション ジョン ユーアン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ レインボウ ド ライヴ 7374−3

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】第1熱膨張係数を有する第1材料を含み、
    そこから上方に延びる複数のピンを有する基板支持と、 第1熱膨張係数とは異なる第2熱膨張係数を有する第2
    材料を含み、そこに形成された複数の中空領域を有する
    端部リングと、 を備え、前記それぞれの中空領域は、前記複数のピンの
    対応するものと少なくとも同じ広さであり、熱サイクル
    の間に基板支持が膨張及び収縮する方向に延びており、 前記複数のピンが前記複数の中空領域内に挿入され、前
    記複数の中空領域のそれぞれは、装置が晒される処理温
    度の範囲に渡り、前記第1熱膨張係数と前記第2熱膨張
    係数との差により生じた前記基板支持と前記端部リング
    の異なる膨張を補償するのに十分な長さ、延びているこ
    とを特徴とする装置。
  2. 【請求項2】前記複数のピンのそれぞれを取囲むと共に
    前記基板支持と前記端部リングを分離する断熱材料を有
    するパッドをさらに備え、前記中空領域のそれぞれは前
    記複数のピンの対応するものの長さ以上の深さを有し、
    断熱パッドの使用で、前記端部リングが前記基板支持か
    ら断熱されるようになっている請求項1に記載の装置。
  3. 【請求項3】前記基板支持は前記複数のピンが延びるデ
    ィフューザーリングをさらに備え、前記端部リングはパ
    ージリングを備えている請求項1に記載の装置。
  4. 【請求項4】前記第1材料は金属を備え、前記第2金属
    はセラミックを備えている請求項1に記載の装置。
  5. 【請求項5】前記基板支持は基板支持表面を有し、前記
    複数のピンは前記基板支持表面の回りで均等に間隔を空
    けられており、前記複数の中空領域のそれぞれは前記基
    板支持表面に対して外側放射状に延びている請求項1に
    記載の装置。
  6. 【請求項6】前記基板支持はパージガス経路を備え、前
    記端部リングはパージリングを備えている請求項1に記
    載の装置。
  7. 【請求項7】前記端部リングはシャドウリングを備えて
    いる請求項1に記載の装置。
  8. 【請求項8】第1熱膨張係数を有する第1材料を含み、
    そこから延びる複数のピンを有する端部リングと、 第1熱膨張係数とは異なる第2熱膨張係数を有する第2
    材料を含み、そこに形成された複数の中空領域を有する
    基板支持と、 を備え、前記それぞれの中空領域は前記複数のピンの対
    応するものと少なくとも同じ広さであり、熱サイクルの
    間に前記基板支持が膨張すると共に収縮する方向に延
    び、 前記複数のピンは前記複数の中空領域内に挿入され、前
    記複数の中空領域のそれぞれは、前記装置が晒される処
    理温度の範囲に渡り、前記第1熱膨張係数と前記第2熱
    膨張係数の差を補償するのに十分な長さ、延びているこ
    とを特徴とする装置。
  9. 【請求項9】前記複数のピンのそれぞれを取囲むと共に
    前記基板支持と前記端部リングを分離する断熱材料を有
    するパッドを備え、前記中空領域のそれぞれは前記複数
    のピンの対応するものの長さ以上の深さを有し、断熱パ
    ッドの使用で、前記端部リングは前記基板支持から断熱
    されるようになっている請求項8に記載の装置。
  10. 【請求項10】前記基板支持は前記複数の中空領域が形
    成されるデ゛ィフューザーリングをさらに備え、前記端
    部リングはパージリングを備えている請求項8に記載の
    装置。
  11. 【請求項11】前記第1材料はセラミックを備え、前記
    第2材料は金属を備えている請求項8に記載の装置。
  12. 【請求項12】前記基板支持は基板支持表面を有し、前
    記複数のピンは前記基板支持表面の回りで均等に間隔を
    空けられ、前記複数の中空領域のそれぞれは前記基板支
    持表面に対して放射状外側に延びている請求項8に記載
    の装置。
  13. 【請求項13】前記基板支持はパージガス経路を備え、
    前記端部リングはパージリングを備えている請求項8に
    記載の装置。
  14. 【請求項14】前記端部リングはシャドウリングを備え
    ている請求項8に記載の装置。
  15. 【請求項15】処理中に基板の端部堆積を防止するため
    の装置を製造する方法であって、 第1熱膨張係数を有する基板支持を供給し、 第2熱膨張係数を有する端部リングを供給し、 ピン及びスロットの構成を介して前記端部リングを前記
    基板支持に取外し可能に結合し、 前記スロットは処理中に前記基板支持が膨張すると共に
    収縮する方向に延びることを特徴とする方法。
  16. 【請求項16】前記複数のピンのそれぞれを非熱伝導材
    料で取囲み、 前記複数のピンの対応するものの長さ以上の深さを有す
    るスロットを形成し、前記パージリング及び前記基板支
    持が前記スロット内で接触しないようにすることによ
    り、 前記端部リングを前記基板支持から断熱することをさら
    に含む請求項15に記載の方法。
  17. 【請求項17】基板支持を供給することはピン及びスロ
    ット結合に適合するディフューザーリングを有する基板
    支持を供給することを含み、そして、 端部リングを供給することはパージリングを供給するこ
    とを含む請求項15に記載の方法。
  18. 【請求項18】基板支持を供給することは金属の基板支
    持を供給することを含み、端部リングを供給することは
    セラミックの端部リングを供給することを含む請求項1
    3に記載の方法。
  19. 【請求項19】前記基板支持と前記端部リングを結合す
    ることは、前記基板支持の基板支持表面の回りで均等に
    間隔を空けられた複数のピンを供給すること、及び前記
    基板支持の基板支持表面の回りで均等に間隔を空けられ
    た複数のスロットを供給することを含み、それぞれのス
    ロットは前記基板支持表面に対して外側放射状に延びて
    いる請求項15に記載の方法。
  20. 【請求項20】基板支持を供給することはパージガス経
    路を有する基板支持を供給することを含み、そして、端
    部リングを供給することはパージリングを供給すること
    を含んでいる請求項15に記載の方法。
  21. 【請求項21】パージガス放出経路を有する基板支持
    と、 前記基板支持に結合されたパージリングと、前記基板支
    持と前記パージリングの間に形成され、前記パージガス
    放出経路から前記パージガス分配経路を通って前記基板
    支持により支持された基板の端部に流れるパージガスの
    量を制限するように適合されたリストリクター(restri
    ctor)の間隙を有するパージガス分配経路と、を備えて
    いることを特徴とする装置。
  22. 【請求項22】前記基板支持は水平な切欠き部を有し、
    前記パージリングは対応する水平な突出部を有し、前記
    リストリクターの間隙を形成する請求項21に記載の装
    置。
  23. 【請求項23】前記パージリング及び前記基板支持は少
    なくともピン及びスロットを介して結合されている請求
    項22に記載の装置。
  24. 【請求項24】前記パージリング及び前記基板支持は取
    外し可能に結合されている請求項22に記載の装置。
  25. 【請求項25】前記ピンを取囲む断熱パッドをさらに備
    えている請求項23に記載の装置。
  26. 【請求項26】パージリングと、 出口を含むパージガス放出経路を有すると共に前記パー
    ジリングに取り外し可能に結合するために構成された表
    面を有する基板支持と、を備えていることを特徴とする
    装置。
  27. 【請求項27】取り外し可能な結合のために構成された
    前記表面は前記パージガス放出経路の出口の下方にある
    請求項26に記載の装置。
  28. 【請求項28】取り外し可能な結合のために構成された
    前記表面はピン及びスロット結合のために構成されてい
    る請求項27に記載の装置。
  29. 【請求項29】前記パージガス放出経路は前記出口の方
    向で上方に向かっている請求項27に記載の装置。
  30. 【請求項30】前記パージガス放出経路は前記出口の方
    向で上方に向かっている請求項28に記載の装置。
JP2000306815A 1999-09-01 2000-09-01 端部の堆積を防止する装置 Expired - Lifetime JP4729160B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/387,928 US6375748B1 (en) 1999-09-01 1999-09-01 Method and apparatus for preventing edge deposition
US09/387928 1999-09-01

Publications (3)

Publication Number Publication Date
JP2001203163A true JP2001203163A (ja) 2001-07-27
JP2001203163A5 JP2001203163A5 (ja) 2007-10-18
JP4729160B2 JP4729160B2 (ja) 2011-07-20

Family

ID=23531894

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000306815A Expired - Lifetime JP4729160B2 (ja) 1999-09-01 2000-09-01 端部の堆積を防止する装置

Country Status (6)

Country Link
US (1) US6375748B1 (ja)
EP (1) EP1081744A2 (ja)
JP (1) JP4729160B2 (ja)
KR (2) KR100852098B1 (ja)
SG (1) SG93262A1 (ja)
TW (1) TW471009B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001098379A (ja) * 1999-09-28 2001-04-10 Shibaura Mechatronics Corp 気相成長装置
WO2008139871A1 (ja) * 2007-05-09 2008-11-20 Ulvac, Inc. パージガスアセンブリ
JP2017527984A (ja) * 2014-07-03 2017-09-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より均一なエッジパージを有する基板支持体
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6702900B2 (en) * 2001-03-22 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer chuck for producing an inert gas blanket and method for using
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US20050092255A1 (en) * 2003-11-04 2005-05-05 Taiwan Semiconductor Manufacturing Co. Ltd. Edge-contact wafer holder for CMP load/unload station
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP5260023B2 (ja) * 2007-10-19 2013-08-14 三菱重工業株式会社 プラズマ成膜装置
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
WO2011017226A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Compound lift pin tip with temperature compensated attachment feature
KR102118069B1 (ko) 2009-12-31 2020-06-02 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 엣지 및 경사면 증착을 수정하기 위한 쉐도우 링
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
SG187625A1 (en) * 2010-08-20 2013-03-28 Applied Materials Inc Extended life deposition ring
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103928291B (zh) * 2013-01-11 2017-06-13 无锡华润上华科技有限公司 半导体面电阻均匀性的改善方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
WO2014191623A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder, arrangement and substrate carrier for supporting substrates
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN109256357B (zh) * 2017-07-13 2020-06-19 北京北方华创微电子装备有限公司 高温静电卡盘
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109767968B (zh) * 2018-12-17 2021-06-08 北京北方华创微电子装备有限公司 下电极结构及反应腔室
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition
US20220108872A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Bevel backside deposition elimination
TWI749956B (zh) * 2020-12-18 2021-12-11 天虹科技股份有限公司 薄膜沉積裝置
CN112647054B (zh) * 2020-12-23 2023-10-03 深圳市捷佳伟创新能源装备股份有限公司 双面镀膜系统以及双面镀膜方法
US11561254B2 (en) * 2021-05-27 2023-01-24 Semilab Semiconductor Physics Laboratory Co., Ltd. Topside contact device and method for characterization of high electron mobility transistor (HEMT) heterostructure on insulating and semi-insulating substrates
CN114520182B (zh) * 2022-01-12 2023-03-24 北京北方华创微电子装备有限公司 半导体工艺设备及其承载装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999003131A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Apparatus and method for delivering a gas

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4911812A (en) 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5697427A (en) * 1995-12-22 1997-12-16 Applied Materials, Inc. Apparatus and method for cooling a substrate
US5863340A (en) * 1996-05-08 1999-01-26 Flanigan; Allen Deposition ring anti-rotation apparatus
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999003131A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Apparatus and method for delivering a gas

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001098379A (ja) * 1999-09-28 2001-04-10 Shibaura Mechatronics Corp 気相成長装置
WO2008139871A1 (ja) * 2007-05-09 2008-11-20 Ulvac, Inc. パージガスアセンブリ
US8377207B2 (en) 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
JP5179482B2 (ja) * 2007-05-09 2013-04-10 株式会社アルバック パージガスアセンブリ
KR101375817B1 (ko) 2007-05-09 2014-03-20 가부시키가이샤 알박 퍼지가스 어셈블리
JP2017527984A (ja) * 2014-07-03 2017-09-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より均一なエッジパージを有する基板支持体
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法
CN109075023B (zh) * 2016-04-01 2023-09-08 应用材料公司 用于提供均匀流动的气体的设备和方法

Also Published As

Publication number Publication date
SG93262A1 (en) 2002-12-17
KR20010030222A (ko) 2001-04-16
KR100852098B1 (ko) 2008-08-13
TW471009B (en) 2002-01-01
JP4729160B2 (ja) 2011-07-20
EP1081744A2 (en) 2001-03-07
KR20070070143A (ko) 2007-07-03
KR100867776B1 (ko) 2008-11-10
US6375748B1 (en) 2002-04-23

Similar Documents

Publication Publication Date Title
JP2001203163A (ja) 端部の堆積を防止する方法及びその装置
JP4669606B2 (ja) 基板処理装置及び基板支持方法
JP4833496B2 (ja) 基板処理用装置及びチャンバ内に基板を支持する方法
US6951587B1 (en) Ceramic heater system and substrate processing apparatus having the same installed therein
US5888304A (en) Heater with shadow ring and purge above wafer surface
US6774060B2 (en) Methods and apparatus for thermally processing wafers
US6368450B2 (en) Processing apparatus
KR100779445B1 (ko) Cvd 장치
US6103014A (en) Chemical vapor deposition chamber
US6033480A (en) Wafer edge deposition elimination
KR101012812B1 (ko) 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법
KR101014916B1 (ko) 단일 웨이퍼 챔버 내의 방사율 불변 펌핑 플레이트 키트
WO2002008489A2 (en) Emissivity-change-free pumping plate kit in a single wafer chamber
JPWO2004003995A1 (ja) 基板処理装置および半導体装置の製造方法
US5431737A (en) Interchangeable CVD chuck surface
KR101011152B1 (ko) 기판받침대를 가지는 막 형성 장치
JP2023531409A (ja) 堆積用途のための高温面板
JPS5828827A (ja) 化学気相堆積膜形成装置
JP2004079845A (ja) 基板処理装置
JPH09162129A (ja) 半導体ウエハの処理装置及び半導体ウエハの処理方法並びに半導体素子

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070903

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100826

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110317

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110418

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4729160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term