KR100861678B1 - 금속전극을 형성하기 위한 방법 - Google Patents

금속전극을 형성하기 위한 방법 Download PDF

Info

Publication number
KR100861678B1
KR100861678B1 KR1020000085697A KR20000085697A KR100861678B1 KR 100861678 B1 KR100861678 B1 KR 100861678B1 KR 1020000085697 A KR1020000085697 A KR 1020000085697A KR 20000085697 A KR20000085697 A KR 20000085697A KR 100861678 B1 KR100861678 B1 KR 100861678B1
Authority
KR
South Korea
Prior art keywords
layer
ruthenium
electrode
forming
metal
Prior art date
Application number
KR1020000085697A
Other languages
English (en)
Other versions
KR20010062842A (ko
Inventor
프라빈케이. 나완카
애나벨 닉클레스
시아오리앙 진
디파크 업패드히야야
야신 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010062842A publication Critical patent/KR20010062842A/ko
Application granted granted Critical
Publication of KR100861678B1 publication Critical patent/KR100861678B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 하부 전극, 절연체 및 상부 전극을 포함하는 커패시터 구조물과 이 커패시터 구조물을 제조하기 위한 방법에 관한 것이다. 일 실시예에 있어서, 루테늄층은 하부 전극의 일부분을 형성하기 위하여 증착된다. 절연체를 증착하기 전에, 루테늄은 산소 함유 분위기에서 가열된다. 절연체는 산소 함유 루테늄층상에 증착된다. 상부 전극을 형성하는 단계는 절연체상에 제 1 금속을 증착하는 단계, 제 1 금속층을 가열하는 단계 및 제 2 금속층을 증착하는 단계를 포함한다. 제 1 및 제 2 금속은 루테늄일 수 있다.

Description

금속전극을 형성하기 위한 방법 {METHOD OF FORMING METAL ELECTRODES}
도 1은 본 발명의 실시예를 실시하기 위하여 사용될 수 있는 장치의 개략도.
도 2는 스퍼터링형 물리적 기상 증착 챔버의 개략적인 단면도.
도 3은 화학적 기상 증착 챔버의 개략적인 단면도.
도 4는 원격 열처리챔버의 개략적인 단면도.
도 5는 커패시터를 형성하기 위한 방법의 흐름도.
도 6a-g는 커패시터에 대한 층구조를 도시한 도면.
도 7은 커패시터의 누설전류 및 전압을 나타낸 그래프.
도 8은 커패시터의 누설전류 및 전압을 나타낸 그래프.
도 9a-g는 커패시터형 메모리셀 제조의 다른 스테이지에서의 기판의 부분 단면도.
*도면의 주요부분에 대한 부호의 설명*
135: 웨이퍼 처리 시스템 136,138,140,141: 처리 챔버
148,150: 이송 챔버 149,151: 이송로봇
210: 타깃 212: 페데스탈
214: 시일드 218: 반응영역
220: 기판 606: 절연체층
606: 제 1상부 금속층 612: 제 2상부 금속층
본 발명은 반도체 처리 방법 및 장치와 상기 방법 및 장치에 의해 제조되는 물품에 관한 것이다.
반도체 제조산업의 발전은 초소형 전자장치의 고집적화 및 소형화를 이끌었다. 일반적으로, 동적 랜덤 액세스 메모리(DRAM)와 같은 반도체 장치의 집적화가 발전함에 따라 커패시터를 위해 이용가능한 면적이 더욱더 제한되게 되었다.
고집적화된 장치에서 충분히 큰 커패시턴스를 가진 커패시터를 얻기 위하여, 커패시터에 대한 새로운 재료 및 구조를 찾게되었다. 하나의 공통 커패시터의 구조는 금속(M), 절연체(I), MIS로 알려진 실리콘(S) 스택을 포함하며, 여기서 금속은 상부 전극이며 실리콘은 하부 전극이다. 전형적인 절연체는 Ta2O5이며, 이는 높은 유전상수를 갖기 때문이다. 최근에, 커패시터 스택은 금속(M), 절연체(I) 및 금속(M) 층을 포함한다. 이러한 구조는 양 전극이 금속인 MIM 스택으로써 공지된다.
하부 전극으로써 금속을 사용할 때 발생하는 문제점은 제조 중에 산화에 대한 가능성이다. 하부 전극에 대한 산화는 Ta2O5와 같은 산소 함유 재료를 증착하는 동안 및/또는 Ta2O5의 가열과 같은 가열 단계 동안 발생한다. 하부 전극의 산화는 커패시터의 전기특성을 변화시키며 커패시터의 기능을 저해한다. 특히, 절연체의 유전상수는 감소될 수 있으며, 이는 커패시터의 커패시턴스에 악영향을 미친다. 절연체의 유전상수의 변화는 절연체로부터 금속 전극으로의 산소의 이동으로 인해 발생한다. 전극중 하나 또는 둘 다의 산화에 대한 악영향은 커패시터의 높은 누설전류 및 낮은 항복전압에 의하여 그 정도가 결정된다.
따라서, 본 발명의 목적은 개선된 커패시터 구조물 및 이를 제조하는 방법을 제공하는데 있다.
본 발명은 일반적으로 반도체 장치, 특히 반도체 장치의 커패시터 구조물 및 이를 제조하는 방법에 관한 것이다. 커패시터는 집적장치에 사용하기에 적합한 커패시턴스를 가진다.
본 발명의 일 특징에 따르면, 반도체 장치는 금속층 및 절연층의 인터페이스에서 하부 금속층, 절연층, 상부 금속층 및 전도성 산소 함유층을 포함한다. 일 실시예에 있어서, 상부 및 하부 전극은 루테늄으로 만들어지며, 전도성 산소 함유층은 루테늄 산화물이다.
본 발명의 다른 특징은 기판상에 장치를 형성하기 위한 방법을 제공하는 것이다. 일 실시예에 있어서, 상기 방법은 기판상에 제 1 금속층을 형성하는 단계와, 제 1 금속층상에 제 1 전도성 산소 함유층을 형성하는 단계와, 제 1전도성 산소 함유층상에 절연체를 형성하는 단계와, 절연체상에 제 2전도성 산소 함유층을 형성하는 단계와, 제 2전도성 산소 함유층상에 제 2 금속층을 형성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 기판상에 제 1 루테늄층을 증착하는 단계와, 제 1 루테늄층과 산소 함유가스를 접촉하는 단계와, 절연재료를 증착하는 단계와, 절연재료층 상에 제 2 루테늄층을 증착하는 단계와, 제 2 루테늄층과 산소 함유 가스를 접촉하는 단계와 제 3 금속층을 증착하는 단계를 포함한다.
본 발명은 커패시터 구조물 및 이 구조물을 제조하기 위한 방법을 제공한다. 커패시터는 하부 전극, 절연체 및 상부 전극을 포함한다. 하부 및 상부 전극은 금속 부분 및 전도성 산소 함유 금속 부분을 포함한다. 일 실시예에 있어서, 루테늄의 층은 하부 전극의 부분을 형성하기 위하여 증착된다. 절연체를 증착하기 이전에, 루테늄은 전도성 산소 함유 루테늄층을 형성하기 위하여 산소 함유 분위기에서 처리 또는 가열된다. 그 다음에, 절연체는 산소 함유 루테늄층상에 증착된다. 상부 전극의 형성하는 단계는 절연체상에 제 1 금속층을 증착하는 단계와, 제 1 금속층을 가열하는 단계와, 제 2 금속층을 증착하는 단계를 포함한다. 예컨대, 제 1 및 제 2 금속층은 루테늄일 수 있다. 선택적으로, 상부 전극은 단일의 전도성층으로 형성될 수 있다.
본 발명의 실시예는 집적회로 제조에 적합한 다양한 처리 시스템을 사용하여 실시될 수 있다. 도 1은 여기에 기술된 실시예에 따라 집적회로 제조를 수행하기 위하여 사용될 수 있는 웨이퍼 처리 시스템(135)의 개략도이다. 웨이퍼 처리 시스템(135)은 전형적으로 처리 챔버(136, 138, 140, 141), 가스제거 챔버(144), 로드 로크 챔버(146), 이송 챔버(148, 150), 패스-스로우 챔버(152), 마이크로프로세서 제어기(154), 전력 공급원(도시안됨) 및 진공펌프(도시안됨)와 같은 다른 하드웨어 소자를 포함한다. 이러한 웨이퍼 처리 시스템(135)의 예는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스사에 의해 판매되는 ENDURA 시스템이다.
웨이퍼 처리 시스템(135)의 상세한 설명은 "스테이지형 진공 기판처리 시스템 및 방법이라는 명칭으로 1993년 2월 16일자에 허여된 미국특허 제 5, 186,718호에 기술되어 있으며, 상기 특허는 본 명세서에 참조로써 병합된다. 웨이퍼 처리 시스템(135)의 두드러진 특징은 이하에서 간단하게 설명된다.
웨이퍼 처리 시스템(135)은 이송 로봇(149, 151)을 각각 포함하는 두 개의 이송 챔버(148, 150)를 포함한다. 이송 챔버(148, 150)는 패스-스로우 챔버(152)에 의하여 다른 챔버로부터 분리된다.
이송 챔버(148)는 로드-로크 챔버(146), 가스제거 챔버(144), 예비 세정 챔버(142), 및 패스-스로우 챔버(152)에 결합된다. 기판(도시안됨)은 로드-로크 챔버(146)를 통해 웨이퍼 처리 시스템(135)내에 로드된다. 그 다음에, 기판은 가스제거 챔버(144)에서 가스가 제거되고 예비 세정 챔버(142)에서 세정된다. 이송로봇(148)은 가스제거 챔버(144)와 예비 세정 챔버(142) 사이에서 기판을 이송한다.
이송 챔버(150)는 다수의 처리 챔버(136, 138, 140, 141)에 결합된다. 세정된 기판은 이송 챔버(148)로부터 패스-스로우 챔버(152)를 통해 이송 챔버(150)로 이송된다. 다음에, 이송로봇(151)은 하나 이상의 처리 챔버(136, 138, 140, 141)사이에서 기판을 이송한다.
처리 챔버(136, 138, 140, 141)는 다양한 집적회로 제조공정을 수행하기 위하여 사용된다. 예컨대, 처리 챔버(136, 138, 140, 141)는 물리적 기상증착(PVD) 챔버, 이온화된 금속 플라즈마 물리적 기상 증착(IMP PVD) 챔버, 화학적 기상 증착(CVD) 챔버, 소곡 가열(RTP) 챔버 및 반사방지 코팅(ARC) 챔버 등을 포함할 수 있다.
도 2는 웨이퍼 처리 시스템(135)의 스퍼터링형 PVD 처리 챔버(136)의 개략적인 단면도를 도시한다. 이러한 PVD 처리 챔버(136)의 예는 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스 인코포레이티드에 의해 판매되는 IMP VECTRATM 챔버이다.
PVD 챔버(136)는 가스원(204), 펌프 시스템(206) 및 타깃 전원(208)에 결합된다. PVD 챔버(136)는 타깃(210), 수직으로 이동가능한 페데스탈(212)상에 배치된 기판(220) 및 반응영역(218)을 둘러싸는 차폐물(214)을 밀봉한다. 리프트 메커니즘(216)은 타깃(210)에 대하여 페데스탈(212)의 위치를 설정하기 위하여 페데스탈(212)에 결합된다.
가스원(204)은 처리 가스를 PVD 챔버(136)에 공급한다. 처리 가스는 아르곤(Ar) 또는 임의의 다른 불활성 가스를 포함한다. 펌프 시스템(206)은 PVD 챔버(136)내의 압력을 제어한다.
타깃(210)은 전형적으로 PVD 챔버(136)의 상부로부터 현수된다. 타깃(210)은 웨이퍼 처리 시스템(135)이 동작하는 동안 스퍼터링된 재료를 포함한다. 비록 타깃(210)이 증착될 재료로써 절연체 또는 반도체를 포함할지라도, 타깃(210)은 일반적으로 금속을 포함한다. 예컨대, 타깃(210)은 루테늄(Ru), 구리(Cu), 티타늄(Ti), 탄탈(Ta) 및 이들의 혼합물 뿐만 아니라 공지된 다른 재료로 이루어질 수 있다.
PVD 챔버(136)내에서 기판(220)을 지지하는 페데스탈(212)은 처리동안 타깃(210)으로부터의 고정된 거리에서 배치될 수있다. 그러나, 타깃(210) 및 기판(220) 사이의 간격은 처리 동안 변화될 수 있다. 페데스탈(212)은 PVD 챔버(136) 내의 수직 이동 범위를 따라 페데스탈을 이동시키는 리프트 메커니즘(216)에 의해 지지된다.
타깃 전원(208)은 처리 가스에 에너지를 결합하기 위하여 사용되며, DC 소스, 무선 주파수(RF) 소스 또는 DC 결합 소스를 포함할 수 있다.
DC 또는 RF 전력중 하나를 처리 가스에 공급하는 것은 반응영역(218)에 전기장을 형성한다. 전기장은 처리 가스 이온, 전자 및 처리 가스 원자(중성자)를 포함하는 플라즈마를 형성하기 위하여 반응 영역(218)에서 처리 가스를 이온화한다. 부가적으로, 전기장은 타깃(210)으로부터 타깃 입자를 스퍼터링하기 위하여 타깃(210)쪽으로 처리 가스 이온을 가속시킨다. 플라즈마에서의 전자가 스퍼터링된 타깃 입자와 충돌할 때, 이러한 타깃 입자는 이온화된다.
처리 챔버(136)의 구조는 막(222)을 형성하기 위하여 타깃(210)으로부터의 스퍼터링된 및 이온화된 타깃 입자를 기판(220) 상에 증착할 수 있도록 한다. 차폐물(214)는 처리 챔버(136) 내의 반응 영역에서 스퍼터링된 입자 및 비반응 가스를 충돌시킨다. 마찬가지로, 차폐물(214)은 원하지 않는 위치, 예컨대 페데스탈(212) 아래 또는 타깃(210) 뒤에 타깃의 입자가 증착되는 것을 방지한다.
처리 챔버(136)는 기판(220)상으로의 스퍼터링된 입자의 증착을 개선하기 위한 부가 부재를 포함할 수 있다. 예컨대, 처리 챔버(136)는 기판(220)을 바이어싱하기 위하여 바이어스 전원(224)을 포함할 수 있다. 바이어스 전원(224)은 기판(220)상의 재료층의 증착을 제어하기 위하여 페데스탈(212)에 결합된다. 바이어스 전원(224)은 예컨대 약 400Hz의 주파수를 가진 AC 소스이다.
전원(224)로부터의 바이어스 전력이 기판(220)에 공급될 때, 플라즈마에서 전자는 기판(220)에 축적되어 기판(220) 및 페데스탈(212)상에 음의 DC 오프셋을 형성한다. 기판(220)에 공급된 바이어스 전력은 이온화되는 스퍼터링된 타깃 입자를 유인한다. 이들 이온화된 타깃 입자는 거의 수직 방향으로 기판(220)으로 유인된다. 마찬가지로, 바이어스 전원(224)은 기판(220)상에 타깃 입자의 증착을 강화한다.
처리 챔버(136)는 타깃(210)에 근접하게 자기장을 형성하기 위하여 타깃(210)뒤에 배치된 자석(226) 또는 자기 서브-어셈블리를 포함할 수 있다. 더욱이, 처리 챔버(136)는 타깃(210)과 기판(220) 사이의 차폐물(214)내에 배치된 코일(230)을 포함할 수 있다. 코일(230)은 에너지가 공급될 때 스퍼터링된 입자를 이온화하는 단일-턴(1회 감긴) 코일 또는 다중-턴(여러번 감긴) 코일중 하나를 포함할 수 있다. 이러한 공정은 이온 금속 플라즈마(IMP) 증착으로써 공지된다. 코일(230)은 예컨대 약 2MHz의 주파수를 가진 AC 소스(232)에 결합된다.
도 3은 웨이퍼 처리 시스템(135)의 화학적 기상 증착(CVD) 처리 챔버(138)에 대한 개략적인 단면도를 도시한다. 이러한 CVD 처리 챔버(136)의 예는 캘리포니아 산타클라라에 위치하는 어플라이드 머티어리얼스 인코포레이티드에 의해 판매되는 TXZTM 챔버, WXZTM 챔버 및 DXZTM 챔버를 포함한다.
CVD 처리 챔버(138)는 일반적으로 기판(390)을 지지하기 위하여 사용되는 웨이퍼 지지 페데스탈(350)을 포함한다. 웨이퍼 지지 페데스탈(350)은 전형적으로 변위 메커니즘(도시안됨)을 사용하여 CVD 처리 챔버(138)내에서 수직방향으로 이동될 수 있다. 특정 CVD공정에 따르면, 기판(390)은 증착 이전 또는 증착 동안 적정 온도로 가열될 수 있다. 예컨대, 웨이퍼 지지 페데스탈(350)은 매립된 가열 엘리먼트(370)에 의하여 가열된다. 웨이퍼 지지 페데스탈(350)은 AC 전원(306)으로부터 가열 엘리먼트(370)에 전류를 공급함으로써 저항적으로 가열될 수 있다. 기판(390)은 페데스탈(350)에 의해 가열된다.
열결합기와 같은 온도센서(372)는 종래의 방식대로 페데스탈(350)의 온도를 감시하기 위하여 웨이퍼 지지 페데스탈(350)에 내장된다. 측정된 온도는 기판의 온도가 특정 처리응용에 적합한 적절한 온도로 유지되거나 제어될 수 있도록 가열 엘리먼트(370)에 대한 AC 전원(306)을 제어하기 위한 피드백 루프에서 사용된다. 웨이퍼 지지 페데스탈(350)은 복사열(도시안됨)을 사용하여 선택적으로 가열된다.
진공펌프(302)는 CVD 처리 챔버(138)를 진공시키고 이러한 챔버(138)내의 적정 가스 흐름 및 압력을 유지하기 위하여 사용된다. 처리 가스를 챔버(138)로 유입하는 샤워헤드(320)는 웨이퍼 지지 페데스탈(350) 위에 배치된다. 샤워헤드(320)는 챔버(138)에 제공된 다양한 가스를 제어 및 공급하는 가스 패널(330)에 결합된다.
가스 패널(330)을 통한 가스 흐름의 적정 제어 및 조절은 대용량 흐름 제어기(도시안됨) 및 마이크로프로세서 제어기(154)(도 1)에 의해 수행된다. 샤워헤드(320)는 가스 패널(330)로부터의 처리 가스가 CVD 처리 챔버(138)에 유입되어 균일하게 분배되도록 한다.
CVD 처리 챔버(138)는 기판(390)상에 층 증착을 강화하기 위하여 추가 부재를 포함할 수 있다. 예컨대, 샤워헤드(320) 및 웨이퍼 지지 페데스탈(350)은 이격된 전극쌍을 형성할 수 있다. 전기장이 이들 전극 사이에 생성될 때, 챔버(138)에 도입된 처리 가스는 플라즈마를 형성하기 위하여 여기된다.
통상적으로, 전기장은 매칭 네트워크(도시되지 않음)를 통하여 무선 주파수(RF) 전력(도시되지 않음)의 소스에 웨이퍼지지 페데스탈(350)을 결합함으로써 생성된다. 선택적으로, RF 전력 소스 및 매칭 네트워크는 샤워헤드(320)에 결합되거나, 샤워헤드(320) 및 웨이퍼지지 페데스탈(350) 양쪽에 결합된다.
플라즈마 강화 화학 기상 증착(PECVD) 기술은 기판 표면 근처 반응 존에 전기장을 인가함으로써 반응 가스의 여기 및/또는 해리를 촉진하여 반응 종의 플라즈마를 생성한다. 플라즈마 종의 반응성은 챔버에 반응을 발생시키기 위하여 요구된 에너지를 감소시켜서, 상기 PECVD 처리를 위하여 요구된 온도를 낮춘다.
도 1을 참조하여, 상기된 바와 같은 양쪽 PVD 처리 챔버(136) 및 CVD 처리 챔버(138)는 마이크로프로세서 제어기(154)에 의해 제어된다. 마이크로프로세서 제어기(154)는 다양한 챔버 및 서브 프로세서를 제어하기 위하여 절연 세팅시 사용될 수 있는 임의의 형태의 다목적 컴퓨터 프로세서중 하나일 수 있다. 컴퓨터 프로세서는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 디스크 장치, 하드 디스크, 또는 다른 형태의 디지털 저장부, 로컬 또는 원격부 같은 임의의 적당한 메모리를 사용할 수 있다. 다양한 지원 회로는 통상적인 방식으로 프로세서를 지원하기 위하여 마이크로프로세서 제어기(154)에 결합될 수 있다. 요구된 바와 같은 소프트웨어 루틴은 메모리에 저장되거나 원격 배치된 제 2 마이크로프로세서 제어기에 의해 실행된다.
소프트웨어 루틴은 기판이 처리 챔버(136, 138, 140, 141)중 하나에 배치된후 실행된다. 소프트 웨어 루틴은 실행될 때 증착 처리가 수행되도록 다목적 컴퓨터를 챔버 동작을 제어하는 특정 처리 컴퓨터로 변환시킨다. 선택적으로, 여기에 기술된 실시예는 응용 특정 집적 회로 또는 다른 형태의 하드웨어 실행같은 하드웨어, 또는 소프트웨어 및 하드웨어의 결합으로 수행될 수 있다.
예를들어, 마이크로프로세서 제어기(154)는 도 3에 도시된 바와같이 중앙 처리 장치(CPU)(312), 지원 회로(314), 및 관련된 제어 소프트웨어를 포함하는 메모리(316)를 포함할 수 있다. 마이크로프로세서 제어기(154)는 웨이퍼 전달, 가스 흐름 제어, 온도 제어, 챔버 진공 등과 같은 웨이퍼 처리를 위해 요구되는 다수의 단계의 자동 제어를 위해 사용된다. 마이크로프로세서 제어기(154) 및 웨이퍼 처리 시스템(135)의 다양한 구성요소, 예를들어 CVD 처리 챔버(138) 사이의 양방향 통신은 신호 버스(318)로서 총칭되는 다수의 신호 케이블을 통하여 조절되고, 그중 몇몇은 도 3에 도시된다.
선택적으로, 원격 플라즈마 소스(360)는 생성된 플라즈마를 원격으로 CVD 처리 챔버(138)에 제공하기 위하여 도 3에 도시된 바와같이 CVD 처리 챔버(138)에 결합될 수 있다. 원격 플라즈마 소스(360)는 가스 공급기(362), 가스 흐름 제어기(364), 플라즈마 챔버(366), 및 챔버 입구(368)를 포함한다. 가스 흐름 제어기(364)는 가스 공급기(362)로부터 플라즈마 챔버(366)로 처리 가스의 흐름을 제어한다.
원격 플라즈마는 플라즈마 챔버(366)의 처리 가스에 전기장을 인가함으로써 반응 종의 플라즈마를 생성하여 형성된다. 통상적으로, 전기장은 RF 전력 소스(도시되지 않음)를 사용하여 플라즈마 챔버(151)에 생성된다. 원격 플라즈마 소스(360)에 생성된 반응 종은 입구(368)를 통해 CVD 처리 챔버(138)에 도입된다. 원격 플라즈마 소스의 실시예는 여기에 참조로써 통합되고 1998년 12월 1일 특허사정된 발명의 명칭이 "원격 플라즈마 소스"인 미국특허 5,844,195에 개시되었다.
도 4는 웨이퍼 처리 시스템(135)의 빠른 열 처리(RTP) 챔버(140)의 개략적인 단면을 도시한다. RTP 챔버(140)의 일실시예는 미국 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼스사로부터 상업적으로 판매되는 CENTURA 챔버이다.
RTP 챔버(140)는 측벽(414), 하부(415) 및 윈도우 어셈블리(417)를 포함한다. 측벽(414) 및 하부(415)는 일반적으로 스테인레스 스틸같은 금속을 포함한다. 측벽(414)의 상부는 O 링(416)에 의해 윈도우 어셈블리(417)에 밀봉된다. 방사상 에너지 어셈블리(418)는 윈도우 어셈블리(417)상에 배치되어 결합된다. 방사상 에 너지 어셈블리(418)는 각각 광 파이프(421)에 장착된 다수의 램프(419)를 포함한다.
RTP 챔버(140)는 예를 들어 실리콘 카바이드로 이루어진 지지 링(462)에 의해 그 주변에 지지된 기판(420)을 하우징한다. 지지 링(462)은 회전 가능한 실린더(463)상에 장착된다. 회전가능한 실린더(463)는 지지 링(462) 및 기판(420)이 RTP 챔버(140)내에서 회전하게 한다.
챔버(140)의 하부(415)는 기판(420)의 후면에 광 에너지를 반사하는 금으로 코팅된 상부 표면(411)을 포함한다. 부가적으로, RTP 챔버(140)는 기판(420)의 온도를 검출하기 위하여 RTP 챔버(140)의 하부(415)를 통해 배치된 다수의 온도 프루브(470)를 포함한다.
측벽(414)을 통한 가스 입구(469)는 RTP 챔버(140)에 처리 가스를 제공한다. 가스 입구(469)에 대향되는 측벽(414)을 통하여 배치된 가스 출구(468)는 RTP 챔버(140)로부터 처리 가스를 제거한다. 가스 출구(468)는 진공 소스 같은 펌프 시스템(도시되지 않음)에 결합된다. 펌프 시스템은 RTP 챔버(140)로부터 처리 가스를 배출하고 처리 동안 목표된 압력을 유지한다.
방사상 에너지 어셈블리(418)는 바람직하게 구성되어 램프(419)는 기판(420) 및 지지 링(462)의 표면 영역 상에 육각형 어레이 또는 "벌집 모양" 배열로 배치된다. 램프(419)는 기판(22)에 열을 균일하게 가하도록 독립적으로 제어될 수 있는 존에 그룹지어진다.
윈도우 어셈블리(417)는 방사상 에너지 어셈블리(418)의 광 파이프(421)에 정합된 다수의 짧은 광 파이프(441)를 포함한다. 램프(421)로부터의 방사상 에너지는 광 파이프(421)를 통하여 RTP 챔버(140)의 처리 지역(413)에 제공된다.
처리
도 5는 커패시터를 형성하기 위한 본 발명의 방법(500)을 도시하는 순서도이다. 단계(502)에서, 기판은 커패시터가 형성된 부분 상부에 형성된다. 단계(504)에서, 막은 기판 상에 형성되어 커패시터의 기저 전극의 일부를 제공한다. 일 실시예에서, 단계(504)에서 기저 전극의 일부가 형성되기 이전에 단계(503)에서 기판상에 형성된다. 배리어층은 기저 전극과 하부에 위치하는 기판 사이의 바람직하지 않은 재료 확산을 최소화한다. 단계(506)에서, 단계(504)에서 형성된 막의 표면이 기저 전극의 형성을 완결하도록 처리된다. 이러한 처리 결과 기저 전극용 산소-함유층이 형성된다.
단계(508)에서, 절연체가 기저 전극 상부에 형성된다. 일 실시예에서, 절연체는 플라즈마 및/또는 열 분위기에서 가열 단계(510)를 실행한다. 단계(512)에서, 상부 전극이 절연체 상에 형성된다. 따라서, 상부 전극은 후속하는 단계(514)에서 선택적으로 처리 또는 가열되어 형성된다. 상부 전극의 처리는 플라즈마 및/또는 열적 조건하에서 산소-함유 분위기에서 수행된다. 산소-함유 분위기는 질소(N2), 아르곤(Ar) 및 헬륨(He) 등과 같은 불활성 기체를 포함한다.
다른 실시예에서, 상부 전극은 절연체의 어떠한 처리 또는 가열 없이 단계(508)로부터 절연체 상에 직접 형성된다. 예를 들면, 상부 전극은 여러 단계 동안 절연체 상에 직접 형성되고, 이는 단계들(516, 518 및 520)에 도시된 바와 같다. 단계(516)에서, 상부 전극의 제 1 층이 형성된다. 다음으로, 단계(518)가 후속하고, 상부 전극의 제 1 층이 처리 또는 가열된다. 예를 들면, 처리는 산소-함유 분위기에서 수행된다. 단계(520)에서, 상부 전극의 제 2 층이 처리된 제 1 층상에 형성되고, 이에 따라 상부 전극의 형성이 완결된다. 상부 전극의 제 2 층은 상술된 프로세스를 사용하여 선택적으로 처리된다. 방법(500)은 예시를 위한 것이고 다른 단계 또는 재료가 커패시터를 제조하기 위해 제공될 수 있다.
커패시터의 층 구조
방법(500)의 실시예에 따라 제조된 커패시터의 일 실시예가 도 6a 내지 도 6g에 도시되고, 이러한 도면은 여러 처리 단계에서의 기판 구조의 부분 단면을 도시한다. 도 6a는 커패시터가 형성된 기판(600)을 도시한다. 특정 처리 단계에 따라, 기판(600)은 실리콘 웨이퍼 또는 실리콘 웨이퍼상에 다른 재료층이 형성된 기판일 수 있다.
도 6a는 하부 전극(또는 제 1 전극)의 하부 금속층(602)을 가진 기판(600)을 도시한다. 바람직하게는, 하부 금속층(602)은 루테늄 또는 루테늄-함유 재료일 수 있다. 하지만, 이리듐(Ir) 또는 플라티늄(Pt)과 같은 다른 재료가 하부 전극의 하부 금속층(602)을 형성하는데 사용될 수 있다. 하부 금속층(602)은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD) 등에 의해 형성될 수 있다. 선택적으로, 예를 들면, TiN, TiAlN 및 TaN과 같은 내화성 금속인 배리어층이 하부 금속층(602)의 형성 이전에 기판(600)상에 형성된다. 배리어층은 바람직하게는 대략 1000Å 이하의 두께를 가진다.
일 실시예에서, 도 6a에 도시된 바와 같이 하부 금속층(602)이 예를 들면, 벌크 금속층(6001b)을 증착하기 이전에 상대적으로 얇은 시드층(601a)을 증착하는 여러 단계로 형성된다. 예를 들면, 루테늄-비스-(에틸씨클로로펜타디에닐)(RuCp)2) 또는 루테늄 옥타네디오네이트(Ru(OD)3)와 같은 유기금속 화학적 기상 증착(MOCVD) 전구체를 통한 CVD 루테늄(Ru) 증착은 기판이 실리콘(Si), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 티타늄 질화물(TiN), 티타늄 알루미늄 질화물(TiAlN), 탄탈 질화물(TaN), 탄탈 오산화물(Ta2O5) 또는 바륨 스트론튬 티타네이트(BST)일 때 선택적으로 시드층을 필요로 한다.
PVD 또는 CVD에 의해 증착되는 시드층(601a)은 대략 100Å 이하의 두께를 가진다. 시드층(601a)은 후속하는 벌크 금속층(601B)의 형성을 용이하게 하여, 개선된 표면 평탄도 및 감소된 크기와 같은 향상된 필름 특성을 가진 하부 금속층(602)이 형성될 수 있도록 한다. 예를 들면, 어플라이드 머티어리얼스사로부터 입수 가능한 GIGA-CAPTM 챔버가 CVD를 사용하여 루테늄층(602)을 형성하는데 적합하다. CVD에 의해 하부 금속층(602)을 형성하는 일 실시예의 세부사항이 본 출원인에게 공동 양도된 2000년 출원된 미국특허출원 "CVD 루테늄 증착을 위한 CVD 루테늄 시드"에 개시되어 있고, 이는 참조를 위해 인용된다.
일 실시예에서, 루테늄 시드층(601a)이 산소-함유 분위기에서 형성되고, 대략 10:1 내지 100:1의 산소 대 루테늄비(O2:Ru)를 가진다. 이러한 조건하에서, 루테늄 시드층(601a)은 상대적으로 높은 산소 함량을 가지고 형성되며, 이는 기판상의 핵형성을 향상시킨다. 예를 들면, 루테늄 시드층(601a)은 RuOx를 포함하고, 여기서 x는 0 내지 2의 범위이다. 하지만, RuO2 시드층으로, 후속하는 CVD에 의한 루테늄 증착은 RuO2 벌크 막에 의한 것이지 루테늄 벌크 막에 의한 것이 아니다. 더욱이, 본 발명자는 산소-기본 전구체가 낮은 산소 함량을 가진 분위기에서 산화물을 형성하지 않기 때문에, 낮은 산소 함량 분위기하에서 루테늄 시드층을 형성하는데 효율적이지 못하다는 것을 알 수 있었다. 대신에, 산소 유량을 증가시킴으로써 RuO2 시드층(601a)의 효율적인 시딩 및 형성이 가능하다는 것을 알 수 있었고, 여기서 x는 내지 2이다.
증착 시간에 의해 제어되는 RuO2 시드층(601a)의 두께는 Ru 벌크층의 후속하는 CVD 증착에 상당한 영향을 미치는 것으로 판명되었다. 예를 들면, 짧은 시드 증착 시간(즉, 얇은 시드층)은 루테늄층 상의 헤이즈(haze)의 형성을 감소시키는 경향이 있다. 한편, 두꺼운 시드층은 후속하여 증착된 루테늄 벌크층과 시드층 사이에 필링을 야기한다. 따라서, RuO2 시드층(601a)은 대략 200Å의 두께를 가지며 형성된다.
다른 RuOX 시드층(601a)이 형성된 이후, RuOX 시드층(601a)의 산소 함량이 감소되는 처리 단계가 후속한다. RuOX 시드층(601a)의 산소 함량의 감소는 후속하여 증착된 루테늄층을 위한 시딩을 제공하기 위해 필요하다. 예를 들면, RuOX 시드층(601a)의 처리는 질소(N2) 및 수소(H2)의 기체 혼합물로부터 발생되는 것과 같은 질소-함유 및 수소-함유 분위기에서 수행된다. 이러한 처리의 결과, RuOX 시드층(601a)의 산소 함량이 대략 60%에서 10% 내지 20%까지 감소된다. 더욱이, 기판으로의 RuOX 시드층(601a)의 부착 및 RuOX 시드층(601a)의 평탄도와 균일성이 가열에 의해 개선된다. RuOX 시드층(601a)이 처리된 이후, 벌크 루테늄 금속층(601b)이 CVD 또는 PVD에 의해 형성된다.
다음으로, (시드층(601a)과 벌크층(601b)을 포함하는) 하부 금속층(602)이 도 6b에 도시된 바와 같이 하부 산소-함유 금속층(604)을 형성하도록 처리 또는 열처리된다. 하부 금속층(602)이 루테늄인 경우, 하부 산소-함유 금속층(604)은 루테늄 산화물 또는 더욱 일반적으로는 하부 루테늄층(602)의 적어도 일부에 산소가 결합되어 형성된 산소-함유 루테늄일 수 있다.
하부 전극층(602)의 처리는 처리 챔버내에 기판을 위치시키고 산소-함유 분위기에 하부 금속층(602)을 노출시킴으로써 수행된다. 예시된 산소-함유 분위기는 활성 산소 라디칼 환경, O2 환경, N2O 환경 및 이들의 조합을 포함한다. 더욱 일반적으로는 어떠한 산소-함유 기체가 사용될 수도 있다. 더욱이, 처리 분위기는 아르곤(Ar), 질소(N2) 및 헬륨(He)과 같은 불활성 기체인 다른 기체를 포함할 수도 있 다.
하부 금속층(602)의 처리는 바람직하게는 대기중에 기판을 노출시킴없이 금속 증착에 사용되는 것과 동일한 처리 시스템내에서 인시튜(in situ)로 수행된다. 인시튜 처리는 일반적으로 원치 않은 오염을 방지할 수 있기 때문에 바람직하다. 하부 금속층(602)의 처리는 일반적으로 플라즈마 및/또는 열적 가열을 포함하는 다른 조건하에서 수행될 수도 있다. 플라즈마 처리를 위해, 로컬 플라즈마("직접" 플라즈마라고도 불림) 또는 원격 플라즈마가 도 3에 도시된 바와 같은 처리 챔버내에서 발생되고, 열적 가열은 도 4에 도시된 바와 같은 열적 처리 또는 RTP 챔버(140)에 장착된 CVD 챔버내에서 수행된다.
증온된 온도는 처리 프로세스를 용이하게 하는 경향이 있다. 일 실시예에서, 대략 300 내지 850℃의 기판 온도가 사용된다. 활성 산소 라디칼 환경, O2 환경 및 N2O 환경에서, 온도는 각각 대략 300 내지 600℃, 700 내지 850℃ 및 400 내지 750℃이다. 열처리 단계의 지속시간은 특정 산소-함유 분위기에 의존한다. 일 실시예에서, 열처리 지속시간은 대략 10초 내지 2분이다. 비록 하부 산소-함유 금속층(204)이 하부 금속층(202)상에 형성된 얇은 필름인 것으로 도시되지만, 다른 실시예에서 하부 전극의 대부분이 산화된다.
일반적으로, 열처리 환경은 불활성 기체 또는 불활성 기체와 산소를 포함하는 기체 혼합물 심지어 100%의 산소일 수 있다. 일 실시예에서, 열처리는 대략 4:1:0.1의 비를 가진 Ar, O2 및 N2의 기체 혼합물로부터 발생된 원격 플라즈마를 사 용하여 수행된다. 예를 들면, 원격 플라즈마는 몰딩된 CVD 챔버인 xZ RPA챔버에 결합되고, 열처리는 대략 2Torr 및 대략 450℃ 온도에서 수행된다.
하부 산소-함유 금속층(604) 및 하부 금속층(602)은 함께 하부 전극(605)을 형성한다. 일 실시예에서, 하부 전극(606)은 대략 100Å 내지 2000Å 사이의 두께를 가진다. 루테늄 이외에, Ir 또는 Pt와 같은 다른 재료가 하부 전극(605)을 형성하는데 사용될 수 있다.
하부 산소-함유층(604)의 형성에 후속하여, 절연체층(606)이 도 6c에 도시된 바와 같이 형성된다. 절연체층(606)은 바람직하게는 고유전상수 예를 들면 대략 2.0을 가진 재료이다. 일반적으로, 예를 들어, 탄탈 펜톡사이드(Ta2O5), 바륨 스트론튬 티타네이트(BST), 납 지르코늄 티타네이트(PZT), 스트론튬 비스머스 티타네이트(SBT), 스트론튬 루테늄 옥사이드(SRO), 하프늄 옥사이드(HfO), 아연 산화물(ZnO), 지르코늄 산화물(ZrO) 및 세륨 산화물(CeO)인 2성분 금속 산화물 및 페로브스카이트를 포함하는 고-k 유전체가 절연체층(606)으로서 사용된다. 절연체층(606)의 두께는 50 내지 1000Å 범위이다.
일 실시예에서, 절연체층(606)은 Ta2O5이다. 일반적으로, Ta2O5의 증착은 Ta 소스로서 O2와 펜타-에톡시탄탈(Ta(OC2H5)5)의 조합을 사용하여 수행된다. Ta2O5의 박막은 반응성 스퍼터링, 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 또는 전자 싸이클론 공진(ECR) 프로세스 및 다른 적합한 프로세스를 사용하여 증착된다. Ta2O5의 유전상수와 누설 전류는 박막을 형성한 이후 가열을 수행함으로써 감소된다.
절연체는 예를 들면 CVD, RTP, xZ RPA, 또는 로컬 플라즈마 혹은 원격 플라즈마 동작에 적합한 챔버를 포함하는 여러 다양한 처리 챔버를 사용하여 열처리된다. 열처리 분위기는 수Torr 내지 1대기압 압력 및 300℃ 내지 800℃ 온도의 불활성 기체, 불활성 기체와 산소의 기체 혼합물 또는 100% 산소를 포함한다. 필요에 따라 또는 절연체 두께에 따라 변하는 열처리 시간은 예를 들면 대략 15초인 대략 수 초 내지 수 분 예를 들면, 10분의 범위이다.
커패시터 형성을 완결하기 위해, 상부 전극이 절연체층(606) 상에 형성된다. 본 발명의 실시예들에 따라, 상부 전극의 형성은 제 1 상부 금속층(608)을 증착시키는 단계, 상부의 산소-함유층(610)을 형성하도록 상기 제 1 상부 금속층(608)을 처리하는 단계 및 제 2 상부 금속층(612)을 증착하는 단계를 포함한다. 일 실시예에서, 상부 전극의 두께는 대략 250 내지 2000Å이다.
도 6d는 절연체층(606)상에 형성된 제 1 상부 금속층(608)을 도시한다. 바람직하게는, 제 1 상부 금속층(608)은 루테늄 또는 루테늄-함유 재료이다. 상부 전극을 형성하는데 사용된 처리는 하부 전극(605)에 대해 설명된 바와 유사하다. 예를 들면, 제 1 상부 금속층(608)의 증착은 PVD 또는 CVD에 의해 수행되고, 그 두께는 대략 100 내지 1000Å이다. 루테늄을 제외하고, Ir 및 Pt와 같은 재료는 제 1 상부 금속층(608)을 형성하는데 사용된다.
제 1 상부 금속층(608)은 산소-함유 분위기에서 처리 또는 열처리되어 도 6e에 도시된 바와 같이 상부 산소-함유층(610)을 형성한다. 제 1 상부 금속층(608)의 처리 또는 열처리는 예를 들면, 상부 금속층(608)을 산소-함유 기체에 노출시킴과 동시에 기판을 가열함으로써 하부 산소-함유층(604)을 형성하는데 사용되는 것 과 유사한 방식으로 수행된다. 바람직하게는, 산소가 전체 제 1 상부 금속층(608)을 통해 제공되도록 제 1 상부 금속층(608)이 처리된다. 따라서, 형성된 상부 산소-함유층(610)은 실질적으로 제 1 상부 금속층(608)과 동일하다.
다음으로, 제 2 상부 금속층(612)이 상부 산소-함유층(610)에 증착되어 도 6f에 도시된 바와 같은 완전한 커패시터 구조(650)를 형성한다. 제 2 상부 금속층(612)이 제 1 상부 금속층에 대해 설명된 것과 유사한 방식으로 증착된다. 바람직하게는, 제 2 상부 금속층(612)은 제 1 상부 금속층(608)과 동일한 재료로 구성되고, 바람직하게는 루테늄이다. 하지만, 제 2 상부 금속층(612)으로서 Ir 또는 Pt가 사용될 수도 있다. 제 2 상부 금속층(612)은 CVD 또는 PVD에 의해 형성된다. 더욱이, 제 2 상부 금속층(612)은 예를 들면, PVD 또는 CVD 시드층(도시 안됨)의 형성을 포함하고, 하부 금속층(602)에 대해 상술된 바와 같이 CVD에 의해 벌크 금속층의 증착이 후속한다.
제 2 상부 금속층(612)은 재략 200 내지 2000Å 범위의 두께를 가진다. 상부 산소-함유층(610)과 제 2 상부 금속층(612)은 함께 커패시터 구조(650)를 위한 상부 전극(615)을 형성한다. 일 실시예에서, 상부 전극(615)은 대략 200 내지 2000Å 사이의 두께를 가지며, 바람직하게는 대략 1000Å 이하이다.
다른 실시예에서, 상부 전극(615)은 티타늄 질화물(TiN)층으로부터 형성된다. TiN층은 공지된 다른 전구체와 반응기체 및 프로세스 파라미터를 사용하여 PVD 또는 CVD에 의해 증착된다. 예를 들어, TiN은 티타늄 테트라클로라이드(TiCl4) 와 암모니아(NH3) 또는 예를 들어 테트라키스디메틸아미노(TCMAT), 테트라키스-디에틸아미노 티타늄(RDEAT)과 같은 테트라키스-알킬아미노 티타늄과 같은 유기금속 전구체 사이의 반응에 의해 형성된다. 어플라이드 머티어리얼스사로부터 입수 가능한 TxZ CVD 챔버가 상부 전극으로서 CVD TiN층을 형성하는데 사용된다.
커패시터의 재료의 증착을 위해 사용된 특정 방법 및 장치는 본 발명에 한정되지 않는다는 것이 이해될 것이다. 그러므로, 본 발명은 예를 들면 마이크로파 발생기에 의해 원격으로 발생될 수 있는 플라즈마 환경에서 루테늄 전극의 열처리 및 처리를 수행하는 것이다. 다른 실시예에서, 플라즈마는 챔버의 처리 영역내에 배치된 RF 코일에 의해 유도적으로 결합되고 유지된다. 부가적으로, 기판 가열은 기판 지지부재등에 배치된 램프, 가열 엘리먼트를 이용하여 달성될 수 있다. 처리 파라미터는 원하는 두께의 도통 산소함유층을 제공하기 위해 조절될 수 있다.
표1은 본 발명의 실시예에 따라 MIK 스택 커패시터의 형성에 적합한 일부 예시적인 파라미터 범위를 제공한다.
표 1
Figure 112000028626457-pat00001
Figure 112000028626457-pat00002

종래 처리로부터의 예
커패시터는 종래 처리에 따라 루테늄 하부 전극, Ta2O5 절연층 및 루테늄 상부 전극을 가지도록 제조되었다. 커패시터의 브레이크다운 전압 및 누설 전류가 측정되고 기록되었다. 데이터는 곡선 702, 704, 706, 708로서 도 7에 도시되었다. 양 전극(V+)이 먼저 측정된 경우, 커패시터는 곡선 702에 의해 지시된 바와 같이 약 1.0E-07 A/㎠에서 예리한 누설 증가를 나타내었다. 음 전극에 취해진 다음 측정은 곡선 704의 큰 초기 기울기에 의해 나타난 바와 같이 역전불가능한 브레이크다운이 발생된 것을 나타내었다. 음 전압(V-)이 먼저 측정된 경우에는, 곡선 706에 의해 지시되는 바와 같이 약 1.0E-08 A/㎠ 과 1.0E-07 A/㎠ 사이에서 예리한 누설 증가를 나타내었다. 양 전극에 취해진 다음 측정은 곡선 708에 의해 나타나는 바와 같이 역전불가능한 브레이크다운이 발생된 것을 다시 나타낸다. 그러므로, 캐피시터는 충분히 강하고 낮은 전압에서 브레이크다운되는 경향이 있었다.
비교예
상기 예에서 인용된 단계들이 반복되었다. 그외에도, Ta2O5 절연층의 증착전에, 루테늄 하부 전극이 산소 함유 분위기에 노출되었다. 누설 전류 및 전압에 대한 값들이 측정되어 도 8에 도시되었다. 곡선 800에 의해 도시된 바와 같이, 커패시터는, 어느것이 먼저 측정되었는지에 관계 없이, 양 및 음 영역에서 재생가능한 결과를 나타낸다.
처리 또는 열처리 단계는 상부 금속층과 절연체 사이 그리고 절연체와 하부 금속층 사이의 경계에서 각각 도통 산소 함유층을 형성한다. 따라서, 산소 함유층은 배리어 기능을 하며, 절연체와 금속층 사이의 원자/분자의 이주를 방지한다. 예를 들면, 산소 함유층은 커패시터의 근방 영역 즉 절연층에서 산소에 대한 배기로부터 금속층이 억제하도록 한다. 그 결과, 절연체의 보전이 유지되고 커패시터는 감소된 누설 및 증가된 브레이크다운전압을 나타내었다.
용량성 메모리 셀 제조
도 9a-h는 본 발명의 실시예에 따른 용량성 메모리 셀 제조 시퀀스의 상이한 단계들에서의 기판(900)의 개략적인 단면도이다. 처리의 특정 단계에 따라, 기판(900)은 실리콘 웨이퍼나, 또는 실리콘 웨이퍼상에 형성된 다른 재료층에 상응할 수 있다. 택일적으로, 기판은 그 영역상에 형성된 논리 게이트와 같은 집적 회로 구조(도시하지 않음)를 가질 수 있다.
도9a는 예를 들면 그위에 형성된 재료층(902)을 가지는 실리콘 기판(900)의 부분 단면도를 도시한다. 재료층(902)은 예를 들면 플루오로실리게이트(FSG), 도핑되지 않은 실리게이트 글라스(USG), 오가노실리게이트, 또는 실리콘 카브라이드 재료와 같은 산화물일 수 있다. 재료층(902)은 바람직하게는 낮은 유전상수(예를 들면 5이하의 유전상수)를 가진다. 재료층(902)의 두께는 제조될 구조의 사이즈에 따라 변화될 수 있다. 예를 들면, 재료층(902)은 약 1,000Å 내지 20,000Å 사이의 두께를 가질 수 있다.
도9b를 참조하여, 에너지 민감 저항성 재료층(904)은 재료층(904) 상에 형성된다. 에너지 민감 저항성 재료(904)층은 약 4,000Å 내지 10,000Å 범위의 두께로 기판에 스핀 코팅될 수 있다. 대부분의 에너지 민감 저항성 재료는 500nm(나노미터) 이하의 파장을 가지는 자외선(UV) 방사선에 민감하다. 깊은 자외선(DUV) 저항 재료는 약 250nm 이하의 파장을 가지는 UV 방사선에 민감하다.
패턴 이미지는 마스크(906)를 통해 UV 방사선에 에너지 민감 저항 재료를 노츌시킴으로써 에너지 민감 저항성 재료층(904)내에 도입된다. 에너지 민감 저향 재료층(904)에 도입된 패턴 이미지는 도9c에 도시된 바와 같이 그것을 통해 패턴을 한정하기 위하여 적당한 현상기에서 현상된다. 이후, 도9d를 참조하여, 에너지 민감 저항성 재료(904)에 한정된 패턴은 마스크로서 에너지 민감 저항성 재료(904)를 사용하여 그안에 구멍을 형성하기 위해 재료층(902)을 통해 전달된다. 재료층(902)을 통한 패턴 전달은 적당한 화학 에천트에 의해 달성된다.
구멍(901)은 약 0.5㎛ 이하의 폭과 약 0.5㎛ 내지 2㎛의 깊이를 가지며, 약 1:1 내지 4:1의 애스펙트비를 가지는 구조를 제공한다.
도9e를 참조하여, 하부 금속층(908), 예를 들면 Ru층은 구멍(901)의 측벽 및 하부면을 따라 형성된다. 하부 Ru층(908)은 위에 기술된 처리 파라미터에 따라 PVD 및/또는 CVD 기술을 이용하여 형성될 수 있다. 전술한 바와 같이, 하부 Ru층(908)이 CVD에 의해 증착될 경우, 시드층(도시하지 않음)이 먼저 구멍 내부에 형성된다. CVD Ru 전극에 대한 유효 시드층을 제공하기 위하여, RuOx(x는 0 부터 2까지 변화함)층이 먼저 형성되고, 산소 함유량을 감소시키기 위하여 적절한 가열이 행해진다. CVD Ru에 대한 시드층의 형성 및 가열 후에, 하부 Ru층(908)이 시드층 위에 증착된다. 하부 Ru층이 PVD에 의해 증착될 경우, 시드층을 필요로 하지 않게 된다. 하부 Ru층의 두께는 제조될 구조의 크기에 따라 변화한다. 예를 들면, 하부 Ru층(908)은 약 1,000Å 내지 10,000Å의 두께를 가질 수 있다.
도9f에 도시된 바와 같이, 산소 함유 Ru층(909)은 하부 Ru층(908) 상에 형성된다. 산소 함유 Ru층(909)은 예를 들면 전술한 바와 같이 플라즈마 및/또는 열 조건하에서 막 처리에 하부 Ru층(908)을 적용함으로써 형성될 수 있다. 예를 들면, 약 200℃ 내지 800℃ 사이의 상승된 온도가 사용될 수 있다. 선택적으로, 라디칼 종(radical species)이 하부 Ru층(908)을 처리하기 위해 산소 함유 가스부터 플라즈마(예를 들면, 직접 또는 원격 플라즈마)에서 발생될 수 있다. 처리 이후에, 산소는 산소 함유 Ru층(909)을 형성하기 위해 하부 Ru층(908)의 적어도 일부내에 통합된다. 산소 함유 Ru층(909) 및 나머지 하부 Ru층(908)은 함께 용량성 메모리 셀의 하부 Ru 전극(910)을 형성한다.
하부 Ru 전극(910)은 기판(900)으로부터 원하지 않는 전극 재료를 제거하기 위해 연속적으로 패턴화되고 에칭된다. Ru 하부 전극(910)은 도9b-d를 참조로상술한 바와 같이 종래 리소그래피를 이용하여 패턴화되고 산소/클로라인 기반 플라즈마를 이용하여 에칭될 수 있다.
하부 Ru 전극(910)이 구멍(901)내에 형성되고 패턴화된 후에, 도9g에 도시된 바와 같이, Ta2O5 메모리 셀 유전체층(912)이 그위에 증착된다. Ta2O5 메모리 셀 유전체층(912)은 상기한 처리 파라미터에 따라 CVD 기술을 이용하여 컨포멀하게 증착될 수 있다. Ta2O5 메모리 셀 유전체층(912)의 두께는 제조될 구조의 크기에 따라 변화될 수 있다. 예를 들면, Ta2O5 메모리 셀 유전체층(912)은 약 100Å 내지 500Å의 두께를 가질 수 있다.
Ta2O5 메모리 셀 유전체층(912)은 전술한 파라미터에 따라 플라즈마 및/또는 열 조건하에서 선택적으로 열처리될 수 있다.
연속하여, Ta2O5 메모리 셀 유전체층(912)은 기판(900)으로부터 원하지 않는 유전 재료를 제거하기 위하여 패턴화되고 에칭된다. Ta2O5 유전 재료는 도9b-d를 참조로 기술한 바와 같이 종래 리소그래피를 이용하여 패턴화되고, 탄탈륨 펜타클로라이드(TaCl5)와 같은 클로라인 기반 합성물을 이용하여 에칭될 수 있다.
도9h를 참조하여, 용량성 메모리 셀은 패턴화된 Ta2O5 메모리 셀 유전체층(912) 위에 Ru 상부 전극(915)을 형성함으로써 완성된다. 전술한 바와 같이, Ru 상부 전극(915)은 여러개의 단계로 형성된다. Ru 상부 전극(915)은 산소 함유 Ru층(913) 및 연속하여 증착된(제2) Ru 금속층(914)을 포함한다. 산소 함유 Ru층(913)은 절연층(912) 위에 제 1 Ru층(도시하지 않음)을 먼저 증착함으로써 형성되어, 이어 산소 함유 분위기에서 가열이 뒤따른다. 가열은 바람직하게는 산소가 전제 제 1 Ru층내에 통합되도록 수행된다. 제 2 Ru 금속층(914)은 상기 처리 파라미터에 따라 산소 함유 Ru층(913) 위에 CVD나 PVD에 의해 증착된다.
산소 함유 Ru층(913) 및 제2 Ru층(914)은 함께 Ru 상부 전극(915)을 구성한다. Ru 상부 전극(915)의 두께는 제조될 구조의 크기에 따라 변화할 수 있다. 예를 들면, Ru 상부 전극(915)은 약 1,000Å 내지 10,000Å의 두께를 가질 수 있다.
선택적으로, Ru 상부 전극(915)은 전술한 파라미터 범위를 이용하여 플라즈마 및/또는 열 조건하에서 열처리될 수 있다.
Ru 상부 전극(915)은 기판(900)으로부터 원하지 않는 전극 재료를 제거하기 위하여 선택적으로 패턴화되고 에칭된다. Ru 상부 전극(915)은 도9b-d를 참조하여 전술한 바와 같이 종래 리소그래피를 이용하여 패턴화되고, 산소/염소-기반 플라즈마를 이용하여 에칭될 수 있다.
상기한 내용이 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 다른 부가적인 실시예가 기본 범위로부터 벗어나지 않고 고안될 수 있으며, 그 범위는 첨부된 청구범위에 의해 결정된다.
본 발명은 전극중 하나 또는 둘다의 산화시 해로운 영향을 제거하는 커패시터 구조물과 이를 제조할 수 있는 방법을 제공할 수 있는 효과를 가진다.

Claims (40)

  1. a) 제 1 금속을 가지는 제 1 전극;
    b) 상기 제 1 전극 상에 배치된 제 1 전도성 산소 함유층;
    c) 상기 제 1 전도성 산소 함유층 상에 배치된 절연 재료;
    d) 상기 절연 재료 상에 배치된 제 2 전도성 산소 함유층; 및
    e) 상기 제 2 전도성 산소 함유층 상에 배치된 제 2 금속을 가지는 제 2 전극
    을 포함하고, 상기 절연 재료는 탄탈 오산화물(tantalum pentoxide)(Ta2O5)을 포함하는 반도체 장치.
  2. 제 1 항에 있어서,
    상기 제 1 전극 및 제 2 전극 중 적어도 하나의 전극은 루테늄을 포함하는 것을 특징으로 하는 반도체 장치.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 제 1 전극 및 제 2 전극은 루테늄을 포함하는 것을 특징으로 하는 반도체 장치.
  4. 제 1 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극은 루테늄, 이리듐 및 백금의 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 반도체 장치.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 제 1 전도성 산소 함유층 및 제 2 전도성 산소 함유층 중 적어도 하나는 루테늄을 포함하는 것을 특징으로 하는 반도체 장치.
  7. 제 1 항에 있어서,
    상기 제 1 전극 및 제 2 전극은 루테늄을 포함하고, 상기 제 1 전도성 산소 함유층 및 제 2 전도성 산소 함유층은 루테늄을 포함하는 것을 특징으로 하는 반도체 장치.
  8. 기판 상에 장치를 형성시키는 방법으로서,
    (a) 상기 기판상에 제 1 금속층을 형성하는 단계 - 상기 제 1 금속층은 제 1 금속-함유 시드층을 증착하고, 상기 제 1 금속 함유 시드층이 내부의 산소 함량을 감소시키도록 처리되며, 상기 처리된 제 1 금속-시드층 상에 제 1 금속 벌크층을 증착함으로써 형성됨 - ;
    (b) 제 1 전도성 산소 함유층을 형성하기 위하여 제 1 산소 함유 분위기에 상기 제 1 금속층을 노출시키는 단계;
    (c) 상기 제 1 전도성 산소 함유층 상에 절연체를 형성하는 단계;
    (d) 상기 절연체 상에 제 2 금속층을 형성하는 단계;
    (e) 제 2 전도성 산소 함유층을 형성하기 위하여 제 2 산소 함유 분위기에 상기 제 2 금속층을 노출시키는 단계; 및
    (f) 상기 제 2 전도성 산소 함유층 상에 제 3 금속층을 형성하는 단계
    를 포함하는 장치 형성 방법.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
    제 8 항에 있어서,
    상기 형성하는 단계(a)는 루테늄을 증착하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제 8 항에 있어서,
    상기 형성하는 단계(a), 상기 노출시키는 단계(b) 및 상기 형성하는 단계(c)는 루테늄을 증착하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제 8 항에 있어서,
    상기 형성하는 단계(a)는 루테늄을 증착하는 단계를 포함하고, 상기 노출시키는 단계(b)는 산소 함유 가스에 상기 제 1 금속층을 노출시키는 단계와 상기 기판을 가열하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제 8 항에 있어서,
    상기 형성하는 단계(c)는 탄탈 오산화물(Ta2O5)층을 형성하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제 8 항에 있어서,
    상기 형성하는 단계(c)는 20 이상의 유전상수를 가진 재료를 포함하는 것을 특징으로 하는 장치 형성 방법.
  14. 제 8 항에 있어서,
    상기 형성하는 단계(a), 상기 형성하는 단계(d), 및 상기 형성하는 단계(f)는 루테늄을 증착하는 단계를 포함하고, 상기 노출시키는 단계(b)는 산소 함유가스를 상기 제 1 금속층에 노출시키는 단계를 포함하며, 상기 형성하는 단계(c)는 탄탈 오산화물(Ta2O5)층을 형성하는 단계를 포함하며, 상기 노출시키는 단계(e)는 상기 제 2 금속층을 산소 함유가스에 노출시키는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제 14 항에 있어서,
    상기 산소 함유가스는 질소 산화물(N2O), 산소(O2) 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치 형성 방법.
  16. 기판상에 장치를 형성하는 방법으로서,
    (a) 상기 기판상에 제 1 루테늄층을 증착하는 단계 - 상기 제 1 루테늄층은 루테늄-함유 시드층을 형성하고, 그 후에 상기 루테늄-함유 시드층 상에 화학적 기상 증착을 이용하여 루테늄층을 형성함으로써 증착되고, 상기 루테늄-함유 시드층은 산소를 더 포함하며, 상기 루테늄층을 상기 루테늄-함유 시드층 위에 증착하기 전에 상기 루테늄 시드층은 내부의 산소 함량을 감소시키도록 처리됨 - ;
    (b) 상기 기판을 가열하는 단계;
    (c) 상기 제 1 루테늄층을 산소 함유가스에 노출시키는 단계;
    (d) 절연 재료를 증착하는 단계;
    (e) 상기 절연 재료 상에 제 1 금속층을 증착하는 단계;
    (f) 상기 제 1 금속층을 산소 함유가스에 노출시키는 단계; 및
    (g) 상기 노출시키는 단계(f) 이후에 제 2 금속층을 증착하는 단계
    를 포함하는 장치 형성 방법.
  17. 삭제
  18. 삭제
  19. 제 16 항에 있어서,
    상기 증착하는 단계(e) 및 상기 증착하는 단계(g) 중 적어도 하나의 단계는 루테늄을 증착하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  20. 제 16 항에 있어서,
    상기 노출시키는 단계(c) 및 상기 노출시키는 단계(f)는 전도성 산소 함유층을 형성하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제 16 항에 있어서,
    상기 증착하는 단계(d)는 탄탈 오산화물(Ta2O5)층을 증착하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제 21 항에 있어서,
    상기 증착하는 단계(d)는 상기 탄탈 오산화물층을 가열하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제 16 항에 있어서,
    상기 가열하는 단계(b)는 200℃ 내지 900℃의 온도로 상기 기판을 가열하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  24. 제 16 항에 있어서,
    상기 가열하는 단계(b)는 200℃ 내지 900℃의 온도로 상기 기판을 가열하는 단계를 포함하고, 상기 증착하는 단계(d)는 Ta2O5층을 증착하는 단계를 포함하며, 상기 증착하는 단계(e)는 제 2 루테늄층을 증착하는 단계를 포함하는 것을 특징으로 하는 장치 형성 방법.
  25. 하나 이상의 메모리 셀들을 포함하는 장치로서,
    상기 메모리 셀 각각은 유전체 재료에 의하여 서로 분리되는 제 1 전극 및 제 2 전극을 포함하며, 상기 제 1 전극 및 상기 제 2 전극 중 적어도 하나의 전극은 금속층 및 산소 함유 금속층을 포함하며, 상기 유전체 재료는 탄탈 오산화물인, 하나 이상의 메모리 셀들을 포함하는 장치.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제 25 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극 중 적어도 하나의 전극은 루테늄을 포함하는 것을 특징으로 하는 하나 이상의 메모리 셀들을 포함하는 장치.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제 25 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극 중 적어도 하나의 전극은 루테늄층 및 산소 함유 루테늄층을 포함하는 것을 특징으로 하는 하나 이상의 메모리 셀들을 포함하는 장치.
  28. 제 25 항에 있어서,
    상기 제 1 전극은 루테늄, 이리듐 및 백금으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 하나 이상의 메모리 셀들을 포함하는 장치.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    제 25 항에 있어서,
    상기 제 2 전극은 루테늄, 이리듐, 백금 및 티타늄 질화물로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 하나 이상의 메모리 셀들을 포함하는 장치.
  30. 삭제
  31. 메모리 셀 형성 방법으로서,
    (a) 제 1 전극을 형성하는 단계;
    (b) 상기 제 1 전극 상에 유전체층을 형성하는 단계; 및
    (c) 상기 유전체층 상에 제 2 전극을 형성하는 단계
    를 포함하며, 상기 유전체층은 탄탈 오산화물이고, 상기 제 1 전극 및 상기 제 2 전극 중 적어도 하나의 전극은 상기 유전체층에 인접한 전도성 산소 함유층 및 금속층 포함하며, 상기 금속층은
    (a1) 루테늄-함유 시드층을 형성하는 단계;
    (a2) 상기 루테늄-함유 시드층을 산소-함유 분위기에서 처리하는 단계; 및
    (a3) 상기 처리된 루테늄-함유 시드층 상에 화학적 기상 증착을 이용하여 루테늄층을 형성하는 단계
    에 의해 형성되는, 메모리 셀 형성방법.
  32. 삭제
  33. 삭제
  34. 청구항 34은(는) 설정등록료 납부시 포기되었습니다.
    제 31 항에 있어서,
    상기 제 1 전극은 루테늄, 이리듐 및 백금으로 구성된 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 메모리 셀 형성방법.
  35. 삭제
  36. 청구항 36은(는) 설정등록료 납부시 포기되었습니다.
    제 31 항에 있어서,
    상기 처리하는 단계(a2)는 플라즈마 존재 하에서 수행되는 것을 특징으로 하는 메모리 셀 형성방법.
  37. 청구항 37은(는) 설정등록료 납부시 포기되었습니다.
    제 31 항에 있어서,
    상기 제 2 전극은 루테늄, 이리듐, 백금 및 티타늄 질화물로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 메모리셀 형성방법.
  38. 삭제
  39. 청구항 39은(는) 설정등록료 납부시 포기되었습니다.
    제 31 항에 있어서,
    상기 형성하는 단계(c) 이전에 산소 함유 분위기에서 상기 유전체 재료를 처리하는 단계를 더 포함하는 것을 특징으로 하는 메모리 셀 형성방법.
  40. 실행시 범용 컴퓨터가 박막 증착법을 사용하여 증착 챔버를 제어할 수 있도록 하는 소프트웨어 루틴을 포함하는 컴퓨터 저장매체로서,
    (a) 기판상에 제 1 금속층을 형성하는 단계 - 상기 제 1 금속층은 제 1 금속-함유 시드층을 증착하고, 상기 제 1 금속-함유 시드층을 내부에 산소 함량이 감소되도록 처리하며, 상기 처리된 제 1 금속 함유 시드층 상에 제 1 금속 벌크층을 증착함으로써 형성됨 - ;
    (b) 제 1 전도성 산소 함유층을 형성하기 위하여 제 1 산소 함유 분위기에 상기 제 1 금속층을 노출시키는 단계;
    (c) 상기 제 1 전도성 산소 함유층 상에 절연체를 형성하는 단계;
    (d) 상기 절연체 상에 제 2 금속층을 형성하는 단계;
    (e) 제 2 전도성 산소 함유층을 형성하기 위하여 제 2 산소 함유 분위기에 상기 제 2 금속층을 노출시키는 단계; 및
    (f) 상기 제 2 전도성 산소 함유층 상에 제 3 금속층을 형성하는 단계
    를 포함하는 컴퓨터 저장 매체.
KR1020000085697A 1999-12-30 2000-12-29 금속전극을 형성하기 위한 방법 KR100861678B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17392899P 1999-12-30 1999-12-30
US60/173,928 1999-12-30

Publications (2)

Publication Number Publication Date
KR20010062842A KR20010062842A (ko) 2001-07-07
KR100861678B1 true KR100861678B1 (ko) 2008-10-07

Family

ID=22634099

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000085697A KR100861678B1 (ko) 1999-12-30 2000-12-29 금속전극을 형성하기 위한 방법

Country Status (4)

Country Link
US (1) US6475854B2 (ko)
EP (1) EP1130655A2 (ko)
JP (1) JP2001244439A (ko)
KR (1) KR100861678B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101752060B1 (ko) * 2015-08-24 2017-06-30 인천대학교 산학협력단 전기적 특성이 향상된 mim 커패시터용 전기소자 및 이의 제조 방법
US10062699B1 (en) 2017-02-28 2018-08-28 Korea Institute Of Science And Technology Capacitor for semiconductor memory element and method for manufacturing the same

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7321485B2 (en) 1997-04-08 2008-01-22 X2Y Attenuators, Llc Arrangement for energy conditioning
US7301748B2 (en) 1997-04-08 2007-11-27 Anthony Anthony A Universal energy conditioning interposer with circuit architecture
US9054094B2 (en) 1997-04-08 2015-06-09 X2Y Attenuators, Llc Energy conditioning circuit arrangement for integrated circuit
US7336468B2 (en) 1997-04-08 2008-02-26 X2Y Attenuators, Llc Arrangement for energy conditioning
AU2001247790A1 (en) 2000-03-24 2001-10-08 Cymbet Corporation Battery-operated wireless-communication apparatus and method
US6797560B2 (en) * 2000-05-22 2004-09-28 Tokyo Electron Limited Method of manufacturing a capacitor having tantalum oxide film as an insulating film
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
JP2002184946A (ja) * 2000-12-11 2002-06-28 Murata Mfg Co Ltd Mimキャパシタおよびその製造方法
US7378719B2 (en) * 2000-12-20 2008-05-27 Micron Technology, Inc. Low leakage MIM capacitor
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6617266B2 (en) * 2001-04-12 2003-09-09 Applied Materials, Inc. Barium strontium titanate annealing process
US6495428B1 (en) * 2001-07-11 2002-12-17 Micron Technology, Inc. Method of making a capacitor with oxygenated metal electrodes and high dielectric constant materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6700771B2 (en) * 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6576538B2 (en) * 2001-08-30 2003-06-10 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
US6946408B2 (en) * 2001-10-24 2005-09-20 Applied Materials, Inc. Method and apparatus for depositing dielectric films
US6918960B2 (en) * 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
KR100450470B1 (ko) * 2001-12-20 2004-10-01 주성엔지니어링(주) 플라즈마 도움을 받는 Ru 박막형성방법
US6911079B2 (en) * 2002-04-19 2005-06-28 Kopin Corporation Method for reducing the resistivity of p-type II-VI and III-V semiconductors
KR100611707B1 (ko) * 2002-05-28 2006-08-11 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
US20030222263A1 (en) * 2002-06-04 2003-12-04 Kopin Corporation High-efficiency light-emitting diodes
US6734091B2 (en) * 2002-06-28 2004-05-11 Kopin Corporation Electrode for p-type gallium nitride-based semiconductors
US7002180B2 (en) * 2002-06-28 2006-02-21 Kopin Corporation Bonding pad for gallium nitride-based light-emitting device
TW200400608A (en) 2002-06-17 2004-01-01 Kopin Corp Bonding pad for gallium nitride-based light-emitting device
US20040000672A1 (en) * 2002-06-28 2004-01-01 Kopin Corporation High-power light-emitting diode structures
KR100487528B1 (ko) 2002-06-26 2005-05-03 삼성전자주식회사 피로 현상을 억제하기 위한 금속산화막을 갖는 강유전체캐패시터 및 그 제조방법
US6955985B2 (en) 2002-06-28 2005-10-18 Kopin Corporation Domain epitaxy for thin film growth
US6664168B1 (en) * 2002-07-24 2003-12-16 Intel Corporation Method of making an on-die decoupling capacitor for a semiconductor device
JP2004140292A (ja) * 2002-10-21 2004-05-13 Tokyo Electron Ltd 誘電体膜の形成方法
KR100468774B1 (ko) * 2002-11-26 2005-01-29 삼성전자주식회사 반도체 메모리 소자의 제조방법
US6906436B2 (en) * 2003-01-02 2005-06-14 Cymbet Corporation Solid state activity-activated battery device and method
KR20040067012A (ko) * 2003-01-21 2004-07-30 주식회사 하이닉스반도체 반도체 소자의 엠아이엠 캐패시터 형성방법
JP2004296923A (ja) * 2003-03-27 2004-10-21 Seiko Epson Corp 強誘電体キャパシタの製造方法、強誘電体キャパシタ、記憶素子、電子素子、メモリ装置及び電子機器
US7122841B2 (en) 2003-06-04 2006-10-17 Kopin Corporation Bonding pad for gallium nitride-based light-emitting devices
US7374993B2 (en) * 2003-10-27 2008-05-20 Micron Technology, Inc. Methods of forming capacitors
CN1890854A (zh) 2003-12-22 2007-01-03 X2Y艾泰钮埃特有限责任公司 内屏蔽式能量调节装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7211509B1 (en) 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US7148118B2 (en) * 2004-07-08 2006-12-12 Micron Technology, Inc. Methods of forming metal nitride, and methods of forming capacitor constructions
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
WO2006104613A2 (en) 2005-03-01 2006-10-05 X2Y Attenuators, Llc Conditioner with coplanar conductors
WO2006093831A2 (en) 2005-03-01 2006-09-08 X2Y Attenuators, Llc Energy conditioner with tied through electrodes
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20060261441A1 (en) * 2005-05-23 2006-11-23 Micron Technology, Inc. Process for forming a low carbon, low resistance metal film during the manufacture of a semiconductor device and systems including same
KR100622268B1 (ko) * 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7776478B2 (en) 2005-07-15 2010-08-17 Cymbet Corporation Thin-film batteries with polymer and LiPON electrolyte layers and method
WO2007011900A1 (en) 2005-07-15 2007-01-25 Cymbet Corporation Thin-film batteries with soft and hard electrolyte layers and method
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
KR101390426B1 (ko) 2006-03-07 2014-04-30 엑스2와이 어테뉴에이터스, 엘.엘.씨 에너지 컨디셔너 구조물들
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7560392B2 (en) 2006-05-10 2009-07-14 Micron Technology, Inc. Electrical components for microelectronic devices and methods of forming the same
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
JP5458514B2 (ja) * 2008-06-24 2014-04-02 富士通セミコンダクター株式会社 半導体装置の製造方法、及び半導体装置
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8828821B2 (en) * 2009-09-18 2014-09-09 Intermolecular, Inc. Fabrication of semiconductor stacks with ruthenium-based materials
EP2434531B1 (en) * 2010-09-28 2019-12-04 IMEC vzw Method for manufacturing of a metal-insulator-metal capacitor
DE102010048620B4 (de) * 2010-10-15 2013-03-28 Epcos Ag Elektrode, mikroakustisches Bauelement und Herstellungsverfahren für eine Elektrode
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US9853325B2 (en) 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US11996517B2 (en) 2011-06-29 2024-05-28 Space Charge, LLC Electrochemical energy storage devices
US8349696B1 (en) * 2011-08-01 2013-01-08 Intermolecular, Inc. Asymmetric MIM capacitor for DRAM devices
JP6118149B2 (ja) * 2013-03-21 2017-04-19 東京エレクトロン株式会社 ルテニウム膜の形成方法および記憶媒体
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
EP3762989A4 (en) 2018-03-07 2021-12-15 Space Charge, LLC THIN FILM SOLID STATE ENERGY STORAGE DEVICES
KR102553296B1 (ko) * 2019-12-12 2023-07-10 주식회사 원익아이피에스 박막 형성 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745475A (ja) * 1993-06-29 1995-02-14 Hitachi Ltd 薄膜コンデンサ及びその製造方法
KR19980063382A (ko) * 1996-12-06 1998-10-07 쯔지 하루오 강유전체 커패시터의 간단한 제조방법
KR19990048918A (ko) * 1997-12-11 1999-07-05 윤종용 커패시터를 포함하는 반도체장치 및 그 제조방법
KR19990055174A (ko) * 1997-12-27 1999-07-15 김영환 누설전류가 감소된 하부전극을 갖는 강유전체 커패시터 형성 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122923A (en) 1989-08-30 1992-06-16 Nec Corporation Thin-film capacitors and process for manufacturing the same
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
KR0155785B1 (ko) * 1994-12-15 1998-10-15 김광호 핀형 커패시터 및 그 제조방법
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
KR100292012B1 (ko) 1995-06-28 2001-11-15 엔, 마이클 그로브 실리콘에집적된강유전체커패시터를위한장벽층
JPH10209392A (ja) * 1997-01-22 1998-08-07 Sony Corp 半導体メモリセル用キャパシタの電極及び半導体メモリセル用キャパシタ、並びに、それらの作製方法
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US6090697A (en) * 1997-06-30 2000-07-18 Texas Instruments Incorporated Etchstop for integrated circuits
JP3183243B2 (ja) * 1998-02-25 2001-07-09 日本電気株式会社 薄膜キャパシタ及びその製造方法
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
JP2000012796A (ja) * 1998-06-19 2000-01-14 Hitachi Ltd 半導体装置ならびにその製造方法および製造装置
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6294425B1 (en) * 1999-10-14 2001-09-25 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors by electroplating electrodes from seed layers
US6303426B1 (en) * 2000-01-06 2001-10-16 Agere Systems Guardian Corp. Method of forming a capacitor having a tungsten bottom electrode in a semiconductor wafer
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
JP2001210714A (ja) * 2000-01-26 2001-08-03 Nec Corp 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745475A (ja) * 1993-06-29 1995-02-14 Hitachi Ltd 薄膜コンデンサ及びその製造方法
KR19980063382A (ko) * 1996-12-06 1998-10-07 쯔지 하루오 강유전체 커패시터의 간단한 제조방법
KR19990048918A (ko) * 1997-12-11 1999-07-05 윤종용 커패시터를 포함하는 반도체장치 및 그 제조방법
KR19990055174A (ko) * 1997-12-27 1999-07-15 김영환 누설전류가 감소된 하부전극을 갖는 강유전체 커패시터 형성 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101752060B1 (ko) * 2015-08-24 2017-06-30 인천대학교 산학협력단 전기적 특성이 향상된 mim 커패시터용 전기소자 및 이의 제조 방법
US10062699B1 (en) 2017-02-28 2018-08-28 Korea Institute Of Science And Technology Capacitor for semiconductor memory element and method for manufacturing the same
KR20180099197A (ko) 2017-02-28 2018-09-05 한국과학기술연구원 반도체 메모리 소자의 커패시터 및 그 제조 방법

Also Published As

Publication number Publication date
EP1130655A2 (en) 2001-09-05
US6475854B2 (en) 2002-11-05
JP2001244439A (ja) 2001-09-07
KR20010062842A (ko) 2001-07-07
US20010043453A1 (en) 2001-11-22

Similar Documents

Publication Publication Date Title
KR100861678B1 (ko) 금속전극을 형성하기 위한 방법
KR0167570B1 (ko) 반도체 디바이스에 있어서의 유전체막(誘電體膜)의 형성방법
CN111033686B (zh) 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
US7144606B2 (en) Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US11101174B2 (en) Gap fill deposition process
US10522343B2 (en) Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
TWI404816B (zh) 光激發可用於原子層沈積之介電層的化學物之方法與設備
US5405491A (en) Plasma etching process
EP0179665A2 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0697121A (ja) 半導体装置を製造する方法
KR100420847B1 (ko) 박막커패시터의 제조방법
JP6935667B2 (ja) 成膜方法
US6177305B1 (en) Fabrication of metal-insulator-metal capacitive structures
US20040157459A1 (en) Method of etching ferroelectric layers
KR101713336B1 (ko) 라이너의 제거 처리 방법
JP2001274102A (ja) 半導体基板への薄膜成膜方法
US20060118520A1 (en) Plasma etching method
JP2008098339A (ja) プラズマ処理装置、プラズマ処理方法、およびプラズマ処理装置のクリーニング方法
US6626186B1 (en) Method for stabilizing the internal surface of a PECVD process chamber
US20050221002A1 (en) Method for processing a substrate
US6319856B1 (en) Methods of forming dielectric layers and methods of forming capacitors
JP3058152B2 (ja) 成膜装置及び成膜方法
JP2001284330A (ja) 半導体装置の製造方法、及び製造装置
KR100827521B1 (ko) 반도체 소자의 캐패시터 및 그의 제조 방법
US20200203144A1 (en) Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110830

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee