KR100620272B1 - 기판 가열 장치 및 멀티-챔버 기판 처리 시스템 - Google Patents

기판 가열 장치 및 멀티-챔버 기판 처리 시스템 Download PDF

Info

Publication number
KR100620272B1
KR100620272B1 KR1020040067689A KR20040067689A KR100620272B1 KR 100620272 B1 KR100620272 B1 KR 100620272B1 KR 1020040067689 A KR1020040067689 A KR 1020040067689A KR 20040067689 A KR20040067689 A KR 20040067689A KR 100620272 B1 KR100620272 B1 KR 100620272B1
Authority
KR
South Korea
Prior art keywords
substrate
transfer
region
chamber
partition
Prior art date
Application number
KR1020040067689A
Other languages
English (en)
Other versions
KR20050021344A (ko
Inventor
이시하라마사히토
Original Assignee
캐논 아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 아네르바 가부시키가이샤 filed Critical 캐논 아네르바 가부시키가이샤
Publication of KR20050021344A publication Critical patent/KR20050021344A/ko
Application granted granted Critical
Publication of KR100620272B1 publication Critical patent/KR100620272B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원은 로드-로크 챔버의 내부를 2개의 영역으로 분리하는 파티션을 포함하는 기판 가열 장치를 개시한다. 기판이 이송되는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기되는 동안, 파티션에 구비된 내부 개구부는 파티션 밸브에 의해 폐쇄된다. 파티션 밸브가 개방된 후에, 캐리어는 내부 개구부를 통하여 기판을 반송하여서, 제1 영역에 배치된 열체상에 기판을 접촉시킨다. 또는, 파티션 밸브가 개방된 후에, 캐리어는 내부 개구부를 통하여 열체를 반송하여서, 제2 영역에서 열체상에 기판을 접촉시킨다. 또한 본원은 이송 챔버, 및 그 이송 챔버의 주변에 모두 구비된 로드-로크 챔버 및 처리 챔버를 포함하는 기판 처리 시스템을 개시한다.
기판, 챔버, 파티션, 진공, 열체, 커버, 캐리어, 가열

Description

기판 가열 장치 및 멀티-챔버 기판 처리 시스템{SUBSTRATE HEATING APPARATUS AND MULTI-CHAMBER SUBSTRATE PROCESSING SYSTEM}
도 1은 본원발명의 바람직한 실시예로서 기판 가열 장치의 개략적인 전방 횡단면도,
도 2는 리테이너의 개략적인 입체도,
도 3은 제1 펌핑 라인에 의한 배기 구조의 개략적인 측면 횡단면도,
도 4는 본원발명의 바람직한 실시예로서 멀티-챔버 기판 처리 시스템의 개략적인 평면도,
도 5는 도 4의 X-X 평면상의 개략적인 횡단면도,
도 6은 종래기술의 가열 장치의 개략적인 전방 횡단면도, 및
도 7은 종래기술의 다른 가열 장치의 개략적인 전방 횡단면도.
본원발명은 진공 압력하에서 기판을 가열하기 위한 기판 가열 장치에 관한 것이다.
메모리 및 프로세서 등의 반도체 디바이스, 모든 종류의 회로 소자, 및 감지 소자 등의 전자 부품은, 이하 "기판"이라 불리는, 제품의 베이스로서의 보드-형상의 물체로의 다수의 표면 처리를 통하여 제조되곤 한다. 특히, 그러한 표면 처리는, 표면 산화 등의 표면 변성, 박막 퇴적, 또는 에칭 등의 회로 형성 처리로 주지되어 있다. 또한, 기판 가열 처리는 다양한 목적으로 수행되어 왔다. 예를 들어, 기판의 예열은 박막 퇴적 이전에 가스를 제거하기 위해 수행되어 왔다. 기판의 어닐링은 박막 퇴적 또는 이온 주입 이후에 수행되어 왔다. 기판의 베이킹은 포토레지스트의 광 노출 이전 또는 이후에 수행되어 왔다.
그러한 가열 처리에 사용되는 기판 가열 장치는 기판이 진공 압력하에서 가열되는 구조를 갖곤 한다. 그 이유는 대기 압력하에서의 가열은 습기, 산소, 또는 다른 오염물이 기판으로 편입되는 문제를 일으킬 가능성이 매우 높기 때문이다. 진공 압력하에서의 가열은 기밀 용기내에서, 즉, 기판을 수용하는 진공 챔버내에서 수행된다.
도 6 및 도 7을 참조하여 종래기술의 기판 가열 장치를 설명한다. 도 6은 종래기술의 가열 장치의 개략적인 전방 횡단면도이다. 도 7은 종래기술의 다른 가열 장치의 개략적인 전방 횡단면도이다. 도 6에 도시된 장치는 소위 클러스터-툴-타입 시스템에 내장된다. "클러스터 툴"은 이송 로봇을 포함하는 이송 챔버가 중앙에 구비되고 주변에 로드-로크 챔버 및 처리 챔버가 이송 챔버와 연결되어 있는 진공 처리 시스템에 대한 일반적인 용어이다. 도 6에 있어서, 로드-로크 챔버(83)는 기판(9)이 대기 외부로부터 처리 챔버(82) 중 하나로 이송되는 동안 임시로 저장되는 진공 챔버이다. 시스템은 "언로드-로크 챔버"(도시되지 않음)라 불리는 진 공 챔버를 포함하는데, 기판(9)은 처리 챔버(82) 중 하나로부터 대기 외부로 이송되는 동안 그곳에 저장된다. 로드-로크 챔버(83)는 언로드-로크 챔버에 대해 공통적으로 사용되기도 한다.
도 6에 도시된 가열 장치는 처리 챔버(82) 중 하나인 가열 챔버를 포함한다. 가열 스테이지(821)는 가열 챔버(82)내에 구비되어 있다. 승강 메카니즘(822)은 가열 챔버(82)가 상승 및 하강될 수 있도록 가열 챔버(82)의 외부에 구비되어 있다. 스루홀은 가열 스테이지(821)를 수직으로 관통하여 구비되어 있다. 이송 핀(823)은 스루홀내에 삽입되어 있다. 예를 들어, 3개의 스루홀이 구비되고, 3개의 이송 핀(823)이 각각 삽입된다.
히터(824)는 가열 스테이지(821)내에 구비되어 있다. 오토 로더(도시되지 않음)에 의해 로드-로크 챔버(83)내에 저장된 기판(9)은 이송 챔버(81)내의 이송 로봇(811)에 의해 가열 챔버(82)로 이송된다. 가열 스테이지(821)는 대기하고 있는 중에는 하부 위치에 위치하고 있다. 이러한 상태에 있어서, 이송 핀(823)의 상단은 가열 스테이지(821) 위로 돌출하여 있다.
기판(9)은 이송 로봇(811)으로부터 이송 핀(823)상으로 건네진다. 그후, 가열 스테이지(821)는 승강 메카니즘(822)에 의해 상승된다. 결과로서, 기판(9)은 가열 스테이지(821)상에 놓여 가열된다. 필요한 기간동안 가열 후에, 가열 스테이지(821)는 하강되고, 이송 핀(823)상에 기판(9)을 다시 놓는다. 그후, 이송 로봇(811)은 가열된 기판(9)을 가열 챔버(82)로부터 로드-로크 챔버(83)로 이송한다. 그후, 기판(9)은 오토 로더에 의해 대기 외부로 이송된다.
도 7에 도시된 시스템은 로드-로크 챔버(83)내에 기판(9)을 가열하기 위한 수단을 포함한다. 로드-로크 챔버(83)의 상부벽에는 개구부가 구비되어 있다. 투명 윈도우는 개구부에 기밀하게 맞춰져 있다. 램프 히터(832)는 투명 윈도우(831)의 외부에 구비되어 있다. 히터 램프(832)로부터의 방사선은 투명 윈도우(831)를 통하여 기판을 조사하고, 그에 의해 기판(9)을 가열한다. 가열 후에, 기판(9)은 처리 챔버(84)에서 처리된다.
일반적으로 기판을 가열함에 있어서는, 기판을 열체에 접촉시키는 것, 즉, 열 전도를 이용하는 것이 효과적이다. 도 6에 도시된 가열 장치는 이러한 타입에 속한다. 그러나, 이러한 타입에 있어서는, 열체가 대기에 노출될 때, 열체의 표면은 산화되거나 또는 먼지 등의 오염물이 열체에 열부착된다. 결과로서, 기판을 오염시키는 입자가 열체로부터 생성될 것이다.
따라서, 가열을 위한 그러한 열체를 통상적으로는 진공 압력의 분위기에 배치하는 것이 바람직하다. 도 6에 도시된 장치는 가열에 단독으로 사용되는 챔버(82)를 포함하고, 거기에는 계속되는 진공 환경으로 이송 챔버(81)를 통하여 로드-로크 챔버(83)가 연결된다. 로드-로크 챔버(83)내의 환경은 대기 및 진공으로 교대로 변환되고, 기판(9)의 반입 및 반출을 동반한다. 한편, 로드-로크 챔버(83)가 대기에 개방되어 있는 동안 격리를 위해 이송 밸브(825)가 폐쇄되어 있기 때문에, 가열 챔버(82)는 통상 진공 압력으로 유지된다.
도 6에 도시된 장치의 상기 구조는 통상적으로 진공 환경에 뜨거운 가열 스테이지(821)를 배치하는 것을 가능하게 한다. 그러나, 도 6에 도시된 장치는 챔버 의 수가 증가되는 결점, 즉, 장치가 대규모화되는 결점을 갖는다. 이것은 장치의 점유 공간 및 비용을 증가시키는 문제를 일으킨다. 또한, 생산성에 관하여, 그것은, 기판을 이송하는데 더 많은 시간이 필요하기 때문에, 전체 처리 효율을 감소시키는 문제를 일으킨다.
한편, 도 7에 도시된 장치는, 램프 히터(832)를 로드-로크 챔버(83)의 외부에 배치시켜 방사 가열을 채용하고 있기 때문에, 상기 오염 문제를 일으키지는 않는다. 그러나, 방사 가열은 방사선 밀도 프로파일, 즉, 조사 프로파일을 기판의 표면상에 충분히 균일하게 하는데 어려움이 있다. 이것은 가열의 평면내 균일성이 충분하지 않다는 것을 의미한다. 또한, 액정 디스플레이 및 플라즈마 디스플레이 등의 디스플레이 디바이스를 제조함에 있어서 전형적으로 일어나는 바와 같이 글래스 기판을 가열할 필요가 있는 경우에, 방사선은 그 기판에 흡수되지 않고 그것을 통과하기 때문에 효율적인 가열이 불가능하다.
더하여, 방사 가열은 기판의 표면 상태에 의존하는 문제점이 있다. 예를 들어, 기판의 우측 표면에 높은 반사계수의 막이 코팅되어 있는 경우에, 가열 효율은 많이 감소할 것이다. 또한, 방사 흡수율은 기판의 표면 상태에 의존한다. 예를 들어, 그것은 에칭에 의한 거친 표면 또는 미러-폴리싱된 표면상에서 변화한다. 이들 요인 때문에, 방사 가열은 기판의 표면 상태 및 물질에 의존함이 없이 높은 안정도와 재현성으로 기판을 가열하는데 어려움이 있다.
본원발명은 상기 문제점을 해결하기 위한 것으로, 기판 오염을 수반하지 않 고, 챔버의 수를 증가시킬 필요가 없고, 기판의 표면 상태 및 물질에 의존하지 않고, 기판을 가열하는 실용적인 기술을 제시한다.
구체적으로, 본원발명의 기판 가열 장치는 로드-로크 챔버의 내부를 제1 및 제2 영역의 2개의 영역으로 분리하는 파티션을 포함한다. 기판이 이송되는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기되는 동안, 파티션에 구비된 내부 개구부는 파티션 밸브에 의해 폐쇄된다. 파티션 밸브가 개방된 후에, 캐리어는 내부 개구부를 통하여 기판을 반송하여서, 제1 영역에 배치된 열체상에 기판을 접촉시킨다. 본원발명의 다른 기판 가열 장치에 있어서는, 파티션 밸브가 개방된 후에, 캐리어는 내부 개구부를 통하여 열체를 반송하여서, 제2 영역에서 열체상에 기판을 접촉시킨다. 또한 본원발명은 이송 챔버, 및 그 이송 챔버의 주변에 모두 구비된 로드-로크 챔버 및 처리 챔버를 포함하는 기판 처리 시스템을 제시한다. 로드-로크 챔버에서의 구조는 기판 가열 장치 중 어느 하나에서와 동일하다.
(바람직한 실시예의 상세한 설명)
본원발명의 바람직한 실시예를 이하 설명한다. 도 1에 도시된 실시예의 장치는 펌핑 라인을 포함하는 진공 챔버(1), 및 진공 챔버내에 구비되고 기판을 접촉시켜 가열하는 열체(2)를 포함한다.
1쌍의 파티션(31, 32)이 진공 챔버(1)내에 구비되어, 진공 챔버(1)의 내부를 3개의 영역(101, 102, 103)으로 분리한다. 파티션(31, 32)은 수평으로 상부 및 하부에 배치되어 있다. 이하, 상부 파티션(31)과 하부 파티션(32) 사이의 영역(102) 은 "중앙 영역"이라 부른다. 상부 파티션(31) 위의 영역(103)은 "상부 영역"이라 부른다. 하부 파티션(32) 아래의 영역(101)은 "하부 영역"이라 부른다.
열체(2)는 대기하고 있을 때에는 하부 영역(101)에 배치되어 있다. 열체(2)는 스테이지-형상 부재이고, 기판(9)이 놓여져 가열된다. 열체(2)는 널빤지-형상의 본체 베이스(26) 및 본체 베이스(26)상에 고정된 수용부(22)를 포함한다. 기판(9)은 수용부(22)상에 놓인다. 수용부(22)는 본체 베이스(26)보다 더 작다. 저항 가열 타입의 히터(27)는 본체 베이스(26)내에 구비되어 있다.
열체(2)는 진공 챔버(1)의 외부에 구비된 본체 캐리어(21)에 의해 반송될 수 있다. 열체(2)는 기둥(25)에 의해 지지된다. 기둥(25)이 삽입되는 홀은 진공 챔버(1)의 최하부벽에 형성되어 있다. 브래킷(23)은 진공 챔버(1)의 아래에 위치한 기둥의 최하단에 구비되어 있다.
본체 캐리어(21)는 브래킷(23)에 고정된 피동 나사(211), 피동 나사(211)와 맞물리는 구동 나사(212), 및 구동 나사(212)를 회전시키는 모터(213)를 포함한다. 피동 나사(211)와 구동 나사(212)는 소위 "정밀 기어 메카니즘"을 구성한다. 구동 나사(212)는 수직으로 길게 되어 있고 고정부재(214)에 의해 진공 챔버(1)의 최하부벽에 고정되어 있다. 구동 나사(212)는 수직축 둘레로 회전할 수는 있지만 승강할 수는 없다. 모터(213)는 일종의 서보 모터인데, 구동 나사(212)를 회전시켜 피동 나사(211)를 상승 및 하강시킴으로써, 브래킷(23), 기둥(25), 및 열체(2)를 함께 상승 및 하강시킨다. 벨로(24)는 진공 챔버(1)의 최하부벽상에 아랫방향으로 구비되어 있다. 벨로(24)의 상단은 기둥(25)이 삽입되는 홀의 가장자리를 둘러싸 고 있다. 벨로(24)는 홀을 통한 진공의 누설을 방지한다.
본 장치는 열교환 가스 도입 라인(4)을 포함한다. 열교환 가스 도입 라인(4)은 열체(2)와 놓여진 기판(9)과의 사이의 경계면내로 가스를 도입함으로써, 그들 사이의 열교환을 강화한다. 서로 접촉하고 있는 기판(9)의 후면과 수용부(22)의 상면은 완전하게 평탄한 것은 아니고 미소하게 거칠다. 따라서, 그들 사이의 경계면에는 미소 공간이 형성된다. 그들 공간은 낮은 진공 압력에 있을 때 우수하지 못한 열 전도성을 갖게 된다. 따라서, 열교환을 위한 가스가 그들 공간에 압력을 증가시키기 위해 도입됨으로써 열교환 효율을 증가시킨다. 열교환 가스로서는, 헬륨 등 열 전도계수가 높은 가스가 사용된다.
수용부(22)의 상면에는 오목부(221)가 구비되어 있다. 오목부(221)는 평면도로 볼때 기판(9)보다 조금 더 작다. 오목부(221)는 놓여진 기판(9)에 의해 막혀진다. 열교환 가스는 막혀진 오목부(221)내로 도입된다. 열교환 가스 도입 채널(41)은 기둥(25) 및 브래킷(23)에 구비되어 있다. 열교환 가스 도입 채널(41)은 기둥(25) 및 브래킷(23)을 관통하여 열체(2)에서 오목부(221)와 통하고 있다. 열체(2)에서의 열교환 가스 도입 채널(41)은 다수의 방사상 수평 방향으로 분기한다. 각각의 방사상 채널(41)은 윗방향으로 구부러져 오목부(221)에 도달한다.
봄(bomb; 도시되지 않음)과 통하고 있는 파이프(42)는 열교환 가스 도입 채널(41)에 연결되어 있다. 파이프(43)에는 밸브(44) 및 가스 플로 제어기(도시되지 않음)가 구비되어 있다. 필터(도시되지 않음)는 파이프(43)에 구비되어 이물질 및 오염물을 제거한다.
중앙 영역(102)과 동일한 높이의 위치에 진공 챔버(1)의 벽에는 개구부(11)가 구비되어 있다. 개구부(11)는 기판(9)을 반입 및 반출하기 위한 것으로, 이하 "이송 개구부"라 부른다. 이하 "이송 밸브"라 불리는 밸브(12)는 이송 개구부(11)를 폐쇄하도록 구비되어 있다. 가스 플로 스크리닝 메카니즘이 이송 개구부(11)에 구비되어 있다. 가스 플로 스크리닝 메카니즘은 이송 개구부(11)로 다소 내부 평면을 따라 스크리닝을 위한 가스의 플로를 만든다. 챔버(1)의 측벽은 이송 개구부(11)보다 더 높이 위치한 부분에 스크리닝 가스 공급 채널(51)을 갖는다. 이하 "가스 출구"라 불리는 채널(51)의 출구는 이송 개구부(11)의 상부 에지 가까이에 위치하고 있다. 가스 출구는 슬롯 형상이고 이송 개구부(11)의 폭 방향으로 길게 되어 있다. 가스 플로 스크리닝 메카니즘은 가스 출구를 통하여 스크리닝 가스를 공급하고, 가스 출구로부터 가스의 스크린 형상 플로를 만든다. 이러한 스크린 형상 플로는 습기, 산소, 및 먼지 등의 원치않는 물질의 침입을 막는다. 질소 또는 아르곤 등의 불활성 가스, 또는 건조 공기가 스크리닝 가스로 사용된다.
리테이너(6)는 중앙 영역(102)에 구비되어 있다. 리테이너(6)는 기판(9)이 열체(2)로부터 그리고 열체(2)로 이송될 때 그것을 임시로 보유한다. 리테이너(6)가 기판(9)을 보유하는 동안, 중앙 영역(102)은 진공 압력으로 배기되는데, 추후 설명한다.
도 2에 도시된 바와 같이, 리테이너(6)는 4개의 소형 블레이드(60)를 포함한다. 블레이드(60)는 평면적으로 볼때 좁고 직사각형이며, 직사각형의 코너에 대응하는 위치에 위치하고 있다. 기판(9)은 도 2의 화살표에 의해 지정된 방향으로 이 송되고, 블레이드(60)에 놓여 보유된다. 각각의 블레이드(60)는 행어(61)에 의해 챔버(1)의 상부벽으로부터 걸려있다. 도 1에 도시된 바와 같이, 각각의 블레이드(6)내에는 히터(62)가 구비되어 있다. 또한 이러한 히터(62)는 저항 가열 타입일 수 있다.
도 1에 도시된 바와 같이, 상부 영역(103)에는 커버(7)가 구비되어 있다. 커버(7)는 가열 동안 기판(9)을 덮기 위한 것이다. 커버(7)는 얕은 컵 형상이고, 그 개구부를 아래방향으로 향하고 있다. 커버(7)의 개구부는 수용부(22)의 평면 단면적보다 더 크다. 커버(7)의 내면은 방사선을 반사하는 리플렉터이다. 리플렉터는 표면상에 반사막의 퇴적 또는 표면의 미러-폴리싱에 의해 형성된다.
커버(7)는 진공 챔버(1)의 외부에 구비된 커버 캐리어(71)에 의해 반송될 수 있다. 커버 캐리어(71)는 커버(7)를 상승 및 하강시킨다. 홀더(72)는 커버(7)를 홀딩하기 위해 구비되어 있다. 커버(7)는 홀더(72)의 최하단에 걸려있다. 홀더(72)는 막대 형상이고 수직으로 배치되어 있다. 홀더(72)의 최하단은 상부 영역(103)에서 커버(7)의 상면에 고정되어 있다. 홀더(72)의 상단은 진공 챔버(1)의 위 브래킷(73)에 연결되어 있다.
본체 캐리어(21)와 마찬가지로, 커버 캐리어(71)는 브래킷(73)에 고정된 피동 나사(711), 피동 나사(711)와 맞물리는 구동 나사(712), 및 구동 나사(712)를 회전시키는 모터(713)를 포함한다. 커버(7)는 모터(713)에 의한 회전으로 상승 및 하강된다. 벨로(74)는 진공 챔버(1)의 상부벽상에 윗방향으로 구비되어 있다. 벨로(74)의 최하단은 홀더(72)가 삽입되는 홀의 가장자리를 둘러싸고 있다. 벨로 (74)는 홀을 통한 진공의 누설을 방지한다.
부스팅 가스 도입 라인(75)은 커버(7)에서 가열 효율을 증대시키기 위해 구비되어 있다. 부스팅 가스 도입 라인(75)은 가열동안 커버(7)의 내부에 가스를 도입함으로써, 기판(9) 주위의 압력을 부스팅한다. 부스팅 가스 공급 채널(751)은 홀더(72)의 내부에 형성되어 있다. 부스팅 가스 공급 채널(751)은 커버(7)의 중앙에 형성된 가스 입구와 통하고 있다. 부스팅 가스 도입 라인(75)은 부스팅 가스 공급 채널(751)과 가스 봄(도시되지 않음)을 상호연결하는 파이프(752), 및 파이프(752)에 모두 구비된 밸브(753) 및 가스 플로 제어기를 포함한다. 필터(도시되지 않음)는 기판(9)의 오염을 방지하도록 파이프(752)에 구비되어 있다. 부스팅 가스로서는, 헬륨 이외에 질소 또는 아르곤 등의 불활성 가스가 사용될 수 있다.
이러한 실시예의 장치에 있어서, 진공 챔버(1)는 1쌍의 펌핑 라인(13, 14)을 포함한다. 이하 "제1 펑핌 라인"이라 불리는 하나(13)는 하부 영역(101)과 상부 영역(103)을 단독으로 배기시킨다. 이하 "제2 펌핑 라인"이라 불리는 다른 하나(14)는 중앙 영역(102)을 단독으로 배기시킨다.
제1 펑핌 라인(13)은 진공 챔버(1)의 최하부벽에 구비된 배기 홀(15)을 통하여 영역(101, 103)을 배기시킨다. 제1 펌핑 라인(13)은 배기 홀(15)에 근접하여 구비된 메인 밸브(131), 메인 밸브(131)를 통하여 진공 챔버(1)를 배기시키는 진공 펌프(132), 및 펌핑 속도 제어기(도시되지 않음)를 포함한다.
도 3을 참조하여 제1 펌핑 라인에 의한 배기를 위한 구조를 설명한다. 도 3에 도시된 바와 같이, 진공 챔버(1)의 후방벽에는 바이패스 덕트(133)가 연결되어 있다. 진공 챔버(1)의 후방벽에 있어서는, 하부 영역(101) 및 상부 영역과 각각 통하고 있는 바이패스 홀(134, 135)이 구비되어 있다. 바이패스 덕트(133)는 바이패스 홀(134, 135)을 기밀하게 상호연결한다. 하부 영역(101)에 더하여, 제1 펌핑 라인(13)은 바이패스 덕트(133)를 통해 상부 영역(103)을 배기시킨다.
제2 펌핑 라인(14)은 진공 챔버(1)의 측벽 내부에 구비된 배기 채널(141)을 통하여 중앙 영역(102)을 배기시킨다. 배기 채널(141)의 입구는 채널(51)의 가스 출구의 아래에 위치하고 있다. 제2 펌핑 라인(14)은 배기 채널(141)에 연결된 배기 파이프(142)를 통하여 중앙 영역(102)을 배기시키는 진공 펌프(143), 배기 파이프(142)에 구비된 밸브(144), 및 가스 플로 제어기(도시되지 않음)를 포함한다.
열체(2)가 통과할 수 있는 내부 개구부는 하부 파티션(32)에 구비되어 있다. 이러한 내부 개구부는 이하 "하부 밸브"라 불리는 밸브(321)에 의해 폐쇄된다. 커버(7)가 통과할 수 있는 다른 내부 개구부는 상부 파티션(31)에 구비되어 있다. 이러한 내부 개구부는 이하 "상부 밸브"라 불리는 밸브(311)에 의해 폐쇄된다.
상기 장치는 다음과 같이 동작한다.
제1 펌핑 라인(13)은 필요한 진공 압력으로 미리 하부 영역(101) 및 상부 영역(103)을 배기시킨다. 가스 플로 스크리닝 메카니즘은 스크리닝 가스의 플로를 만들고 유지한다. 제2 펌핑 라인(14)이 흘러나가는 스크리닝 가스를 배기시키는 동안, 중앙 영역(102)은 대기와 동일 또는 거의 동일한 압력으로 유지된다.
이러한 상태에서, 이송 밸브(12)가 개방된다. 그후, 가열 대상으로서의 기판(9)은 이송 개구부(11)를 통하여 진공 챔버(1)의 중앙 영역(102)내로 이송된다. 이러한 동작에 있어서, 기판(9)은 스크리닝 가스의 스크린 형상의 플로를 돌파하고, 리테이너(6)에 놓여 보유된다. 이러한 이송 동작은 로봇과 같은 자동 메카니즘에 의해 수행되는 것이 전형적이다. 또한, 본원발명에 있어서, 조작자에 의한 수동 처리도 배제되는 것은 아니다.
리테이너(6)상에 놓인 기판(9)은 열체(2)의 바로 위에 있다. 더 바람직하게는, 기판(9)은 열체(2)의 중심 및 기판(9)의 중심이 동일한 수직 라인상에 있는 동축 위치에 놓인다. 기판(9)이 반입된 후에, 이송 밸브(12)는 폐쇄되고, 가스 플로 스크리닝 메카니즘은 동작을 멈춘다. 이후, 제2 펌핑 라인(14)은 중앙 영역(102)을 더 배기시켜, 필요한 진공 압력으로 만든다. 필요하다면 진공 게이지에 의해 중앙 영역(102)이 필요한 압력으로 유지되는 것을 확인한 후에, 하부 밸브(321)가 개방된다. 다음에, 본체 캐리어(21)는 열체(2)를 상승시킨다. 수용부(22)의 상면이 기판(9)에 접촉하여 그것을 조금 들어올린 후에 열체(2)는 멈춰진다. 이러한 승강으로, 기판(9)은 열체(2)상에 놓이고, 리테이너(6)로부터 조금 떠있다.
도 1에 도시된 바와 같이, 슬로프는 수용부(22)의 주변에 형성되어 있다. 각각의 블레이드(60)의 단부는 수용부(22)의 슬로프에 맞는 나이프 에지 형상이다. 각각의 블레이드(60)는 기판(9)이 수용부(22)상으로 건네질 때 슬로프를 따라 위치한다.
수용부(22)상의 오목부(221)는 놓여진 기판(9)에 의해 막혀진다. 그후, 열교환 가스 도입 라인(4)은 열교환 가스의 도입을 시작한다. 결과로서, 오목부(221) 내부의 압력은 증가된다. 열교환 가스 도입 라인(4)은 기판(9)이 수용부 (22)로부터 떠있지 않도록 가스 플로 레이트를 제어한다. 오목부(221)내로 도입된 가스는 수용부(22)와 기판(9)의 경계면에 있는 미소 공간을 통하여 조금씩 누설된다.
다음에, 상부 밸브(311)가 개방된다. 커버(7)는 커버 캐리어(71)에 의해 하강되기 시작한다. 커버(7)는 그 최하단이 본체 베이스(26)의 상면보다 조금 더 높은 위치에 멈춰져, 기판(9) 및 수용부(22)를 덮는다. 열체(2) 위에는 리테이너(6)가 배치되어 있다. 커버(7)는 리테이너(6)의 형상에 맞는 오목부를 갖고 있어 리테이너(6)의 움직임과 간섭하지 않는다. 커버(7)가 기판(9) 및 수용부(22)를 덮은 상태에서, 각각의 블레이드(60)는 각각의 오목부내에 각각 위치한다.
다음에, 부스팅 가스 도입 라인(75)의 동작이 시작된다. 부스팅 가스는 커버(7)의 내부로 도입되어, 그 압력을 증가시킨다. 내부를 채운 후에, 부스팅 가스는 열체(2)의 본체 베이스(26)와 커버(7)의 최하단 사이의 클리어런스로부터 조금씩 누설된다. 부스팅 가스의 도입에 의해, 커버(7) 내부의 압력은 진공 챔버(1)의 다른 영역에 비해 포지티브로, 즉, 더 높게 된다.
이러한 상황을 유지하면서, 기판(9)의 가열은 필요 기간동안 수행된다. 그 기간 후에, 부스팅 가스 도입 라인(75) 및 열교환 가스 도입 라인(4)의 동작은 멈춰진다. 그후, 커버 캐리어(71)는 최초의 대기하고 있는 위치로 커버(7)를 상승시킨다. 또한 본체 캐리어(21)는 최초의 대기하고 있는 위치로 열체(2)를 하강시킨다. 이러한 승강동안, 기판(9)은 다시 리테이너(6)상에 놓인다.
커버(7)가 대기하고 있는 위치로 돌아간 후에, 상부 밸브(311)는 폐쇄된다. 열체(2)가 대기하고 있는 위치로 돌아간 후에, 하부 밸브(321)는 폐쇄된다. 그후, 가스 플로 스크리닝 메카니즘의 동작은 시작된다. 이후, 이송 밸브(12)가 개방되고, 기판(9)은 리테이너(6)로부터 픽업되어 진공 챔버(1)로부터 반출된다. 중앙 영역(102)은 필요하다면 대기 압력으로 환기될 수 있다. 이러한 경우에, 진공 챔버(1)는 환기 가스 도입 라인을 포함한다. 가스 플로 스크리닝 메카니즘에 의한 가스 도입은 이것의 대용일 수 있다.
본원 장치의 상기 동작에 있어서, 리테이너(6)는 내부에 구비된 히터(62)에 의해 통상적으로 가열된다. 이송 밸브(12)가 기판(9)의 반입 및 반출을 위해 개방될 때 대기가 중앙 영역(102)내로 흘러들어온다. 그러나, 리테이너(6)가 가열되기 때문에, 대기내의 습기는 리테이너(6)에 달라붙지 않는다. 따라서, 리테이너(6)의 산화는 방지된다. 습기 부착을 방지하기 위한 온도로서는 50℃ 내지 70℃가 바람직하다.
가열의 특정예를 나타내면, 실리콘 웨이퍼는 스퍼터링 등 박막 퇴적 이전에 예열의 경우에 100℃ 내지 600℃ 범위의 뜨거운 온도에서 가열된다. 하부 영역(101) 및 상부 영역(103)은 통상적으로 10-2Pa 내지 10-4Pa 범위의 진공 압력으로 배기된다. 부스팅 가스에 의해 포지티브로 되는 커버(7) 내부의 압력은 10-2Pa 내지 10-4Pa이고, 진공 챔버(1)내의 다른 영역보다 이 범위에서 조금 더 높다. 열교환 가스가 도입되는 오목부(211)내의 압력은 133Pa 내지 2660Pa의 범위에 있다.
본원 장치의 동작에 있어서, 중앙 영역(102)에서의 환경은 대기 압력과 진공 압력으로 교대로 변환된다. 제2 펌핑 라인(14)상의 진공 펌프(143)는 대기 압력으로부터 진공 압력으로 중앙 영역(102)의 배기를 반복한다. 따라서, 진공 펌프(143)는 로터리 펌프 또는 터보 몰큘러 펌프 등의 러핑 펌프에 사용되는 바와 같이 비교적 높은 압력 범위에서 높은 펌핑 속도를 갖는 것이 바람직하다.
한편, 하부 영역(101) 및 상부 영역(103)은 통상적으로 진공 압력으로 유지된다. 따라서, 제1 펌핑 라인(13)상의 진공 펌프(132)는, 열체(2)의 열적 산화를 방지한다는 점에서, 비교적 낮은 압력 범위에서 높은 펌핑 속도를 갖고 낮은 최종 압력을 갖는 것이 바람직하다. 예를 들어, 펌프(132)는 확산 펌프 또는 극저온 펌프일 수 있다. 제2 펌핑 라인(14)상의 진공 펌프(143)는 제1 펌핑 라인(13)상에서 러프 펌핑에 사용될 수 있다.
상기 실시예의 장치에 있어서, 열체(2)는 이물질의 부착 및 산화가 없다. 따라서, 열체(2)는 오염물의 자원일 수 없고, 기판(9)의 오염없이 가열이 가능하다. 또한, 이러한 결과는 기판(9)이 외부 대기로부터 직접 이송되는 경우에 획득된다. 따라서, 본원 장치는 로드-로크 챔버를 통하여 기판(9)을 이송할 필요가 없는 바, 도 6에 도시된 종래기술의 장치와 뚜렷한 대조를 이룬다. 따라서, 본원 장치는 점유 공간의 절감, 장치 비용의 절감, 및 생산성의 증가와 같은 장점을 갖고, 이는 모두 챔버 수의 감소로부터 기인하는 것이다.
상기 장점은 중앙 영역(102)에 리테이너(6)가 구비되어 있다는 것과 더 관련된다. 리테이너(6)가 없으면, 기판(9)은 도 6에 도시된 종래기술의 장치에서와 같이 열체(2)의 이동에 의해 열체(2)로 건네질 것이다. 이러한 경우에 있어서, 뜨거 운 온도의 열체(2)는 이송 개구부(11)를 통하여 흐르는 대기에 노출될 수 밖에 없기 때문에, 열체(2)는 산화될 가능성이 매우 높다. 이것은 기판(9)이 리프트 핀에 의해 열체(2)로 건네지는 경우에 있어서도 동일하다. 이송 밸브(12)가 폐쇄되고 중앙 영역(102)이 하부 밸브(321)에 의해 하부 영역(101)으로부터 격리되는 상태에서 기판(9)을 보유하는 수단이 없으면, 하부 영역(101)은 중앙 영역(102)이 필요한 진공 압력으로 충분하게 배기되기 전에 중앙 영역(102)과 통하게 되어, 열체(2)가 산화되는 문제를 일으킬 것이다. 그러나, 본원 실시예의 장치는 리테이너(6)를 포함하고 있기 때문에 상기의 문제점을 갖고 있지 않다.
더하여, 가열은 램프 히터로부터의 방사에 의해서가 아니라 기판(9)과 접촉하는 열체(2)에 의해서, 즉, 열의 접촉 전도에 의해서 수행되기 때문에, 기판(9)의 표면의 상태 또는 물질에 의존하지 않는다. 즉, 그것은 광범위하게 사용될 수 있는 이점을 갖는다. 또한, 부스팅 가스가 부스팅 가스 도입 라인(75)에 의해 커버(7)의 내부로 도입되어 그 압력을 증가시키기 때문에, 기판(9)은 가열 동안 높은 압력 대기에 놓인다. 따라서, 가열은 대류를 포함하는 가스 분자 열 전도를 더 이용하여 수행된다. 따라서, 가열 효율이 더 향상된다. 또한, 가열 동안의 커버(7) 내부의 압력 증가는 기판(9)의 오염이 더 방지되는 이점을 갖게 한다. 가열동안 커버(7) 내부의 포지티브 압력은 중앙 영역(102)에 습기, 먼지, 및 다른 오염물이 존재하더라도 그 침입을 막는다. 따라서, 기판(9)은 산화 및 오염이 방지된다.
커버(7)의 내면이 리플렉터이기 때문에, 열체(2) 및 기판(9)으로부터의 방사선은 그 면에서 반사되어 기판(9)에 도달한다. 따라서, 가열 효율이 더 향상된다. 더하여, 열교환 가스 도입 라인(4)은 열체(2)와 기판(9)의 경계면내로 열교환 가스를 도입하기 때문에, 그들 사이의 열교환율이 향상되고, 따라서, 가열 효율을 더 향상시킨다.
커버(7)는 대기하고 있을 때 통상적으로 진공 압력으로 유지되는 상부 영역(103)에 배치되어 있기 때문에, 커버(7)를 통한 기판(9)의 오염도 방지된다. 커버(7)가 대기하고 있을 때 중앙 영역(102)에 배치되어 있다면, 중앙 영역(102)이 대기에 개방될 때 대기의 습기 또는 먼지가 커버(7)에 부착할 것이다. 부착된 습기 또는 먼지는 표면으로부터 떨어져나와, 가열동안 커버(7)가 기판(9)을 덮을 때 기판(9)을 오염시킬 것이다. 본원 실시예의 장치는 이러한 문제도 없다.
상기한 바와 같이, 하부 영역(101) 및 상부 영역(103)은 통상적으로 제2 펌핑 라인(13)에 의해 배기되고, 대기 압력 및 진공 압력이 교대로 반복되는 중앙 영역(102)은 제2 펌핑 라인(14)에 의해 배기된다. 이러한 구조는 각각의 펌핑 라인(13, 14)이 특정 목적에 최적화될 수 있는 장점을 갖는다. 하부 영역(101) 및 상부 영역(103)이 펌핑 라인(13)에 의해 단독으로 배기되는 구조는 배기 구조를 단순화하고 장치 비용을 절감하는 장점을 갖게 한다.
본원 명세서에서 "통상적으로 진공 압력으로 유지되는"과 같은 문장에서 나타나는 "통상적으로"라는 말은 "장치가 통상적으로 동작하는 동안의 기간에"를 의미한다. 달리 말하면, 이것은 "장치가 정상적으로 동작하는 동안의 모든 시간에"로 표현될 수 있다. 장치의 동작이 유지보수를 위해 중지될 때, 예를 들어, 하부 영역(101) 및 상부 영역(103)은 진공 압력이 아니라 대기 압력으로 환기된다. 이 러한 상황에 있어서는, 히터(62)에 의한 리테이너(6)의 가열도 중지된다.
멀티-챔버 기판 처리 시스템을 그 바람직한 실시예에 대하여 이하 설명한다. 도 6에 도시된 종래기술의 시스템뿐만 아니라 도 4 및 도 5에 도시된 시스템도 클러스터-툴 타입에 속한다. 도 4에 도시된 바와 같이, 이송 챔버(81)는 중앙에 구비되어 있고, 주변에는 처리 챔버(84) 및 로드-로크 챔버(83)가 구비되어 있다. 처리 챔버(84) 및 로드-로크 챔버(83)는 이송 챔버(81)에 기밀하게 연결되어 있다.
이러한 시스템에 있어서, 기판(9)은 로드-로크 챔버(83)에서 가열된다. 구체적으로, 로드-로크 챔버(83)의 구조 및 컴포넌트는 로드-로크 챔버(83)가 그 양측벽에 이송 개구부(831) 및 이송 밸브(832)를 각각 포함한다는 것을 제외하고는 도 1 내지 도 3에 도시된 기판 가열 장치에서와 거의 동일하다. 하나의 이송 개구부(831)는 외부 대기로부터 그리고 외부 대기로의 기판 이송을 위한 것이고, 다른 하나의 이송 개구부(831)는 이송 챔버(81)로부터 그리고 이송 챔버(81)로의 기판 이송을 위한 것이다.
로드-로크 챔버(83)의 구조는 도 1 내지 도 3에 도시된 기판 가열 장치의 챔버(1)와 거의 동일하므로 그 상세한 설명은 생략한다. 이송 챔버(81)내에 구비된 이송 로봇(811)은 기판(9)을 이송하기 위한 멀티-조인트 타입 암의 상부에 기판(9)을 홀딩하는 것이다. 이송 로봇(811)은 예를 들어 먼지를 내지 않는 진공 환경에서의 사용에 최적화된 것이 바람직하다. 처리 챔버(84)에 대한 구조는 내부에서 수행되는 처리에 따라 최적화된다. 다층막이 퇴적되는 경우에 있어서는, 예를 들어, 각각의 층을 퇴적하는 각각의 수단이 각각의 처리 챔버(84)에 각각 구비된다. 미처리된 또는 처리된 기판(9)이 저장되는 카세트(86)는 대기 외부에 구비되어 있다. 오토 로더(85)는 카세트(86)와 로드-로크 챔버(83)의 사이에서 기판(9)을 이송하기 위해 구비되어 있다.
이러한 시스템에 있어서, 기판(9) 중 어느 하나는 오토 로더(85) 중 어느 하나에 의해서 카세트(83) 중 어느 하나로부터 로드-로크 챔버(83) 중 어느 하나로 이송되고, 그후, 열체에 의해 가열된다. 가열된 기판(9)은 이송 로봇(811)에 의해 로드-로크 챔버(83)로부터 처리 챔버(84) 중 어느 하나로 이송된다. 처리 챔버(84)에서의 처리 후에, 기판(9)은 차례로 다른 처리 챔버(84)로 이송된다. 모든 처리가 끝난 후에, 기판(9)은 로드-로크 챔버(83) 중 어느 하나를 통해 카세트(86) 중 어느 하나로 반환된다. 처리된 기판(9)을 카세트(86)로 반환함에 있어서는, 이송 로봇(811)이 기판(9)을 리테이너상에 놓은 후에, 오토 로더(85) 중 어느 하나가 리테이너(6)로부터 기판(9)을 수용하여 그것을 로드-로크 챔버(83)로부터 카세트(86)로 가져간다. 처리 후 기판(9)이 가열되면, 기판(9)은 상기한 바와 동일한 움직임에 의해 수용부로 건네진다. 가열된 기판(9)은 상기한 바와 동일한 움직임에 의해 리테이너를 통해서 반출된다.
본원 실시예의 멀티-챔버 기판 처리 시스템은 기판(9)이 로드-로크 챔버(83)내에서 가열되기 때문에 기판(9)을 가열하기 위한 어떠한 별도의 챔버도 필요하지 않다. 따라서, 본원 시스템은 챔버 수의 감소에 의해 점유 공간 절감 및 비용 절감의 장점을 갖는다. 또한, 본원 시스템은 가열 챔버를 위한 공간에 별도의 처리 챔버가 구비될 수 있기 때문에 많은 단계를 포함하는 복잡한 처리시 특히 이롭다.
본원발명의 멀티-챔버 기판 처리 시스템은 클러스터-툴 타입 대신에 인라인 타입 중 어느 하나일 수도 있다. 인라인 타입의 시스템은 다수의 챔버가 1라인에 구비되어 있는 구조를 갖는다. 또한, 이러한 타입에 있어서, 기판은 처음에 로드-로크 챔버내로 이송되어 거기서 가열된다.
상기 실시예에 있어서, 제2 영역은 제1 영역과 제3 영역에 의해 샌드위치된다. 즉, 제1 영역은 하부 영역(101)이고, 제2 영역은 중앙 영역(102)이고, 제3 영역은 상부 영역(103)이다. 이점은 본원발명에 있어서 전혀 제한적인 것이 아니다. 열체가 대기하고 있을 때 배치되어 있는 영역이 상측에 있고, 커버가 대기하고 있을 때 배치되어 있는 영역이 하측에 있는 것도 가능하다. 제1 및 제3 영역은 제2 영역의 측면에 위치하여 그것을 샌드위치할 수도 있다. 이러한 구조는 직립하는 기판(9)이 진공 챔버내로 이송되는 경우에 실용적이다.
상기 실시예에 있어서는, 열체(2)가 이동되어 기판(9)과 접촉되었다. 그 대신에, 기판(9)이 이동되어 열체(2)와 접촉될 수도 있다. 이러한 경우에 있어서, 내부 개구부는 기판(9)이 그것을 통과할 수 있는 것이다. 리테이너(6)를 그 위에 놓인 기판(9)과 반송하는 메카니즘이 구비될 수도 있다. 이러한 경우에 있어서, 내부 개구부는 리테이너 및 기판(9)이 그것을 통과할 수 있는 것이다. 이러한 메카니즘은 리테이너(6)로부터 열체(2)로 기판(9)을 건넨다. 기판(9)을 수용하기 위해 상승 및 하강할 수 있는 다수의 핀이 구비될 수도 있다. 기판(9)을 수용한 후에, 핀은 하강되어 기판(9)을 열체(2)상에 접촉시킨다.
기판(9)을 덮기 위해 커버(7)를 반송하는 대신에, 커버(7)가 기판(9)을 덮는 위치에 기판(9)과 열체(2)가 함께 반송될 수도 있다. 구체적으로, 본체 캐리어(21)는 커버(7)가 기판(9)을 덮는 위치로 열체(2)가 상승될 수 있도록 설계될 수도 있다. 이러한 구조에 있어서는, 열체(2)의 이동과 간섭하지 않도록 리테이너(7)를 후퇴시키는 메카니즘이 존재한다.
상기 각각의 실시예는 1쌍의 펌핑 라인을 포함하고 있지만, 단 하나의 펌핑 라인만이 구비되어 공통으로 사용될 수도 있다. 이러한 경우에 있어서, 각각의 영역은 각각의 배기 파이프에 구비된 각각의 밸브의 개폐 동작에 의해 최적의 타이밍에 배기된다.
본원발명에 의하면, 기판 오염을 수반하지 않고, 챔버의 수를 증가시킬 필요가 없고, 기판의 표면 상태 및 물질에 의존하지 않고, 기판을 가열할 수 있다.

Claims (28)

  1. 펌핑 라인을 포함하는 진공 챔버;
    진공 챔버내에서 접촉된 기판을 가열하는 열체;
    진공 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 열체가 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    진공 챔버의 벽에 구비된 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 상기 이송 개구부;
    이송 개구부를 폐쇄하는 이송 밸브;
    진공 챔버의 제2 영역에서 기판을 보유하는 리테이너; 및
    내부 개구부를 통하여 열체를 반송하는 캐리어;를 포함하고,
    이송 개구부 및 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인에 의해 진공 압력으로 배기되고,
    펌핑 라인은 기판이 제2 영역내로 이송되고 이송 밸브에 의해 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 열체를 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 기판 가열 장치.
  2. 펌핑 라인을 포함하는 진공 챔버;
    진공 챔버내에서 접촉된 기판을 가열하는 열체;
    진공 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 기판이 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    진공 챔버의 벽에 구비된 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 상기 이송 개구부;
    이송 개구부를 폐쇄하는 이송 밸브;
    진공 챔버의 제2 영역에서 기판을 보유하는 리테이너; 및
    내부 개구부를 통하여 기판을 반송하는 캐리어;를 포함하고,
    이송 개구부 및 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인에 의해 진공 압력으로 배기되고,
    펌핑 라인은 기판이 제2 영역내로 이송되고 이송 밸브에 의해 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 대기하고 있는 때 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 기판을 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 기판 가열 장치.
  3. 제1항에 있어서, 리테이너를 가열하는 히터를 더 포함하고, 그로써 습기 부착을 방지하는 것을 특징으로 하는 기판 가열 장치.
  4. 제2항에 있어서, 리테이너를 가열하는 히터를 더 포함하고, 그로써 습기 부착을 방지하는 것을 특징으로 하는 기판 가열 장치.
  5. 제1항에 있어서, 열체상에 접촉된 기판을 덮는 커버, 및 가스를 커버의 내부에 도입하여 그 압력을 증가시키는 가스 도입 라인을 더 포함하는 것을 특징으로 하는 기판 가열 장치.
  6. 제2항에 있어서, 열체상에 접촉된 기판을 덮는 커버, 및 가스를 커버의 내부에 도입하여 그 압력을 증가시키는 가스 도입 라인을 더 포함하는 것을 특징으로 하는 기판 가열 장치.
  7. 제5항에 있어서, 커버의 내면은 방사선을 반사하는 리플렉터인 것을 특징으로 하는 기판 가열 장치.
  8. 제6항에 있어서, 커버의 내면은 방사선을 반사하는 리플렉터인 것을 특징으로 하는 기판 가열 장치.
  9. 제5항에 있어서,
    진공 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 커버가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    커버를 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 커버를 반송하는 것을 특징으로 하는 기판 가열 장치.
  10. 제5항에 있어서,
    진공 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 기판 및 열체가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    열체와 기판을 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 대기하고 있는 때 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 열체와 기판을 반송하는 것을 특징으로 하는 기판 가열 장치.
  11. 제6항에 있어서,
    진공 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 커버가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    커버를 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 대기하고 있는 때 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 커버를 반송하는 것을 특징으로 하는 기판 가열 장치.
  12. 제6항에 있어서,
    진공 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 기판 및 열체가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    열체와 기판을 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 열체와 기판을 반송하는 것을 특징으로 하는 기판 가열 장치.
  13. 1쌍의 펌핑 라인을 포함하는 진공 챔버;
    진공 챔버내에서 접촉된 기판을 가열하는 열체;
    진공 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 열체가 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    진공 챔버의 벽에 구비된 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 상기 이송 개구부;
    이송 개구부를 폐쇄하는 이송 밸브;
    진공 챔버의 제2 영역에서 기판을 보유하는 리테이너; 및
    내부 개구부를 통하여 열체를 반송하는 캐리어;를 포함하고,
    이송 개구부 및 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인 중 하나에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기되고,
    펌핑 라인 중 다른 하나는 기판이 제2 영역내로 이송되고 이송 밸브에 의해 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 대기하고 있는 때 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 열체를 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 기판 가열 장치.
  14. 1쌍의 펌핑 라인을 포함하는 진공 챔버;
    진공 챔버내에서 접촉된 기판을 가열하는 열체;
    진공 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 기판이 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    진공 챔버의 벽에 구비된 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 상기 이송 개구부;
    이송 개구부를 폐쇄하는 이송 밸브;
    진공 챔버의 제2 영역에서 기판을 보유하는 리테이너; 및
    내부 개구부를 통하여 기판을 반송하는 캐리어;를 포함하고,
    이송 개구부 및 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인 중 하나에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 그리고 대기 외부로 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기되고,
    펌핑 라인 중 다른 하나는 기판이 제2 영역내로 이송되고 이송 밸브에 의해 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 기판을 반송함으 로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 기판 가열 장치.
  15. 이송 챔버;
    모두 이송 챔버의 주변에 구비된 로드-로크 챔버 및 처리 챔버;
    이송 챔버를 통하여 로드-로크 챔버로부터 처리 챔버로 기판을 이송하는 로봇;
    로드-로크 챔버를 배기시키는 펌핑 라인;
    로드-로크 챔버내에서 접촉된 기판을 가열하는 열체;
    로드-로크 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 열체가 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    로드-로크 챔버의 벽에 구비된 제1 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 이송되는 상기 제1 이송 개구부;
    제1 이송 개구부를 폐쇄하는 제1 이송 밸브;
    로드-로크 챔버의 제2 영역에서 기판을 보유하는 리테이너;
    로드-로크 챔버의 다른 벽에 구비된 제2 이송 개구부로서, 그곳을 통하여 기판이 이송 챔버로 이송되는 상기 제2 이송 개구부;
    제2 이송 개구부를 폐쇄하는 제2 이송 밸브; 및
    내부 개구부를 통하여 열체를 반송하는 캐리어;를 포함하고,
    제1 이송 개구부 및 제1 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인에 의해 진공 압력으로 배기되고,
    펌핑 라인은 기판이 제2 영역내로 이송되고 제1 이송 밸브에 의해 제1 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 대기하고 있는 때 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 열체를 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  16. 이송 챔버;
    모두 이송 챔버의 주변에 구비된 로드-로크 챔버 및 처리 챔버;
    이송 챔버를 통하여 로드-로크 챔버로부터 처리 챔버로 기판을 이송하는 로봇;
    로드-로크 챔버를 배기시키는 펌핑 라인;
    로드-로크 챔버내에서 접촉된 기판을 가열하는 열체;
    로드-로크 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 기판이 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    로드-로크 챔버의 벽에 구비된 제1 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 이송되는 상기 제1 이송 개구부;
    제1 이송 개구부를 폐쇄하는 제1 이송 밸브;
    로드-로크 챔버의 제2 영역에서 기판을 보유하는 리테이너;
    로드-로크 챔버의 다른 벽에 구비된 제2 이송 개구부로서, 그곳을 통하여 기판이 이송 챔버로 이송되는 상기 제2 이송 개구부;
    제2 이송 개구부를 폐쇄하는 제2 이송 밸브; 및
    내부 개구부를 통하여 기판을 반송하는 캐리어;를 포함하고,
    제1 이송 개구부 및 제1 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 진공 압력으로 배기되고,
    펌핑 라인은 기판이 제2 영역내로 이송되고 제1 이송 밸브에 의해 제1 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    파티션 밸브는 제2 영역이 펌핑 라인에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 기판을 반송함으 로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  17. 제15항에 있어서, 리테이너를 가열하는 히터를 더 포함하고, 그로써 습기 부착을 방지하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  18. 제16항에 있어서, 리테이너를 가열하는 히터를 더 포함하고, 그로써 습기 부착을 방지하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  19. 제15항에 있어서, 열체상에 접촉된 기판을 덮는 커버, 및 가스를 커버의 내부에 도입하여 그 압력을 증가시키는 가스 도입 라인을 더 포함하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  20. 제16항에 있어서, 열체상에 접촉된 기판을 덮는 커버, 및 가스를 커버의 내부에 도입하여 그 압력을 증가시키는 가스 도입 라인을 더 포함하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  21. 제19항에 있어서, 커버의 내면은 방사선을 반사하는 리플렉터인 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  22. 제20항에 있어서, 커버의 내면은 방사선을 반사하는 리플렉터인 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  23. 제19항에 있어서,
    로드-로크 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    커버를 반송하는 별도의 캐리어;를 더 포함하고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 커버를 반송하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  24. 제19항에 있어서,
    로드-로크 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 기판 및 열체가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    열체와 기판을 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 대기하고 있는 때 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 열체와 기판을 반송하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  25. 제20항에 있어서,
    로드-로크 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    커버를 반송하는 별도의 캐리어;를 더 포함하고,
    커버는 대기하고 있는 때 제3 영역에 배치되어 있고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 커버를 반송하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  26. 제20항에 있어서,
    로드-로크 챔버내 제3 영역을 형성하는 별도의 파티션;
    별도의 파티션에 구비된 별도의 내부 개구부로서, 그곳을 통하여 기판 및 열체가 통과할 수 있는 상기 별도의 내부 개구부;
    별도의 내부 개구부를 폐쇄하는 별도의 내부 밸브; 및
    열체와 기판을 반송하는 별도의 캐리어;를 더 포함하고,
    제3 영역은 펌핑 라인에 의해 진공 압력으로 통상적으로 배기되고,
    별도의 캐리어는 커버가 기판을 덮는 위치로 별도의 내부 개구부를 통하여 열체와 기판을 반송하는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  27. 이송 챔버;
    모두 이송 챔버의 주변에 구비된 로드-로크 챔버 및 처리 챔버;
    이송 챔버를 통하여 로드-로크 챔버로부터 처리 챔버로 기판을 이송하는 로봇;
    로드-로크 챔버를 배기시키는 1쌍의 펌핑 라인;
    로드-로크 챔버내에서 접촉된 기판을 가열하는 열체;
    로드-로크 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 열체가 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    로드-로크 챔버의 벽에 구비된 제1 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 이송되는 상기 제1 이송 개구부;
    제1 이송 개구부를 폐쇄하는 제1 이송 밸브;
    로드-로크 챔버의 제2 영역에서 기판을 보유하는 리테이너;
    로드-로크 챔버의 다른 벽에 구비된 제2 이송 개구부로서, 그곳을 통하여 기판이 이송 챔버로 이송되는 상기 제2 이송 개구부;
    제2 이송 개구부를 폐쇄하는 제2 이송 밸브; 및
    내부 개구부를 통하여 열체를 반송하는 캐리어;를 포함하고,
    제1 이송 개구부 및 제1 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인 중 하나에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기되고,
    펌핑 라인 중 다른 하나는 기판이 제2 영역내로 이송되고 제1 이송 밸브에 의해 제1 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 대기하고 있는 때 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 열체를 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
  28. 이송 챔버;
    모두 이송 챔버의 주변에 구비된 로드-로크 챔버 및 처리 챔버;
    이송 챔버를 통하여 로드-로크 챔버로부터 처리 챔버로 기판을 이송하는 로봇;
    로드-로크 챔버를 배기시키는 1쌍의 펌핑 라인;
    로드-로크 챔버내에서 접촉된 기판을 가열하는 열체;
    로드-로크 챔버의 내부를 제1 및 제2의 2개의 영역으로 분리하는 파티션;
    파티션에 구비된 내부 개구부로서, 그곳을 통하여 기판이 통과할 수 있는 상기 내부 개구부;
    내부 개구부를 폐쇄하는 파티션 밸브;
    로드-로크 챔버의 벽에 구비된 제1 이송 개구부로서, 그곳을 통하여 기판이 대기 외부로부터 이송되는 상기 제1 이송 개구부;
    제1 이송 개구부를 폐쇄하는 제1 이송 밸브;
    로드-로크 챔버의 제2 영역에서 기판을 보유하는 리테이너;
    로드-로크 챔버의 다른 벽에 구비된 제2 이송 개구부로서, 그곳을 통하여 기판이 이송 챔버로 이송되는 상기 제2 이송 개구부;
    제2 이송 개구부를 폐쇄하는 제2 이송 밸브; 및
    내부 개구부를 통하여 기판을 반송하는 캐리어;를 포함하고,
    제1 이송 개구부 및 제1 이송 밸브는 모두 제2 영역에 구비되어 있고,
    제1 영역은 펌핑 라인 중 하나에 의해 진공 압력으로 통상적으로 배기되고,
    제2 영역은 기판이 대기 외부로부터 이송되는 동안 대기 압력으로 있고, 파티션 밸브가 개방되는 동안 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기되고,
    펌핑 라인 중 다른 하나는 기판이 제2 영역내로 이송되고 제1 이송 밸브에 의해 제1 이송 개구부가 폐쇄된 후에 제2 영역을 배기시키고,
    열체는 대기하고 있는 때 제1 영역에 배치되어 있고,
    파티션 밸브는 제2 영역이 펌핑 라인 중 다른 하나에 의해 진공 압력으로 배기된 후에 개방되고,
    캐리어는 파티션 밸브가 개방된 후에 내부 개구부를 통하여 기판을 반송함으로써 기판을 열체상에 접촉시키는 것을 특징으로 하는 멀티-챔버 기판 처리 시스템.
KR1020040067689A 2003-08-28 2004-08-27 기판 가열 장치 및 멀티-챔버 기판 처리 시스템 KR100620272B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00303992 2003-08-28
JP2003303992A JP4540953B2 (ja) 2003-08-28 2003-08-28 基板加熱装置及びマルチチャンバー基板処理装置

Publications (2)

Publication Number Publication Date
KR20050021344A KR20050021344A (ko) 2005-03-07
KR100620272B1 true KR100620272B1 (ko) 2006-09-08

Family

ID=34407802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040067689A KR100620272B1 (ko) 2003-08-28 2004-08-27 기판 가열 장치 및 멀티-챔버 기판 처리 시스템

Country Status (5)

Country Link
US (1) US7019263B2 (ko)
JP (1) JP4540953B2 (ko)
KR (1) KR100620272B1 (ko)
CN (1) CN100343950C (ko)
TW (1) TWI288947B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101328881B1 (ko) 2006-12-07 2013-11-13 엘지디스플레이 주식회사 이온 주입 장치, 이온 주입 방법, 액정표시장치 및 그 제조방법

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
KR100736365B1 (ko) 2005-05-24 2007-07-06 삼성전자주식회사 반도체 제조 장치
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
KR101140546B1 (ko) 2005-08-30 2012-05-02 주성엔지니어링(주) 가스장벽을 가지는 기판제조장치
KR100760019B1 (ko) * 2005-12-09 2007-09-20 주식회사 뉴파워 프라즈마 기판 처리량을 높이기 위한 기판 처리 방법
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7960297B1 (en) * 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
JP2008166729A (ja) * 2006-12-08 2008-07-17 Canon Anelva Corp 基板加熱処理装置及び半導体製造方法
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
KR100901983B1 (ko) * 2007-11-05 2009-06-08 미래산업 주식회사 테스트 트레이 이송장치 및 그를 적용한 테스트 핸들러
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP5003663B2 (ja) * 2008-12-04 2012-08-15 株式会社島津製作所 真空加熱装置
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5478280B2 (ja) * 2010-01-27 2014-04-23 東京エレクトロン株式会社 基板加熱装置および基板加熱方法、ならびに基板処理システム
US8693856B2 (en) * 2010-09-03 2014-04-08 Kla-Tencor Corporation Apparatus and methods for vacuum-compatible substrate thermal management
EP2444993A1 (en) * 2010-10-21 2012-04-25 Applied Materials, Inc. Load lock chamber, substrate processing system and method for venting
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
CN103855054B (zh) * 2012-11-30 2018-08-17 盛美半导体设备(上海)有限公司 工艺腔室
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
CN105575848B (zh) * 2014-10-17 2018-08-28 中微半导体设备(上海)有限公司 真空锁系统及基片处理方法
CN110120360B (zh) * 2014-12-11 2023-01-13 瑞士艾发科技 用于衬底脱气的室
US10109510B2 (en) * 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
TWI644383B (zh) * 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
CN115305460A (zh) * 2022-08-02 2022-11-08 江苏微导纳米科技股份有限公司 半导体处理腔室及pecvd镀膜设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04298062A (ja) * 1991-03-26 1992-10-21 Ngk Insulators Ltd 半導体製造装置
JPH05283500A (ja) * 1991-09-20 1993-10-29 Anelva Corp マルチチャンバ型真空処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5243190B2 (ko) * 1972-12-01 1977-10-28
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JPH0722500A (ja) * 1993-06-29 1995-01-24 Tokyo Electron Ltd 処理装置
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
JPH10242024A (ja) * 1997-02-27 1998-09-11 Dainippon Screen Mfg Co Ltd 基板熱処理装置
KR100286325B1 (ko) * 1997-11-27 2001-05-02 김영환 화학기상증착 장비의 가열장치
US6900413B2 (en) * 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
JP4695297B2 (ja) 2001-06-26 2011-06-08 キヤノンアネルバ株式会社 薄膜形成装置及びロードロックチャンバー
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
JP4133062B2 (ja) * 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6896513B2 (en) * 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04298062A (ja) * 1991-03-26 1992-10-21 Ngk Insulators Ltd 半導体製造装置
JPH05283500A (ja) * 1991-09-20 1993-10-29 Anelva Corp マルチチャンバ型真空処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101328881B1 (ko) 2006-12-07 2013-11-13 엘지디스플레이 주식회사 이온 주입 장치, 이온 주입 방법, 액정표시장치 및 그 제조방법

Also Published As

Publication number Publication date
US20050045616A1 (en) 2005-03-03
CN100343950C (zh) 2007-10-17
JP4540953B2 (ja) 2010-09-08
KR20050021344A (ko) 2005-03-07
TW200512793A (en) 2005-04-01
CN1612288A (zh) 2005-05-04
TWI288947B (en) 2007-10-21
US7019263B2 (en) 2006-03-28
JP2005072525A (ja) 2005-03-17

Similar Documents

Publication Publication Date Title
KR100620272B1 (ko) 기판 가열 장치 및 멀티-챔버 기판 처리 시스템
JP3238432B2 (ja) マルチチャンバ型枚葉処理装置
US5380682A (en) Wafer processing cluster tool batch preheating and degassing method
KR100639765B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
US6949143B1 (en) Dual substrate loadlock process equipment
EP1085557A2 (en) Method and apparatus for heating and cooling substrates
JP2003077976A (ja) 処理システム
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2007035874A (ja) 真空処理システム
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
KR101530024B1 (ko) 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
JP2002261148A (ja) 処理システム及び被処理体の予熱方法
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
JP3604241B2 (ja) 縦型熱処理装置
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
JP2001284276A (ja) 基板処理装置
JP2001127138A (ja) 処理装置及び処理方法
JPH07283288A (ja) 処理装置
JPH11102951A (ja) 処理装置
JP2006269810A (ja) 基板処理装置
JP3160691B2 (ja) 処理装置
JP3666636B2 (ja) 基板の処理装置
JP4167523B2 (ja) 基板処理装置
JP2011006726A (ja) 真空処理装置
JP2003142552A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee