KR100364349B1 - 반도체장치 - Google Patents

반도체장치 Download PDF

Info

Publication number
KR100364349B1
KR100364349B1 KR1019960024227A KR19960024227A KR100364349B1 KR 100364349 B1 KR100364349 B1 KR 100364349B1 KR 1019960024227 A KR1019960024227 A KR 1019960024227A KR 19960024227 A KR19960024227 A KR 19960024227A KR 100364349 B1 KR100364349 B1 KR 100364349B1
Authority
KR
South Korea
Prior art keywords
wiring
film
insulating film
semiconductor device
connection hole
Prior art date
Application number
KR1019960024227A
Other languages
English (en)
Other versions
KR970003541A (ko
Inventor
가즈히데 고야마
Original Assignee
소니 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소니 가부시끼 가이샤 filed Critical 소니 가부시끼 가이샤
Publication of KR970003541A publication Critical patent/KR970003541A/ko
Application granted granted Critical
Publication of KR100364349B1 publication Critical patent/KR100364349B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 Al계 금속배선에 있어서 발생하는 일렉트로마이그레이션에 의한 보이드의 발생을 억제하여 배선의 신뢰성의 향상을 도모할 수 있는 반도체 장치에 관한 것이다.
절연막 (제2 절연막 21)의 일면측에 형성된 도전부 (제1 배선 12)에 제2 절연막(21)에 형성한 접속공(22)을 통하여 접속되며 제2 절연막(21)의 타면측에 형성된 Al계 금속배선의 제2 배선(31)을 가지고, 접속공(22)의 근방에 있어서의 제2 배선(31)에 Al계 금속으로 이루어지는 보상용 패턴(41)을 형성한 것이다.

Description

반도체장치
본 발명은 다층배선구조를 가지는 반도체장치에 관한 것이며, 특히 알루미늄계 금속배선을 가지는 반도체장치에 관한 것이다.
반도체장치의 고집적화에 따라서 칫수룰은 미세화되고, 그 배선프로세스에 있어서는 아스펙트비가 큰 콘택트홀 및 비어홀 (이하, 양자를 총칭하여 접속공이라고 함)을 통하여 배선재료에 의하여 상층배선과 하층배선과를 접속할 필요가 생기고 있다.
상기 접속공을 매입하는 상태로 배선을 형성하는 기술의 하나로 스퍼터링법이 있지만, 일반적으로는, 고아스펙트비의 접속공을 매입하는데 우수한 화학적 기상(氣相)성장 (이하, CVD라고 하고, CVD는 Chemical Vapour Doposition의 약어)법에 의한 고융점 금속의 매입기술이 채용되고 있다.
그 프로세스기술은 고융점 금속의 화학적 기상성장법을 이용하는 것이고, 선택 CVD법에 의한 프로세스, 블랭킷 CVD법과 에치백법을 조합한 프로세스가 알려져 있다. 즉, 고융점 금속을 접속공의 내부에 매입함으로써, 접속공의 내부에 이른바 플러그를 형성하는 방법이다.
또, 알루미늄 (이하, Al이라고 함)계 금속배선의 상면측 및 하면측에 티탄(Ti), 질화티탄(TiN), 산질화티탄(TiON), 티탄텅스텐(TiW) 등의 도전성을 가지는 고융점 금속 또는 고융점 금속화합물을 형성하고, Al계 금속배선이 단선되어도 고융점 금속의 용장(冗長)효과에 의하여 배선 전체가 단선되는 것을 방지하는, 이른바 배리어메탈적층구조가 채용되고 있다.
한편, 접속공을 상층배선재료로 매입하는 방법도 있다. 즉, Al계 금속막을 성막중 또는 성막 후, 열처리하여 접속공내에 유입시키는 Al리플로기술이 개발되어 있다 (그리고, 성막중에 가열하여 접속공내에 유입시키는 방법을 고온스퍼터법, 성막 후에 별도 가열하여 접속공내에 유입시키는 방법을 Al리플로법으로서 구별하는 경우도 있지만, 이하 양자를 포함하여 Al리플로법이라고 한다).
Al리플로법에 의한 접속공을 매입하는 기술은 Al계 금속을 재결정온도 이상 (합금에 따라서 다르지만, 통상은 350℃정도 또는 그 이상) Al계 금속의 융점 이하의 온도범위에 있어서의 소정 온도로 Al계 금속을 가열하는 것을 성막중 또는 성막 후에 행하여 Al계 금속을 유동시킨다. 그리고, 접속공내를 Al계 금속을 유입시킴으로써 접속공을 Al계 금속으로 매입하는 방법이다.
그러나, CVD법에 의하여 접속공의 내부에 고융점 금속을 매입하여 플러그를 형성하는 방법으로 배선구조를 구성한 것에서는, 일렉트로마이그레이션(EM)에 의하여 보이드가 발생한다.
즉, 제7도에 나타낸 바와 같이, Al계 금속으로 이루어지는 하층배선(111),(112)과 Al계 금속으로 이루어지는 상층배선(113)은 배선간의 층간절연막(114)에 형성된 접속공(115),(116)내의 고융점 금속으로 이루어지는 플러그(117),(118)에 의하여 접속되어 있다. 이와 같은 배선구조에 있어서의 전류경로는, 예를 들면 한쪽의 하층배선(112)으로부터 플러그(118)를 통하여 상층배선(113)에 흐르고, 이 상층배선(113)으로부터 플러그(117)를 통하여 하층배선(111)에 흐른다. 도면에서는 전자 e-의 경로를 화살표로 나타낸다. 즉, 전자 e-는 하층배선(111)으로부터 플러그(117)를 통하여 상층배선(113)에 흐르고, 이 상층배선(113)으로부터 플러그(118)를 통하여 하층배선(112)에 흐른다. 이와 같이 전자 e-가 플러그(117),(118)를 통하는 부분이 존재하므로, 그 부분에서 EM현상이 일어난다. 그러므로, 플러그(118)측의 상층배선(114) 및 플러그(119)측의 하층배선(113)에서 Al이 이동하므로, 그 이동한 부분에서 Al이 부족하므로, 보이드(121),(122)가 발생한다. 그러므로, 단선불량이 생겨서, 신뢰성 불량으로 된다.
한편, 배리어메탈적층구조에서도 EM에 의하여 보이드가 발생한다.
즉, 제8도에 나타낸 바와 같이, 주요부가 Al계 금속층(131)으로 이루어지는 하층배선(111),(112)과 주요부가 Al계 금속층(132)으로 이루어지는 상층배선(113)은 배선간의 층간절연막(114)에 형성된 접속공(115),(116)내의 고융점 금속으로 이루어지는 플러그(117),(118)에 의하여 접속되어 있다. 그리고, 하층배선(111),(112)의 각 상면측에는 고융점 금속 또는 고융점 금속 화합물로 이루어지는 배리어메탈층(133),(134)이 형성되고, 상층배선(113)의 하면측에는 고융점 금속 또는 고융점 금속화합물로 이루어지는 배리어메탈층(135)이 형성되어 있다. 이와 같은 배리어메탈적층구조에서는, 상기 제7도에 나타낸 배선구조보다 EM현상에 의한 단선불량은 잘 발생하지 않지만, 전류는 저항이 낮은 Al계 금속층(131),(132)을 흐른다.
예를 들면, 전류가 하층배선(112)으로부터 플러그(118), 상층배선(113), 플러그(117)를 경유하여 하층배선(111)으로 흐르는 경우, 즉 전자 e-는 화살표로 나타낸 바와 같이, 하층배선(111)으로부터 플러그(117), 상층배선(113), 플러그(118)를 경유하여 하층배선(112)으로 흐르는 경우에는, 플러그(117)상의 상층배선(113) 부분 및 플러그(118) 아래의 하층배선(112) 부분에서 EM불량이 발생하므로, 그 부분에서 보이드(123),(124)가 생긴다. 그 결과, 신뢰성 불량으로 된다.
또, Al리플로법에 의하여 접속공내에 Al계 금속을 매입하는 방법으로 배선구조를 구성한 것에서는, 일렉트로마이그레이션 (EM)에 의하여 보이드가 발생한다.
즉, 제9도에 나타낸 바와 같이, Al계 금속으로 이루어지는 하층배선(111),(112)과 Al계 금속으로 이루어지는 상층배선(113)은 배선간의 층간절연막(114)에 형성된 접속공(115),(116)을 통하여 접속되어 있다. 그리고, 통상 상층배선(113)과 바탕의 층간절연막(114)과의 습윤성을 양호하게 하기 위하여, 및 EM내성(耐性)이나 스트레스마이그레이션 (이하, SM라고 함) 내성을 개선하기 위하여 , 상층배선(113)의 하측에는 티탄(Ti), 질화티탄(TiN) 등으로 이루어지는 밀착층 (배리어메탈층도 포함함)(141)이 형성되어 있다. 그 결과, 상기 제8도에서 설명한 구성과 마찬가지로, 예를 들면 전류는 하층배선(112)으로부터 상층배선(113)을 경유하여 하층배선(111)으로 흐른다. 즉, 전자 e-는 화살표로 나타낸 바와 같이, 하층배선(111)으로부터 상층배선(113)을 경유하여 하층배선(112)으로 흐른다. 이와 같은 경우에는, 접속공(115)내의 상층배선(113)의 부분 및 접속공(116)의 아래쪽에있어서의 하층배선(112)의 부분에서 EM불량이 발생한다. 그러므로, 그 부분에서 보이드(125),(126)가 생긴다. 그 결과, 신뢰성불량으로 된다.
일반적으로, EM현상은 금속원자 (이 경우는 Al원자)에 전자가 충돌함 (전자풍력)으로서 야기된다. 배선 전체에 균일하게 EM현상이 발생하고 있으면, Al원자의 농도사태에 변화는 일어나지 않고, 배선중에 보이드는 출현하지 않지만, 실제로는 배선중에 불균일한 부분이 반드시 존재한다.
그리고, 원자의 이동에 가장 극단의 차가 생기는 부분 (Al원자의 유출이 공급에 대하여 가장 큰 부분)이 EM에 의한 불량을 야기한다고 할 수 있다.
상기 제7도∼제9도에 나타낸 접속공내에는, 알루미늄계 금속 이외의 최소한 1 종류 이상의 도전성의 고융점 금속이나 금속간 화합물이 존재하고, 또한 그곳을 전류경로가 가로지르므로, 전자가 도전성의 고융점 금속이나 금속간 화합물로부터 Al계 금속층에 유출하는 계면에 있어서 Al원자가 가장 부족하게 된다. 그러므로, EM불량이 발생한다.
한편, 제10도의 (1)∼(4)에 나타낸 바와 같이, 전자풍력(電子風力)에 의한 EM현상에 의하여 Al원자는, 예를 들면 화살표로 나타낸 방향으로 원자류(原子流)로 되어 흐른다 [도면의 (1)참조]. 그리고, Al원자가 부족한 부분이 존재하면 그 부분이 공핍화(空乏化)되고, 그 주변의 Al계 금속부분과 Al원자가 이동하여 집적된 부분에서 Al원자의 농도차가 생긴다 [도면의 (2)참조]. 그리고, 이 농도차에 따라서 응력구배 [도면의 (3)참조]가 발생한다. 그러므로, Al의 과잉부분으로부터 Al의 부족부분에 역으로 Al원자를 되돌리려고 하는 힘이 작용하여, 응력구배에 의하여유기된 원자류가 발생한다 [도면의 (4)참조]. 이 Al원자의 흐름은 통상 백플로라고 한다. 따라서, 최종적으로 EM현상은 전자풍력에 의하여 생기는 원자의 흐름과 백플로의 차분(差分)으로 생각하지 않으면 안된다.
또, 제11도의 (1)의 개략단면도 및 (2)의 개략 레이아웃도에 나타낸 바와 같이, Al계 금속층(211)과 접속공(212)상의 고융점 금속층(213)과의 계면에서는 Al계 금속층(211)의 Al원자의 부족부분에 향하여 생기는 응력구배는 전류경로 (전류와는 역방향으로 흐르는 전자 e-의 이동경로) 이외에도 존재한다. 그 결과, Al원자의 부족부분에 대하여, Al원자는 이 부족부분의 주위 전체로부터 응력구배에 따라서 공급된다. 그리고, 전류경로 이외의 Al계 금속층(211)이 노광장치의 맞춤여유 정도의 길이 w1 정도 밖에 없으므로, EM시험 후의 접속공 근방을 조사하면, 그 Al계 금속층(211)에 보이드(214)가 관찰되었다.
본 발명은 EM내성이 우수한 Al계 금속배선을 가지는 반도체장치를 제공하는 것을 목적으로 한다.
본 발명은 상기 목적을 달성하기 위하여 이루어진 반도체장치로서, 즉 절연막의 일면측에 형성된 도전부에 이 절연막에 형성한 접속공을 통하여 접속되며 이 절연막의 타면측에 형성된 Al계 금속배선을 가지고, 접속공의 근방에 있어서의 Al계 금속배선에 Al계 금속으로 이루어지는 보상용 패턴을 형성한 것이다.
상기 반도체장치에서는, 접속공의 근방에 있어서의 Al계 금속배선에 Al계 금속으로 이루어지는 보상용 패턴을 형성하였으므로, EM현상에 의하여 접속공 근방의Al계 금속배선중의 Al이 전류가 흐르는 방향과는 반대방향 (전자가 흐르는 방향)으로 이동해도, 보상용 패턴으로부터 Al이 보급되므로, 접속공의 근방에서 보이드가 발생하는 일이 없다.
본 발명의 제1 실시예에 대하여 제1도의 개략구성단면도에 따라서 설명한다.
제1도에 나타낸 바와 같이, 층간절연막으로 될 제1 절연막(11)상에 도전부로 될 제1 배선(12)이 도면의 깊이방향으로 형성되어 있다. 상기 제1 절연막(11)은 도시하지 않은 실리콘기판상에 형성되고, 이 제1 절연막(11)에 의하여, 실리콘기판에 형성되어 있는 예를 들면 소자분리영역, 게이트배선, 소스 드레인영역이 덮여 있다. 또, 제1절연막(11)에는 콘택트홀이 형성되고, 이 콘택트홀내에의 매입프로세스를 거쳐, 상기 제1 배선(12)이 형성되어 있다.
상기 제1 배선(12)은 상층으로부터 차례로 예를 들면 TiN막(13) (예를 들면 막두께 = 100 nm), Ti막(14) (예를 들면 막두께= 10 nm), Al-0.5%Cu로 이루어지는 Al계 금속막(15) (예를 들면 막두께= 500 nm), TiN막(16) (예를 들면 막두께= 20 nm) 및 Ti막(17) (예를 들면 막두께= 20 nm)으로 이루어지는 적층막으로 구성되어 있다.
상기 TiN은 질화티탄, Ti는 티탄, Al-0.5%Cu는 0.5%의 동(Cu)을 함유하는 알루미늄을 나타낸다. 이하 동일하다.
상기 제1 배선(12)을 덮는 상태로 제2 절연막(21)이 형성되어 있다. 이 제2 절연막(21)은, 예를 들면 500 nm의 두께의 산화실리콘으로 이루어진다.
상기 제1 배선(12)상의 상기 제2 절연막(21)에는, 예를 들면 비어홀로 이루어지는 접속공(22)이 형성되어 있다.
그리고, 이 예에서는, 상기 제1 배선(12)은 접속공(22)과의 통상의 맞춤 편차여유 w1 (예를 들면 w1 = 0.15 ㎛)를 고려하여 접속공(22)보다 크게 형성되어 있다.
상기 접속공(22)의 내벽에는 밀착층(23)이 예를 들면 TiN으로 형성되어 있다. 또한, 접속공(22)의 내부에는 도전성의 플러그(24)가 예를 들면 텅스텐으로 형성되어 있다.
또한, 상기 제2 절연막(21)상에는 상기 접속공(22)에 형성한 플러그(24)에 접속하는 제2 배선(31)이 형성되어 있다. 이 제2 배선(31)은 상기 제1 배선(12)과 동일한 구조를 이루고 있으며, 예를 들면 상층으로부터 차례로, TiN막(32) (예를 들면 막두께= 100 nm), Ti막(33) (예를 들면 막두께= 10 nm), Al-0.5%Cu로 이루어지는 Al계 금속막(34) (예를 들면 막두께= 500 nm), TiN막(35) (예를 들면 막두께= 20 nm) 및 Ti막(36) (예를 들면 막두께 = 20 nm)으로 이루어지는 적층막으로 구성되어 있다.
그리고, 이 예에서는 접속공(22)에 대한 제2 배선(31)의 오버랩부분은 통상의 맞춤편차여유 w2 (예를 들면 w2= 0.15 ㎛)로 하였다.
그리고, 상기 제2 배선(31)에는, 이 제2 배선(31)으로부터 제1 배선(12)으로 전류가 흐르는 경로와는 거의 관계가 없는 부분에 보상용 패턴(41)이 형성되어 있다. 상기 보상용 패턴(41)은, 예를 들면 제2 배선과 동일한 구성을 이루고 있다. 따라서, 보상용 패턴(41)의 주요부분에는 제2 배선(31)의 Al계 금속막(34)이 연장되어 형성되어 있다. 또 접속공(22)으로부터의 보상용 패턴(41)의 길이 d는 제2 배선(31)의 맞춤편차여유 w2보다 길게 되어있다.
그리고, 상기 보상용 패턴(41)의 형성위치는 전류경로와는 거의 관계없는 위치이면, 어떤 위치라도 상관없다.
상기 제1 실시예의 배선구조에서는, 접속공(22)의 근방에 있어서의 제2 배선(31)의 Al-0.5%Cu로 이루어지는 Al계 금속막(34)이 연장되어 보상용 패턴(41)이 형성되어 있으므로, 일렉트로마이그레이션(EM)현상에 의하여 접속공(22)의 근방에 있어서의 Al계 금속막(34)의 Al이 전류가 흐르는 방향과는 반대방향 (즉, 화살표로 나타낸 전자 e-가 흐르는 방향)으로 이동해도, 보상용 패턴(41)으로부터 Al이 보급되므로, 접속공(22)의 근방에 있어서의 Al계 금속막(34)에서의 보이드의 발생이 방지된다.
종래는 접속공에 대한 배선의 이른바 오버랩부분은 전류경로의 방향 이외에는 리소그라피공정에 있어서의 노광장치의 맞춤편차여유분 밖에 취하고 있지 않았지만, 본 발명과 같이 전류경로의 방향 이외에 보상용 패턴(41)을 형성함으로써, Al원자의 공급원을 증가할 수 있다. 그러므로, 접속공(22)의 부분에 있어서의 배선 (제2 배선(31))의 EM수명을 연장시키는 것이 가능하게된다.
다음에, 상기 제1도에서 설명한 배선구조의 제조방법을 제2도의 제조공정도에 따라서 설명한다. 도면에서는, 상기 제1도에서 설명한 구성부품과 동일한 구성부품에는 동일부호를 붙인다.
제2도의 (1)에 나타낸 바와 같이, 예를 들면 스퍼터링에 의하여, 층간절연막으로 될 제1 절연막(11)상에, 예를 들면 Ti막(17) (예를 들면 막두께= 20nm), TiN막(16) (예를 들면 막두께 20 nm), 예를 들면 Al-0.5%Cu로 이루어지는 Al계 금속막(15) (예를 들면 막두께= 500 nm), Ti막(14) (예를 들면 막두께= 10 nm) 및 TiN막(13) (예를 들면 막두께= 100 nm)을 차례로 적층하여 제1 적층막(51)을 형성한다.
그리고, 상기 제1 절연막(11)은 도시하지 않은 실리콘기판상에 형성된, 예를 들면 소자분리영역, 게이트배선, 소스·드레인영역 등을 덮는 상태로 형성되고, 콘택트홀 (도시생략)이 개구되어 있다. 그 후, 콘택트홀내에의 매입프로세스를 거쳐, 상기 제1 적층막(51)을 형성한다.
상기 스퍼터링에 의한 Ti막(14),(17)의 성막조건의 일예를 설명한다. 스퍼터링가스로서 유량이 100 sccm [이하, sccm은 표준상태에 있어서의 체적유량 (cm³/분)을 나타냄]의 아르곤(Ar)을 사용하고, 스퍼터링 분위기의 압력을 0.4 Pa, 직류 (DC)파워를 5 kW, 기판가열온도를 150℃로 설정한다.
이어서, 스퍼터링에 의한 TiN막(13),(16)의 성막조건의 일예를 설명한다. 스퍼터링가스로서 유량이 30 sccm의 아르곤(Ar)과 유량이 80 sccm의 질소(N2)를 사용하고, 스퍼터링 분위기의 압력을 0.4 Pa, 직류 (DC)파워를 5 kW, 기판가열온도는 150℃로 설정한다.
다음에, Al계 금속막(15)의 Al- 0.5%Cu의 성막조건의 일예를 설명한다. 스퍼터링가스로서 유량이 100 sccm의 아르곤(Ar)을 사용하고, 스퍼터링 분위기의 압력을 0.4Pa, 직류 (DC)파워를 20 kW, 기판가열온도는 150℃로 설정한다.
그리고, 각 층의 막두께는 스퍼터링시간에 의하여 제어한다.
그 후, 리소그라피기술 (예를 들면 레지스트도포, 노광, 현상, 베이킹 등)과 에칭에 의하여, 제1 적층막(51)의 2점쇄선으로 나타낸 부분을 제거하여, 제1 배선(12)을 형성한다.
이 때의 에칭조건으로서는, 예를 들면 에칭가스에 유량이 60sccm의 3염화 붕소(BC13)와 유량이 90 sccm의 염소(Cl2)를 사용하고, 에칭분위기의 압력을 2 Pa, RF파워를 1.2 kW로 설정한다.
이어서, 제2도의 (2)에 나타낸 바와 같이, CVD법에 의하여, 층간절연막으로 될 제2절연막(21)을 예를 들면 산화실리콘을 500 nm의 두께로 퇴적하여 형성한다. 이어서, 리소그라피기술 (예를 들면 레지스트도포, 노광, 현상, 베이킹 등)과 에칭에 의하여, 상기 제1 배선(12)상의 일부분의 상기 제2 절연막(21)에 비어홀로 이루어지는 접속공(22)을 형성한다.
그리고, 이 예에서는, 상기 제1 배선(12)은 접속공(22)과의 통상의 맞춤 편차여유 w1 (예를 들면 w1= 0.15 ㎛)를 고려하여 접속공(22)보다 크게 형성되어 있다.
상기 제2 절연막(21)의 CVD에 의한 성막조건으로서는, 예를 들면 반응가스에 유량이 250 sccm의 모노실란(SiH4)과 유량이 250 sccm의 산소(O2)와 유량이 100sccm의 질소(N2)를 사용하고, 성막분위기의 압력 13.3 Pa, 성막온도를 410℃로 설정하였다.
또, 접속공(22)을 형성하기 위한 에칭조건으로서는, 예를 들면 에칭가스에 유량이 50sccm의 옥타플루오로 시클로부탄 (C4F8)을 사용하고, 에칭분위기의 압력을 2 Pa, RF파워를 1.2 kW로 설정한다.
그 후, 제2도의 (3)에 나타낸 바와 같이, 스퍼터링에 의하여 밀착층으로 될 TiN막(23)을 성막한다. 또한, 블랭킷텅스텐 CVD에 의하여 텅스텐막을 성막한 후, 이 텅스텐막을 에치백하여, 접속공(22)의 내부에 상기 텅스텐막으로 이루어지는 플러그(24)를 형성한다. 도면에서는, 에치백에 의하여 제2 절연막(21)상에 성막된 TiN막(23)을 제거하는 예를 나타냈다.
상기 블랭킷텅스턴 CVD의 성막조건은, 예를 들면 반응가스에 유량이 80sccm의 6불화텅스텐(WF6)과 유량이 500 sccm의 수소(H2)와 유량이 2800 sccm의 아르곤(Ar)을 사용하고, 성막분위기의 압력을 10.64 kPa, 성막온도를 450℃로 설정한다.
또, 상기 에치백조건으로서는, 예를 들면 에치백가스에 유량이 110 sccm의 6불화황(SF6)과 유량이 90 sccm의 아르곤(Ar)을 사용하고, 에치백분위기의 압력을 35 Pa, RF파워를 275 W로 설정하였다.
이어서, 텅스텐(W) 스퍼터링법에 의하여, 상기 제1 적층막(51)을 형성한 것과 동일하게 하여, 제2 적층막(52)을 상기 플러그(23)상을 포함하는 상기 제2 절연막(21)상에 형성한다.
그 후, 리소그라피기술 (예를 들면, 레지스트도포, 노광, 현상, 베이킹 등)과 에칭에 의하여, 제2 적층막(52)의 2점쇄선으로 나타낸 부분을 제거하여, 남은 제2 적층막(52)으로 제2 배선(31)을 형성하는 동시에, 제2 배선(31)으로부터 제1 배선(12)에 전류가 흐를 때의 전류경로와는 거의 관계가 없는 부분에서 상기 접속공(22)의 근방의 제2 배선(31)에 보상용 패턴(41)을 형성한다. 이 보상용 패턴(41)의 상기 접속공(22)으로부터의 길이 d는 제2 배선(31)의 맞춤편차여유 w2보다 길게 형성한다.
이 때의 제2 적층막(52)의 성막조건은 제1 적층막(51)의 성장조건과 동일하므로 상기 설명을 참조한다. 따라서, 여기에서의 설명은 생략한다. 또, 상기 에칭조건도 제1 적층막(51)의 에칭조건과 동일하므로 여기에서의 설명은 생략한다.
다음에, 상기 보상용 패턴(22)을 형성한 배선구조를 사용한 회로예에 대하여 제3도의 레이아웃도에 따라서 설명한다.
제3도에 나타낸 바와 같이, 예를 들면 표면이 절연성의 기판(81)상에는 복수의 제1 배선(12A),(12B)이 형성되어 있다. 그리고, 도시는 하지 않지만 제1 배선(12A),(12B)을 덮는 상태로 층간절연막(82) (상기 제2 절연막(21)에 상당)이 형성되고, 상기 제1 배선(12A),(12B)상의 층간절연막(82)에는 접속공(22A),(22B),(22C)이 형성되어 있다. 그리고, 상기 층간절연막(82)상에는, 접속공(22A)을 통하여 제1 배선(12A)에 접속하는 제2 배선(31A), 접속공(22B)을 통하여 제1 배선(12B)에 접속하는 제2 배선(31B), 접속공(22C)을 통하여 제1배선(12A)에 접속하는 제2 배선(31C)이 형성되어 있다. 그리고, 각 제2 배선(31A)∼(31C)에는 보상용 패턴(41A)∼(41C)이 형성되어 있다. 그리고, 설명은 하지 않지만, 상기 이외에도 제1 배선(12) 및 제2 배선(31)은 형성되어 있다.
이와 같은 회로에 있어서, 제2 배선(31A)으로부터 제1 배선(12A), 제2 배선(31B)으로부터 제1 배선(12B) 및 제2 배선(31C)으로부터 제1 배선(12A)에 전류가 흘렀을 경우에는, 각 접속공(22A)∼(22C)상의 제2 배선(31A)∼(31C)에서 EM에 의한 보이드의 발생을 각 보상용 패턴(41A)∼(41C)으로부터 Al을 보급함으로써 억제한다. 그러므로, EM수명을 연장하는 것이 가능하게 된다.
상기 설명한 회로구성은, 예를 들면 논리회로의 주변회로로 구성하는 것이 가능하고, 그와 같은 회로에서는 Al계 금속으로 이루어지는 배선의 최소한 한 방향으로 보상용 패턴을 형성하는 것이 가능한 것은 많이 존재한다.
다음에 제2 실시예로서, 상기 제1 실시예와는 역으로 제1 배선으로부터 제2 배선에 전류가 흐르는 배선구조의 일예를 제4도의 개략구성단면도에 따라서 설명한다.
도면에서는 상기 제1도에서 설명한 것과 동일한 구성부품에는 동일부호를 붙인다.
제4도에 나타낸 바와 같이, 층간절연막으로 될 제1 절연막(11)상에 도전부로 될 제1 배선(12)이 형성되어 있다. 이 제1 배선(12)은 상기 제1 실시예에서 설명한 것과 동일한 적층구조를 이루고 있다. 상기 제1 절연막(11)은 도시하지 않은 실리콘기판상에 형성되고, 이 제1 절연막(11)에 의하여, 실리콘기판에 형성되어 있는, 예를 들면 소자분리영역, 게이트배선, 소스·드레인영역이 덮여 있다. 또, 제1 절연막(11)에는 콘택트홀이 형성되고, 이 콘택트홀내에의 매입프로세스를 거쳐, 상기 제1 배선(12)이 형성되어 있다.
그리고, 상기 제1 배선(12)에는, 이 제1 배선(12)중의 전류경로와는 거의 관계가 없는 부분으로 또한 제1 배선(12)상에 형성되는 접속공(22) (상세는 뒤에 설명함)의 근방에 보상용 패턴(42)이 형성되어 있다. 이 보상용 패턴(42)은, 예를 들면 제1 배선(12)과 동일한 재료인 Al계 금속막을 포함하는 적층막으로 이루어지고, 접속공(22)으로부터의 길이 d는 제1 배선(12)의 맞춤편차여유 w1보다 길게 되어 있다.
그리고, 상기 제1 배선(12) 및 상기 보상용 패턴(42)을 덮는 상태로 제2 절연막(21)이 형성되어 있다. 이 제2 절연막(21)은, 예를 들면 500 nm의 두께의 산화실리콘으로 이루어진다.
상기 제1 배선(12)상의 상기 제2 절연막(21)에는, 예를 들면 비어홀로 이루어지는 접속공(22)이 형성되어 있다.
그리고, 이 예에서는, 상기 제1 배선(12)은 접속공(22)과의 통상의 맞춤 편차여유 w2 (예를 들면 w2= 0.15㎛)를 고려하여 접속공(22)보다 크게 형성 되어 있다.
상기 접속공(22)의 내벽에는 밀착층(23)이 예를 들면 TiN으로 형성되어 있다. 또한 접속공(22)의 내부에는 도전성의 플러그(24)가 예를 들면 텅스텐으로 형성되어 있다.
또한, 상기 제2 절연막(21)상에는 상기 접속공(22)에 형성한 플러그(24)에 접속하는 제2 배선(31)이 도면의 깊이방향으로 형성되어 있다. 이 제2 배선(31)은, 예를 들면 상기 제1 실시예에서 설명한 제1 배선과 동일한 구조를 이루고 있다.
그리고, 이 예에서는, 접속공(22)에 대한 제2 배선(31)의 오버랩부분은 통상의 맞춤편차여유 w2 (예를 들면 w2= 0.15㎛)로 하였다.
상기 제2 실시예의 배선구조에서는, 제1 배선(12)이 주로 Al계 금속으로 이루어지고, 접속공(22)의 근방에 있어서의 제1 배선(12)에 주로 Al계 금속으로 이루어지는 보상용 패턴(42)을 형성하였으므로, 일렉트로마이그레이션(EM)현상에 의하여 접속공(22)의 근방에 있어서의 제1 배선(12)의 Al이 전류가 흐르는 방향과는 반대방향 (화살표로 나타낸 전자 e-의 흐르는 방향)으로 이동해도, 보상용 패턴(42)으로부터 Al이 보급되므로, 보이드의 발생이 방지된다.
도시는 하지 않지만, 상기 제1 실시예와 상기 제2 실시예를 조합하여, 제1 배선(12)에 보상용 패턴(42)를 형성하고, 제2 배선(31)에 보상용 패턴(41)을 형성해도 된다. 이와 같은 구성에서는, 전류가 제1 배선(12)으로부터 제2 배선(31)으로 흐르는 경우 및 제2 배선(31)으로부터 제1 배선(12)으로 흐르는 경우의 양쪽에 대하여, EM내성이 얻어진다.
그리고, 상기 제2 실시예의 제조방법은 제1 배선(12)을 형성할 때에 이 제1 배선(12)을 형성하는 적층막으로 보상용 패턴(42)을 형성하는 것과, 제2 배선(31)을 형성할 때에 보상용 패턴(42)을 형성하지 않는 점을 제외하고, 상기 제1 실시예에서 설명한 제조방법과 동일하다.
그리고, 제2 배선(31)에도 보상용 패턴(41)을 형성하는 경우는 보상용 패턴(41)을 형성하는 공정에 관하여 상기 제1 실시예에서 설명한 제조방법을 채용하면 된다.
다음에, 제3 실시예로서, Al고온리플로를 이용한 Al계 금속배선을 제5도의 개략구성단면도에 따라서 설명한다.
제5도에 나타낸 바와 같이, 층간절연막으로 될 제1 절연막(11)상에 도전부로 될 제1 배선(12)이 도면의 깊이방향으로 상기 제1 실시예에서 설명한 것과 동일한 구성으로 형성되어 있다. 상기 제1 절연막(11)은 도시하지 않은 실리콘기판상에 형성되고, 이 제1 절연막(11)에 의하여, 실리콘기판에 형성되어 있는, 예를 들면 소자분리영역, 게이트배선, 소스·드레인영역이 덮여 있다. 또, 제1 절연막(11)에는 콘택트홀이 형성되고, 이 콘택트홀내에의 매입프로세스를 거쳐, 상기 제1 배선(12)이 형성되어 있다.
상기 제1 배선(12)을 덮는 상태로 제2 절연막(21)이 형성되어 있다. 이 제2 절연막(21)은, 예를 들면 500 nm의 두께의 산화실리콘으로 이루어진다.
상기 제1 배선(12)상의 상기 제2 절연막(21)에는, 예를 들면 비어홀로 이루어지는 접속공(22)이 형성되어 있다.
그리고, 이 예에서는, 상기 제1 배선(12)은 접속공(22)과의 통상의 맞춤 편차여유 w1 (예를 들면 w1= 0.15 ㎛)를 고려하여 접속공(22)보다 크게 형성되어 있다.
또한, 상기 접속공(22)의 내부를 포함하는 상기 제2 절연막(21)상에는 제2 배선(31)이 형성되어 있다. 이 제2 배선(31)은, 예를 들면 상층으로부터 차례로, TiN막(61) (예를 들면 막두께= 50 nm), Al-0.5%Cu로 이루어지는 Al계 금속막(62) (예를 들면 막두께= 500 nm), TiN막(63) (예를 들면 막두께= 20 nm), Ti막(64) (예를 들면 막두께= 20 nm)으로 이루어지는 적층막으로 구성되어 있다.
그리고, 이 예에서는, 접속공(22)에 대한 제2 배선(31)의 오버랩부분은 통상의 맞춤편차여유 w2 (예를 들면 w2= 0.15 ㎛)로 하였다.
그리고, 상기 제2 배선(31)에는, 이 제2 배선(31)으로부터 제1 배선(12)으로 전류가 흐르는 경로와는 거의 관계가 없는 부분에서 상기 접속공(22)의 근방에 보상용 패턴(41)이 형성되어 있다. 이 보상용 패턴(41)은, 예를 들면 제2 배선(31)과 동일한 적층막으로 이루어지고, 주요부분은 Al계 금속막(62)으로 형성된다. 그리고, 보상용 패턴(41)의 길이 d는 접속공(22)으로부터의 길이로 되고, 제2 배선(31)의 맞춤편차여유 w2보다 길게 되어 있다.
상기 제3 실시예의 배선구조에서는, 접속공(22)의 근방에 있어서의 제2 배선(31)에 주요부분이 Al계 금속막(62)으로 이루어지는 보상용 패턴(41)을 형성하였으므로, 일렉트로마이그레이션 (EM)현상에 의하여 접속공(22)의 근방에 있어서의 제2 배선(31)의 Al계 금속막(62)의 Al이 전류가 흐르는 방향과는 반대방향 (전자 e-의 흐르는 방향)으로 이동해도, 보상용 패턴(41)으로부터 Al이 보급되므로, 보이드의 발생이 방지된다.
다음에, 상기 제3 실시예의 제조방법에 대하여 제6도의 제조공정도에 따라서 설명한다. 도면에서는, 상기 제1, 제3 실시예에서 설명한 것과 동일한 구성부품에는 동일부호를 붙인다.
상기 제1도의 (1),(2)에서 설명한 것과 동일하게 하여, 제6도의 (1)에 나타낸 바와 같이, 제1 절연막(11)상에 제1 배선(12)을 형성하고, 또한 제2 절연막(21)을 형성한 후, 제1 배선(12)상에 접속공(22)을 형성한다.
그 후, 제6도의 (2)에 나타낸 바와 같이, 스퍼터링에 의하여, 예를 들면 Ti막(64) (예를 들면 막두께= 20 nm), TiN막(63) (예를 들면 막두께= 20 nm)을 성막한다. 그 후, Al의 스퍼터링 및 고온리플로법을 이용하여, Al계 금속막 (예를 들면 Al-0.5%Cu막)(62) (예를 들면 막두께= 500 nm)을 성막한다. 또한, 스퍼터링방법에 의하여, TiN막(61) (예를 들면 막두께 50 nm)을 차례로 적층하여 적층막(65)을 형성한다.
상기 Al-0.5%Cu의 Al계 금속막(62)의 스퍼터링에서는, 공급가스로서 유량이 100 sccm의 아르곤(Ar)을 사용하고, 스퍼터링분위기의 압력을 0.4 Pa, 직류 (DC)파워를 20 kW, 기판가열온도는 150℃로 설정한다. 그리고, 상기 리플로조건은 가열분위기를 아르곤 (Ar)가스중으로 하고, 기판가열온도를, 예를 들면 450℃, 가열시간을 예를 들면 2분으로 설정한다.
또, TiN막(61),(63) 및 Ti막(64)의 성막조건은 상기 제1 실시예에서 설명한 성막조건과 동일하다.
그리고, 상기 적층막(65)은 상기 구성에 한정되지 않고, 또 Al계 금속막(62)은 상기 Al-0.5%Cu막에 한정되는 것은 아니다.
그 후, 리소그라피기술 (예를 들면 레지스트도포, 노광, 현상, 베이킹 등)과 에칭에 의하여, 적층막(65)의 2점쇄선으로 나타낸 부분을 제거하여, 적층막(65)으로 제2 배선(31)을 형성하는 동시에, 제2 배선(31)으로부터 제1 배선(12)에 전류가 흐를 때의 전류경로와는 거의 관계가 없는 부분에서 상기 접속공(22)의 근방의 제2 배선(31)에 보상용 패턴(41)을 형성한다. 이 보상용 패턴(41)의 길이 d는 제2 배선(31)의 맞춤편차여유 w2보다 길게 되어 있다.
또, 상기 제조공정에 있어서는, 바탕의 Ti막(64)의 성막으로부터 Al리플로처리가 종료되기까지는, 고진공 또는 고순도 불활성가스분위기중에 유지되는 것이 바람직하다.
또, Al리플로중에, 성막표면에 고압을 가하는 고압리플로법을 채용하는 것도 가능하다.
상기 제1∼제3 실시예에서는, 제1 배선(12)과 제2 배선(31)과를 접속하는 접속공(22)에 관하여 설명하였으나, 기타의 접속공 (도시생략)으로서, 제1 배선(12)과 바탕의 소자 (예를 들면 트랜지스터의 전극, 확산층 등)와를 접속하는 접속공에 대해서도, 마찬가지로 제1 배선(12)에 보상용 패턴을 형성하는 것은 가능하다.
또, 접속공(22)의 내부의 매입프로세스에 관해서는 상기 프로세스에 한정되는 것은 아니다.
또한, 접속공(22)에서 Al계 금속 이외의 최소한 1 종류 이상의 도전성의 고융점 금속층이나 금속간 화합물층에 전류경로가 가로지르는 부분이 존재하고, 또한 전자가 Al계 금속배선측에 흐르는 구성이면, 동일한 효과를 기대할 수 있다.
이상, 설명한 바와 같이 본 발명에 의하면, 접속공의 근방에 있어서의 Al계 금속배선에 Al계 금속으로 이루어지는 보상용 패턴을 형성하였으므로, EM현상에 의하여 접속공 근방의 Al계 금속배선중의 Al이 전류가 흐르는 방향과는 반대방향 (전자가 흐르는 방향)으로 이동해도, 보상용 패턴으로부터 Al이 보급되므로, 접속공의 근방에서 보이드는 발생하지 않게 된다.
그러므로, Al계 금속배선의 단선불량의 발생이 없어지므로, 그 Al계 금속 배선을 가지는 반도체장치의 신뢰성의 향상을 도모할 수 있다.
제1도는 본 발명의 제1 실시예의 개략구성단면도.
제2도는 제1 실시예의 제조공정도.
제3도는 제1 실시예의 적용예의 설명도.
제4도는 제2 실시예의 개략구성단면도
제5도는 제3 실시예의 개략구성단면도.
제6도는 제3 실시예의 제조공정도.
제7도는 플러그를 구비한 Al 계 금속배선의 과제의 설명도.
제8도는 배리어메탈층을 구비한 Al계 금속배선의 과제의 설명도.
제9도는 Al리플로법에 의한 Al계 금속배선의 과제의 설명도.
제10도는 일렉트로마이그레이션의 설명도.
제11도는 보이드의 관찰예에 따른 보이드발생기구의 설명도.
※ 도면의 주요부분에 대한 부호의 설명
12 :제1 배선, 21 :제2 절연막, 22 : 접속공, 31 : 제2 배선, 41 : 보상용 패턴.

Claims (12)

  1. 반도체 장치의 절연막의 일면측에 배치되고, 상기 절연막의 타면측에 배치된 금속막 도전부에 상기 절연막을 관통하는 접속공을 통하여 연결되어 있는 알루미늄계 금속배선으로서,
    상기 접속공 근처에 구비되어 있으며 상기 도전부에서 상기 접속공을 관통하여 상기 배선으로 이어지는 전류 경로 방향 외측에 배치됨으로써 Al원자의 공급원을 확충하는 알루미늄계 보상용 패턴 및 배선을 포함하는 알루미늄계 금속배선.
  2. 제1항에서,
    상기 접속공으로부터의 상기 보상용 패턴의 길이는 상기 알루미늄계 금속 배선의 맞춤 편차 여유보다 긴 알루미늄계 금속배선.
  3. 기판,
    상기 기판 위에 배치되어 있으며, Al-Cu 재료를 포함하는 금속막을 포함하는 층막을 포함하는 제1 배선,
    상기 제1 배선 위에 구비되어 있으며, 내부에 도전성 플러그가 형성되어 있는 비어홀을 가지는 절연막,
    상기 절연막 위에 배치되어 있고 상기 도전성 플러그에 연결되어 있으며, Al을 함유한 재료를 포함하는 제2 배선, 그리고
    Al을 함유한 재료를 포함하며, 상기 비어홀 근방에 구비되어 있으며 도전부에서 상기 비어홀을 관통하여 상기 제2 배선으로 이어지는 전류 경로 방향 외측에 배치되어 Al 원자의 공급원을 확충하는 보상용 패턴을 포함하는 반도체 장치.
  4. 제3항에서,
    상기 도전성 플러그는 상기 제2 배선의 재료와 다른 재료를 포함하는 반도체 장치.
  5. 제4항에서,
    상기 도전성 플러그는 텅스텐을 포함하는 반도체 장치.
  6. 제3항에서, 상기 제2 배선은 적층막을 포함하는 반도체 장치.
  7. 제6항에서, 상기 제2 배선의 적층막은 Al-Cu 재료를 포함하는 금속막을 포함하는 반도체 장치.
  8. 제3항에서, 상기 제2 배선은 상기 비어홀에 대한 맞춤 편차 여유를 갖고 상기 비어홀과 중첩하는 반도체 장치.
  9. 제8항에서, 상기 여유는 0.15 ㎛인 반도체 장치.
  10. 제8항에서, 상기 비어홀로부터의 상기 보상용 패턴의 길이는 상기 여유보다 긴 반도체 장치.
  11. 제3항에서, 상기 제1 배선은 상기 비어홀에 대한 상기 제1 배선의 통상적인 맞춤 편차 여유만큼 상기 비어홀보다 넓은 반도체 장치.
  12. 제11항에서, 상기 여유는 0.15 ㎛인 반도체 장치.
KR1019960024227A 1995-06-30 1996-06-27 반도체장치 KR100364349B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-165220 1995-06-30
JP7165220A JPH0917785A (ja) 1995-06-30 1995-06-30 半導体装置のアルミニウム系金属配線

Publications (2)

Publication Number Publication Date
KR970003541A KR970003541A (ko) 1997-01-28
KR100364349B1 true KR100364349B1 (ko) 2003-03-03

Family

ID=15808141

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960024227A KR100364349B1 (ko) 1995-06-30 1996-06-27 반도체장치

Country Status (3)

Country Link
US (1) US5864179A (ko)
JP (1) JPH0917785A (ko)
KR (1) KR100364349B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100403358B1 (ko) * 1997-12-19 2003-12-18 주식회사 하이닉스반도체 반도체 장치의 금속 배선 형성 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US5926736A (en) * 1996-10-30 1999-07-20 Stmicroelectronics, Inc. Low temperature aluminum reflow for multilevel metallization
TW451450B (en) * 1997-04-28 2001-08-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device with a multilayer wiring
US6197685B1 (en) * 1997-07-11 2001-03-06 Matsushita Electronics Corporation Method of producing multilayer wiring device with offset axises of upper and lower plugs
US6171957B1 (en) * 1997-07-16 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device having high pressure reflow process
US5981378A (en) * 1997-07-25 1999-11-09 Vlsi Technology, Inc. Reliable interconnect via structures and methods for making the same
US6417569B1 (en) * 1997-12-11 2002-07-09 Taiwan Semiconductor Manufacturing Company Fluorine-doped silicate glass hard mask to improve metal line etching profile
JPH11186382A (ja) * 1997-12-19 1999-07-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6906421B1 (en) * 1998-01-14 2005-06-14 Cypress Semiconductor Corporation Method of forming a low resistivity Ti-containing interconnect and semiconductor device comprising the same
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
KR100267106B1 (ko) * 1998-09-03 2000-10-02 윤종용 반도체 소자의 다층 배선 형성방법
JP3353727B2 (ja) * 1998-12-21 2002-12-03 日本電気株式会社 半導体装置の配線構造の形成方法
JP3530073B2 (ja) * 1999-05-25 2004-05-24 株式会社東芝 半導体装置及びその製造方法
US6245675B1 (en) 2000-01-24 2001-06-12 Taiwan Semiconductor Manufacturing Company 3D reservoir to improve electromigration resistance of tungsten plug
US7585764B2 (en) * 2005-08-09 2009-09-08 International Business Machines Corporation VIA bottom contact and method of manufacturing same
DE102006025365B4 (de) * 2006-05-31 2010-10-07 Advanced Micro Devices, Inc., Sunnyvale Teststruktur zum Abschätzen von Elektromigrationseffekten, die durch poröse Barrierenmaterialien hervorgerufen werden

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4433004A (en) * 1979-07-11 1984-02-21 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and a method for manufacturing the same
US5506450A (en) * 1995-05-04 1996-04-09 Motorola, Inc. Semiconductor device with improved electromigration resistance and method for making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100403358B1 (ko) * 1997-12-19 2003-12-18 주식회사 하이닉스반도체 반도체 장치의 금속 배선 형성 방법

Also Published As

Publication number Publication date
JPH0917785A (ja) 1997-01-17
US5864179A (en) 1999-01-26
KR970003541A (ko) 1997-01-28

Similar Documents

Publication Publication Date Title
KR100364349B1 (ko) 반도체장치
US6143672A (en) Method of reducing metal voidings in 0.25 μm AL interconnect
CN112514049A (zh) 在完全对齐的通孔中进行通孔预填充
US20070284736A1 (en) Enhanced mechanical strength via contacts
JPH0514417B2 (ko)
JP2019054153A (ja) 半導体装置の製造方法
KR100640535B1 (ko) 더미 비아 컨택을 가지는 반도체 소자의 다층 구리 배선구조 및 그 형성 방법
JP4653866B2 (ja) 金属配線連結方法
JP2004253688A (ja) 半導体装置及びその製造方法
US5268329A (en) Method of fabricating an integrated circuit interconnection
KR100764054B1 (ko) 금속배선 및 그 형성 방법
JP3087692B2 (ja) 半導体装置の製造方法
JPH05326722A (ja) 半導体装置の製造方法
KR100909176B1 (ko) 반도체 소자의 금속 배선 형성 방법
JPH0621236A (ja) 半導体装置およびその製造方法
US7038317B2 (en) Semiconductor device and method of manufacturing same
JP2994934B2 (ja) 配線の形成方法
JP4954878B2 (ja) 多層配線構造及びその形成方法
KR100190074B1 (ko) 금속배선층 구조 및 그 형성방법
JP2008294403A (ja) 半導体装置
EP0459690A1 (en) Integrated circuit interconnection
KR19990026626A (ko) 반도체 공정의 금속배선 형성방법
KR100195239B1 (ko) 반도체장치의 금속배선층 구조 및 그 형성방법
JP2005057063A (ja) 電子デバイス及びその製造方法
JP2002176098A (ja) 多層配線構造を有する半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131115

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141125

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20151120

Year of fee payment: 14

EXPY Expiration of term