CN112514049A - 在完全对齐的通孔中进行通孔预填充 - Google Patents

在完全对齐的通孔中进行通孔预填充 Download PDF

Info

Publication number
CN112514049A
CN112514049A CN201980046381.8A CN201980046381A CN112514049A CN 112514049 A CN112514049 A CN 112514049A CN 201980046381 A CN201980046381 A CN 201980046381A CN 112514049 A CN112514049 A CN 112514049A
Authority
CN
China
Prior art keywords
metal line
dielectric layer
layer
copper
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980046381.8A
Other languages
English (en)
Inventor
赵烈
阿图尔·科利奇
耶兹迪·多尔迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112514049A publication Critical patent/CN112514049A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

集成电路(IC)中的导电结构包括底部金属线和顶部金属线,其中通孔提供底部金属线和顶部金属线之间的电互连。通孔完全对齐底部金属线和顶部金属线。导电材料填充形成在介电材料中的开口以形成通孔,并且该导电材料与底部金属线直接接触。底部金属线和通孔之间没有扩散阻挡层和/或衬里层。

Description

在完全对齐的通孔中进行通孔预填充
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其优先权的权益的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体器件可以以多层次装置形成,该多层次装置具有通过一个或多个中间介电材料层而彼此绝缘的处于不同层的多个导电结构。可以使用镶嵌或双镶嵌工艺来实现半导体器件中的导电结构的形成。沟槽和/或孔被蚀刻到介电材料中,并且可以衬有一个或多个衬里层和阻挡层。可以将导电材料沉积在沟槽和/或孔中,以形成通孔、触点或其他互连特征,这些通孔、触点或其他互连特征延伸穿过介电材料并且在所述导电结构之间提供电互连。
这里提供的背景技术是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供了一种具有在导电结构中的通孔的设备。该设备包括:第一介电层;在所述第一介电层中形成的第一金属线;在所述第一金属线和所述第一介电层上方的第二介电层;以及在所述第二介电层中或在所述第二介电层上方形成的第二金属线。所述设备还包括:延伸穿过所述第二介电层并且电连接所述第一金属线和所述第二金属线的通孔,其中所述通孔完全对齐所述第一金属线和所述第二金属线,并且其中所述通孔包括与所述第一金属线直接接触的导电材料。
在一些实施方案中,所述第一金属线、所述第二金属线和所述通孔的导电材料中的每一者包含铜或铜合金。在一些实施方案中,所述第一金属线凹陷在所述第一介电层的顶表面下方。在一些实施方案中,所述设备还包括:保形介电层,其设置在所述第一介电层和所述第一金属线上方,其中所述保形介电层位于所述第一介电层和所述第二介电层之间。所述设备还可以包括:选择性介电层,其设置在所述第一介电层上,使得所述第一金属线凹陷在所述选择性介电层的顶表面下方,其中所述保形介电层设置在所述选择性介电层上,并且相对于所述选择性介电层具有大于约10:1的蚀刻选择比。所述通孔可以设置在延伸穿过所述第二介电层和所述保形介电层的沟槽和开口中,其中,所述开口从所述沟槽的底部延伸到所述第一金属线的顶表面。在一些实施方案中,所述通孔的所述导电材料直接接触所述第一金属线,而在所述通孔和所述第一金属线之间没有扩散阻挡层和/或衬里层。在一些实施方案中,所述第二介电层包括介电常数小于约4.0的低k介电材料。所述低k介电材料可以包括多孔有机硅酸盐玻璃(OSG)。在一些实施方案中,所述设备还包括:在所述第二介电层和所述通孔之间的界面处的自形成的阻挡层,其中所述通孔的导电材料包括铜合金。在一些实施方案中,所述通孔部分地接合(land)在所述第一金属线上,以提供在所述第一金属线上的接合部分和在所述第一金属线上之外的未接合部分。
另一方面涉及一种制造导电结构的方法。所述方法包括:接收衬底,所述衬底具有在所述衬底的第一区域中的第一金属线,在所述衬底的所述第一区域之外的第二区域中的选择性介电层,在所述第二介电层和所述第一金属线上的保形介电层,以及在所述第一金属线、所述保形介电层和所述选择性介电层上方的层间电介质,其中,所述保形介电层相对于所述选择性介电层具有等于或大于约10:1的蚀刻选择比。所述方法还包括:形成穿过所述层间电介质和所述保形介电层到达所述第一金属线的顶表面的通孔,其中,所述通孔包括与所述第一金属线直接接触的导电材料。
在一些实施方案中,所述方法还包括:在所述第一金属线上方形成第二金属线,其中,所述通孔在所述第二金属线和所述第一金属线之间提供电互连。所述第一金属线、所述第二金属线和所述通孔中的每一者包含铜或铜合金。在一些实施方案中,形成所述通孔包括:形成穿过所述层间电介质和所述保形介电层的沟槽和开口,其中所述开口从所述沟槽的底部延伸到所述第一金属线的所述顶表面;以及用所述导电材料填充所述开口以形成所述通孔。在一些实施方案中,用所述导电材料填充所述开口包括:通过无电沉积在所述第一金属线上沉积所述导电材料。
另一方面涉及一种制造导电结构的方法。该方法包括:接收衬底,所述衬底具有凹陷在所述衬底的顶表面下方的在所述衬底的第一区域中的第一金属线、在所述第一金属线和所述衬底的所述顶表面上的保形介电层、以及在所述第一金属线和所述保形介电层上方的层间电介质,其中所述保形介电层相对于所述衬底的下伏的介电材料具有等于或大于约10:1的蚀刻选择比。所述方法还包括:形成穿过所述层间电介质和所述保形介电层到达所述第一金属线的顶表面的通孔,其中,所述通孔包括与所述第一金属线直接接触的导电材料。
在一些实施方案中,所述方法还包括:在所述第一金属线上方形成第二金属线,其中,所述通孔在所述第二金属线和所述第一金属线之间提供电互连。所述第一金属线、所述第二金属线和所述通孔中的每一者可以包含铜或铜合金。在一些实施方案中,所述方法还包括:形成穿过所述层间电介质和所述保形介电层的沟槽和开口,其中所述开口从所述沟槽的底部延伸到所述第一金属线的所述顶表面;以及用所述导电材料填充所述开口以形成所述通孔。在一些实施方案中,用所述导电材料填充所述开口包括:通过无电沉积在所述第一金属线上沉积所述导电材料。
这些和其他方面在下面参考附图进一步描述。
附图说明
图1A-1E示出了根据一些实现方案的使用双镶嵌制造工艺的半导体器件结构的示例性制造的横截面示意图。
图2示出了用于导电结构的未接合互连特征的横截面示意图。
图3A-3C示出了根据一些实施方案的形成用于连接金属线的完全对齐的通孔的示例性工艺的横截面示意图。
图4A-4C示出了根据一些其他实施方案的形成用于连接金属线的完全对齐的通孔的示例性工艺的横截面示意图。
图5示出了根据一些实施方案的具有通孔的示例性导电结构的横截面示意图,该通孔是完全对齐的通孔并且与金属线直接接触。
图6示出了根据一些其他实施方案的具有通孔的示例性导电结构的横截面示意图,该通孔是完全对齐的通孔并且与金属线直接接触。
图7A示出了对于具有阻挡层和不具有阻挡层的氧化硅介电层,时变介电击穿寿命随电场的增加而变化的曲线图。
图7B示出了具有阻挡层和不具有阻挡层的有机硅酸盐玻璃介电层的时变介电击穿寿命随电场的增加而变化的曲线图。
图8A-8C示出了根据一些实施方案的具有与铜线直接接触的铜通孔的示例性双镶嵌制造工艺的横截面示意图。
图9A-9B示出了根据一些实施方案的与铜线和自形成的阻挡层直接接触的铜合金通孔的示例性制造工艺的横截面示意图。
图10示出了根据一些实施方案的制造集成电路的导电结构的示例性方法的流程图。
图11示出了根据一些实施方案的制造集成电路的导电结构的示例性方法的流程图。
具体实施方式
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员应理解,术语“部分制造的集成电路”可以指在其上的集成电路制造的许多阶段中的任何阶段的硅晶片。半导体器件工业中使用的晶片或衬底的直径通常为200mm或300mm或450mm。以下详细描述假定本公开在晶片上实现。然而,本公开不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用本公开的其他工件包括各种制品,例如印刷电路板等。
介绍
半导体器件中导电结构的制造通常涉及彼此连接的金属布线。导电结构可以包括跨过整个芯片的距离的线特征(例如,金属线或金属化层),以及连接不同层次中的线特征的互连特征(例如,通孔)。线特征可以包括铜线,并且互连特征可以包括铜通孔。线特征和互连特征可以由作为电绝缘体的层间电介质(ILD)绝缘。
集成电路(IC)制造方法通常涉及将金属沉积到在ILD层中形成的凹陷特征中。沉积的金属提供了在IC内水平和/或竖直延伸的导电路径。形成在相邻ILD层中的金属线可以通过一系列通孔或互连特征相互连接。可以通过称为镶嵌或双镶嵌处理的工艺形成包含通过一个或多个通孔彼此电连接的多条金属线的堆叠件。关于图1A-1E描述了双镶嵌工艺的一个示例。尽管可以在镶嵌处理的背景下呈现以下描述的方法、设备和装置,但是应当理解,本公开的方法、设备和装置不仅仅限于镶嵌处理,并且可以在其他处理方法的背景中使用。
图1A-1E显示了根据一些实施方案的使用双镶嵌制造工艺的半导体器件结构示例制造的横截面示意图。尽管以铜描述了双金属镶嵌的制造工艺,但是应当理解,可以使用其他金属。在图1A中,示出了用于双镶嵌处理的衬底101的示例。在一些实施方案中,衬底101可驻留在承载有源装置(例如,晶体管)的层上,或在包含铜或其他类型的金属化物的下伏金属化层上。在一些实施方案中,衬底101可以是半导体晶片、建立在半导体晶片上或是半导体晶片的一部分。衬底101可以包括第一介电层103。在一些实施方案中,第一介电层103包括掺杂氟或掺杂碳的氧化硅或诸如有机硅酸盐玻璃(OSG)之类的含有机物低k材料。第一介电层103可以包括提供穿过第一介电层103的蚀刻线路径的凹部107,其中凹部107可以包括通孔和沟槽。第一介电层103还可以包括在凹部107的外部的场区域108。可以在衬底表面上形成扩散阻挡层105。扩散阻挡层105可以形成在凹部107内和场区域108中。扩散阻挡层105可以用于保护第一介电层103和下伏的有源器件以避免被铜扩散。扩散阻挡材料的示例包括但不限于钛(Ti)、钽(Ta)、氮化钽(TaN)、氮化钛(TiN)和无氟钨(FFW)。可以通过任何合适的沉积技术将扩散阻挡层105沉积在凹部107和场区域108中,所述合适的沉积技术例如物理气相沉积(PVD)、原子层沉积(ALD)、化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)。
在图1B中,提供了被蚀刻的线路径的凹部107被铜填充。常规地,薄铜籽晶层沉积在扩散阻挡层105上,然后大量电沉积铜以填充凹槽107。这在凹部107内形成第一铜层109。如本文所使用的,诸如第一铜层109之类的金属层也可以称为金属化层、金属线或线特征。被填充的凹部107可以具有等于或大于约2:1,等于或大于约5:1或等于或大于约10:1的深宽比。在一些实施方案中,在填充凹部107之后可以进行诸如化学机械平坦化(CMP)之类的平坦化操作,从而去除任何铜覆盖层(copper overburden)。在一些实施方案中,从第一介电层103的场区域108去除扩散阻挡层105。在一些实施方案中,通过将衬底101暴露于高温来对第一铜层109进行退火。
在图1C中,通过首先在第一介电层103上方沉积第二介电层113和在第二介电层113上方沉积第三介电层,在第一铜层109上方形成后续的金属化层。通常,在第一介电层103和第一铜层109上方沉积阻挡层111,以封装第一铜层109的导电路径。阻挡层111可以包括扩散阻挡层和衬里层中的一者或两者。第二介电层113可以沉积在阻挡层111上,并且通常是低k电介质。第二介电层113可以是双镶嵌结构的一部分。蚀刻停止层115可以沉积在第二介电层113上,并且第三介电层117可以沉积在蚀刻停止层115上方以形成双镶嵌结构的另一部分。在一些实施方案中,第三介电层117可以是低k电介质,并且可以是与第二介电层113相同或不同的材料。
在图1D中,穿过第二介电层113和第三介电层117蚀刻出开口121和沟槽123。可以使用标准光刻技术穿过第二介电层113蚀刻出开口121,并且可以穿过第三介电层117蚀刻出沟槽。开口121可以传播通过蚀刻停止层115、第二介电层113和阻挡层111。
在图1E中,开口121和沟槽123涂覆或衬有扩散阻挡层125,随后用铜填充以形成第二铜层127。扩散阻挡层125可以包括扩散阻挡层和衬里层中的一者或两者,其中扩散阻挡层125可以限制铜扩散到第二介电层113和/或第三介电层117中。使用合适的沉积技术,用铜填充开口121和沟槽123以形成第二铜层127。合适的沉积技术的示例可以包括电镀或化学镀以填充开口121和沟槽123。第一铜层109和第二铜层127被电连接并形成导电路径。填充有铜的开口121可以提供将第二铜层127与第一铜层109电连接的通孔。第一铜层109和第二铜层127形成导电结构,即双镶嵌结构。在一些实施方案中,第二铜层127的上部构成在沟槽123中形成的铜线,并且第二铜层127的下部构成在开口121中形成的铜互连特征(例如,通孔)。
导电结构通常包括跨越整个芯片的距离的线特征和连接不同层次的线的通孔特征。镶嵌或双镶嵌处理可用于连接不同层次的线。为了改善半导体器件的性能,特征尺寸变得越来越小。结果,互连特征和通孔也缩小了。这在制造以及维持器件性能和可靠性期间提出了许多挑战。
通常,当连接不同层次的线时,使用标准的沉积技术和光刻技术。作为说明,常规的光刻技术使用图案化和蚀刻工艺来限定导电结构的特征。在这些工艺中,光致抗蚀剂材料沉积在衬底上,然后暴露于由光罩过滤的光。光罩通常是玻璃板,其被特征几何结构图案化,该特征几何结构阻挡光线传播穿过光罩。在穿过光罩之后,光接触光致抗蚀剂材料的表面并改变光致抗蚀剂材料的化学组成,使得显影剂可以去除光致抗蚀剂材料的一部分。将显影剂施加到光致抗蚀剂材料上以去除光致抗蚀剂材料的一部分。图案化的光致抗蚀剂材料用作掩模以蚀刻下伏的层。
随着特征尺寸的缩小,进行常规光刻工艺的缩放以提供较小的特征尺寸是困难的。这至少部分归因于导电结构中的特征之间的对齐误差或重叠误差。由于掩模可能无法与下伏结构完美对齐,因此在光刻工艺期间总是会产生对齐误差或重叠误差。例如,在光刻工艺中使用光罩的曝光阶段期间,在用于通孔和沟槽的图案化掩模中可能存在几纳米的未对齐。结果,用于连接顶部金属线和底部金属线的通孔可能未对齐。尽管可以通过重新设计光刻工艺来最大程度地减少重叠误差,但是不可避免地会出现一些量的重叠误差。例如,在图1E中,第二铜层127被示为与第一铜层109未对齐。随着特征尺寸的缩小,这种不对齐可能更加明显。
图2示出了用于导电结构的未接合互连特征的横截面示意图。衬底201包括第一介电层203,该第一介电层203具有部分或全部延伸穿过第一介电层203的第一金属线209A和209B。第一金属线209A和209B可以至少衬有第一阻挡层205以限制金属扩散到第一介电层203中。虽然图2示出了用于第一阻挡层205的单层,但是应当理解,第一阻挡层205可以包括多层,例如扩散阻挡层和衬里层。
衬底201还可以包括在第一金属线209A和209B上方的第二金属线227。如本文所使用的,第二金属线227也可以被称为顶部金属线、金属化层、金属层或线特征,并且第一金属线209A和209B也可以被称为底部金属线、金属化层、金属层或线特征。通孔221将第二金属线227连接到下伏的第一金属线209A。第二金属线227和通孔221可以衬有至少第二阻挡层225,以限制金属扩散到周围的介电层(未示出)中。尽管图2示出了用于第二阻挡层225的单层,但是应当理解,第二阻挡层225可以包括多层,例如扩散阻挡层和衬里层。
由于上述的重叠和对齐误差,通孔221部分地“接合”在下伏的第一金属线209A的顶表面上,从而使通孔221更靠近相邻的第一金属线209B。这导致导电特征之间的距离250减小,这意味着在通孔221和相邻的第一金属线209B之间存在较少的绝缘空间。当通孔221部分地接合在下伏的第一金属线209A的顶表面上时,这可以被称为“未接合的通孔”。这可以意指通孔221提供在下伏的第一金属线209A上的接合部分,以及在下伏的第一金属线209A之外的未接合部分。
减小的距离250可能导致不足的短路裕度和降低的时变电介质击穿(TDDB),甚至导致完全短路。TDDB是一种故障模式,由此绝缘层(例如第一介电层203)不再用作典型电场中的适当电绝缘体。TDDB取决于金属特征之间的电场,因为暴露于较高电场的区域更容易受到TDDB故障的影响。电压越高会导致电场越高。TDDB还取决于金属特征之间的间距,因为该间距可以减小到绝缘层无法承受电场的程度,从而导致金属特征之间的意外电导。当绝缘层不能支持工作电场时,最终结果是短路或可靠性降低。由于TDDB降级,未接合的通孔会导致严重的可靠性问题。
自对齐的通孔图案化方案可以使通孔与顶部金属线对齐。然而,这样的图案化方案可能不足以将顶部金属线与底部金属线对齐。完全对齐的通孔图案化方案不仅使通孔与顶部金属线对齐,而且使顶部金属线与导电结构中的底部金属线对齐。换句话说,完全对齐的通孔导致与Mx层次的底部金属线和Mx+1层次的顶部金属线完全对齐的通孔。完全对齐的通孔不重叠地接触底部金属线(Mx)的顶表面,并且不重叠地接触顶部金属线(Mx+1)的底表面。完全对齐的通孔图案化方案还解决了由未接合通孔引起的TDDB降级问题。
完全对齐的通孔图案化方案
以下针对图3A-3C和图4A-4C讨论了完全对齐的通孔图案化方案的两个示例。应理解,图3A-3C和图4A-4C中的完全对齐的通孔图案化方案仅是示例性的,并且本公开不限于这些图案化方案,而是本公开可以应用于其他完全对齐的通孔图案化方案。
图3A-3C示出了根据一些实施方案的形成用于连接金属线的完全对齐的通孔的示例性过程的横截面示意图。在图3A中,衬底301包括第一介电层303。第一介电层303也可以被称为层间电介质或绝缘层。在一些实施方案中,第一介电层303包括低k介电材料,诸如掺氟或掺碳的氧化硅或OSG。第一金属线309可以形成在第一介电层303中的凹部或开口中,其中第一金属线309可以包括导电材料,诸如铜、钴、钌、铝、钨、镍或其合金。第一阻挡层305可以内衬在第一金属线309和第一介电层303之间的界面处。在一些实施方案中,第一阻挡层305包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层。在一些实施方案中,第一阻挡层305包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层,以及由诸如钴或钌之类的材料制成的衬里层。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法来形成扩散阻挡层和衬里层。
在图3A中,衬底301还包括形成在第一介电层303上的选择性介电层311。选择性介电层311不形成在第一金属线309上并且在第一介电层303的在形成第一金属线309的外部的区域中。因此,第一金属线309可以形成在穿过选择性介电层311和第一介电层303的凹部或开口中,其中第一金属线309的顶表面在选择性介电层311的顶表面下方。选择性介电层311可以包括高度选择性的介电材料。换句话说,选择性介电层311可以包括对许多不同的蚀刻剂或蚀刻方案具有高度抵抗力的介电材料。在一些实施方案中,选择性介电层311包括掩模材料,诸如碳化硅(SiCx)、氮化硅(SiNx)或碳氮化硅(SiCNx)。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法在第一介电层303上形成选择性介电层311。在一些实施方案中,选择性介电层311可具有介于约1nm与约100nm之间的厚度。
在图3B中,在选择性介电层311和第一金属线309上方形成保形介电层315。保形介电层315可以包括具有与选择性介电层311不同的蚀刻选择性的介电材料。在一些实施方案中,保形介电层315相对于选择性介电层311的蚀刻选择比等于或大于约10:1,等于或大于约20:1或等于或大于约50:1,或介于约10:1和约100:1之间。保形介电层315和选择性介电层311之间的蚀刻选择比可以通过干法蚀刻来建立。在一些实施方案中,保形介电层315可以用作阻挡材料,以防止金属电迁移到相邻的介电材料中。在一些实施方案中,保形介电层315包括介电材料,诸如碳化硅(SiCx)、氮化硅(SiNx)或碳氮化硅(SiCNx)。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法在选择性介电层311和第一金属线309上形成保形介电层315。保形介电层315可以例如使用ALD保形地沉积。在一些实施方案中,保形介电层315可以具有介于约5nm与约55nm之间的厚度。
在图3C中,第二介电层313形成在保形介电层315上方。第二介电层313也可以称为层间电介质或绝缘层。在一些实施方案中,第二介电层313包括低k介电材料,例如掺氟或掺碳的氧化硅或OSG。保形介电层315可以用作扩散阻挡层,以防止金属从第一金属线309电迁移到第二介电层313中。蚀刻第二介电层313和保形介电层315的部分以形成穿过第二介电层313和保形介电层315到达第一金属线309的顶表面的凹部或开口。当穿过第二介电层313和保形介电层形成凹部或开口时,选择性介电层311用作蚀刻停止层。然而,如图3C所示,应理解的是,在蚀刻第二介电层313和保形介电层315的部分之后,剩余量的保形介电层315可沿着选择性介电层311的侧壁保留。通过用诸如铜、钴、钌、铝、钨、镍或它们的合金之类的导电材料来对凹部或开口进行填充而在凹部或开口中形成第二金属线327以及与第二金属线327连接的通孔321。在一些实施方案中,可以使用诸如电镀或无电镀覆之类的合适的沉积方法来填充凹部或开口。通孔321可以在第一金属线309和第二金属线327之间提供电互连。通孔321完全对齐第一金属线309和第二金属线327两者。换句话说,完全对齐的通孔321没有和与第一金属线309或第二金属线327相邻的任何介电层形成重叠。通孔321接触第一金属线309的顶表面而没有在第一介电层303上的重叠,并且接触第二金属线327的底表面而没有在第二介电层313上的重叠,并且不存在由通孔321与相邻金属线引起的减小的绝缘空间。第二阻挡层325可以内衬在第二金属线327和第二介电层313之间的界面、第二金属线327和第一金属线309之间的界面、第二金属线327和选择性介电层311之间的界面以及第二金属线327与保形介电层315之间的界面。在一些实施方案中,第二阻挡层325包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层。在一些实施方案中,第二阻挡层325包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层,以及由诸如钴或钌之类的材料制成的衬里层。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法来形成扩散阻挡层和衬里层。
尽管通孔321完全对齐第一金属线309和第二金属线327,但是通孔321可以被认为部分地接合在第一金属线309的顶表面上。通孔321可以提供在第一金属线309上的接合部分以及在第一金属线309外部上的未接合部分。由于通孔321部分接合在第一金属线309上,因此通孔321与第一金属线309的顶表面接触的表面积减小了。此外,第二阻挡层325设置在通孔321和第一金属线309之间的界面处,从而添加了在通孔321和第一金属线309之间的电绝缘材料。电阻与材料的材料的电阻率及其长度成正比,与材料的横截面积成反比。因此,与第一金属线309接触的表面积减小以及在通孔321与第一金属线309之间的界面处存在电绝缘材料(即,第二阻挡层325)有助于在通孔321中较高的总电阻。随着特征尺寸缩小,这一点可能更重要。尽管完全对齐的通孔图案化方案可以解决由于未接合的通孔而导致的TDDB降级问题,但完全对齐的通孔图案化方案仍可能导致高通孔电阻。如此高的通孔电阻可能会损害器件性能和可靠性。
图4A-4C示出了根据一些其他实施方案的形成用于连接金属线的完全对齐的通孔的示例性工艺的横截面示意图。然而,图3A-3C显示了使用选择性介电层在第一(底部)金属线上方形成阶梯状形貌的完全对齐的通孔图案化方案的示例,图4A-4C显示了使用在第一(底部)金属线中的凹陷金属形成阶梯状形貌的完全对齐的通孔图案化方案的示例。
在图4A中,衬底401包括第一介电层403。第一介电层403也可以被称为层间电介质或绝缘层。在一些实施方案中,第一介电层403包括低k介电材料,例如掺氟或掺碳的氧化硅或OSG。第一金属线409可以形成在第一介电层403中的凹部或开口中,其中第一金属线409可以包括导电材料,例如铜、钴、钌、铝、钨、镍或其合金。第一阻挡层405可以内衬在第一金属线409和第一介电层403之间的界面处。在一些实施方案中,第一阻挡层405包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层。在一些实施方案中,第一阻挡层405包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层,以及由诸如钴或钌之类的材料制成的衬里层。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法来形成扩散阻挡层和衬里层。
在图4A中,去除第一金属线409的一部分,使得第一金属线409的顶表面凹陷在第一介电层403的顶表面下方。换句话说,通过相对于第一介电层403使第一金属线409凹陷而形成阶梯状形貌。在一些实施方案中,去除第一金属线409的一部分会涉及湿蚀刻工艺,该湿蚀刻工艺导致第一金属线409和第一阻挡层405凹陷在第一介电层403的顶表面下方。
在图4B中,在第一介电层403和第一金属线409上方形成保形介电层415。保形介电层415可以包括具有与第一介电层403不同的蚀刻选择性的介电材料。在一些实施方案中,保形介电层415相对于第一介电层403的蚀刻选择比等于或大于约10:1,等于或大于约20:1,等于或大于约50:1,或介于约10:1和约100:1之间。保形介电层415和第一介电层403之间的蚀刻选择比可以通过干法蚀刻来建立。在一些实施方案中,保形介电层415可以用作阻挡材料,以防止金属电迁移到相邻的介电材料中。在一些实施方案中,保形介电层415包括介电材料,诸如碳化硅(SiCx)、氮化硅(SiNx)或碳氮化硅(SiCNx)。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法在第一介电层403和第一金属线409上形成保形介电层415。保形介电层415可以例如使用ALD保形地沉积。在一些实施方案中,保形介电层415可以具有介于约5nm与约55nm之间的厚度。
在图4C中,第二介电层413形成在保形介电层415上方。第二介电层413也可以称为层间电介质或绝缘层。在一些实施方案中,第二介电层413包括低k介电材料,例如掺氟或掺碳的氧化硅或OSG。保形介电层415可以用作扩散阻挡层,以防止金属从第一金属线409电迁移到第二介电层413中。蚀刻第二介电层413和保形介电层415的部分以形成穿过第二介电层413和保形介电层415到达第一金属线409的顶表面的凹部或开口。当穿过第二介电层413和保形介电层415形成凹部或开口时,第一介电层403用作蚀刻停止层。然而,如图4C所示,应理解的是,在蚀刻第二介电层413和保形介电层415的部分之后,剩余量的保形介电层415可沿着第一介电层403的侧壁保留。通过用诸如铜、钴、钌、铝、钨、镍或它们的合金之类的导电材料来对凹部或开口进行填充而在凹部或开口中形成第二金属线427以及与第二金属线427连接的通孔421。在一些实施方案中,可以使用诸如电镀或无电镀覆之类的合适的沉积方法来填充凹部或开口。通孔421可以在第一金属线409和第二金属线427之间提供电互连。通孔421完全对齐第一金属线409和第二金属线427两者。换句话说,完全对齐的通孔421没有和与第一金属线409或第二金属线427相邻的任何介电层形成重叠。通孔421接触第一金属线409的顶表面而没有第一介电层403上的重叠,并且接触第二金属线427的底表面而没有第二介电层413上的重叠,并且不存在由通孔421与相邻金属线引起的减小的绝缘空间。第二阻挡层425可以内衬在第二金属线427和第二介电层413之间的界面、第二金属线427和第一金属线409之间的界面以及第二金属线427与保形介电层415之间的界面。在一些实施方案中,第二阻挡层425包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层。在一些实施方案中,第二阻挡层425包括由诸如钽或氮化钽之类的材料制成的扩散阻挡层,以及由诸如钴或钌之类的材料制成的衬里层。可以使用诸如PVD、ALD、CVD或PECVD之类的任何合适的沉积方法来形成扩散阻挡层和衬里层。
如同图3C中的通孔321,图4C中的通孔421与第一金属线409和第二金属线427完全对齐,并部分地接合在第一金属线409的顶表面上。并且如同图3C中的通孔321,图4C中的通孔421具有与第一金属线409接触的减小的表面积以及在通孔421与第一金属线409之间的界面处的电绝缘材料(即第二阻挡层425),每一个都有助于通孔421中更高的总电阻。
金属预填充物
本公开涉及一种金属预填充物,其直接接触第一(底部)金属线,而没有连接在通孔和第一(底部)金属线之间的阻挡层和/或衬里层。金属预填充物可以是完全对齐的通孔图案化方案(例如图3A-3C中的完全对齐的通孔图案化方案或图4A-4C中的完全对齐的通孔图案化方案)中的铜通孔预填充物。金属预填充物用作顶部金属线和底部金属线之间的导电互连件。尽管金属预填充物在完全对齐的通孔中具有与底部金属线接触的减小的表面积,但是在通孔和底部金属线之间的界面处没有电绝缘材料,这降低了通孔中的总电阻。
图5示出了根据一些实施方案的具有通孔的示例性导电结构的横截面示意图,该通孔是完全对齐的通孔并且与金属线直接接触。导电结构501根据图3A-3C中反映的完全对齐的通孔图案化方案形成。导电结构501可以形成在衬底上并且可以是集成电路或半导体器件的一部分。导电结构501包括第一介电层503,其中第一金属线509形成在第一介电层503的凹部或开口中。第一金属线509也可以被称为底部金属线、金属化层、金属层、或线特征。第一阻挡层505可以在第一金属线509和第一介电层503之间的界面处提供扩散阻挡层和/或衬里层。选择性介电层511设置在第一介电层503上,在第一介电层503的在形成第一金属线509的位置的外部的区域中。这可以提供阶梯状形貌,使得第一金属线509凹陷在选择性介电层511的顶表面下方。导电结构501还包括在选择性介电层511和第一金属线509上的保形介电层515。导电结构501还包括在保形介电层515上方的第二介电层513。第一介电层503、第一阻挡层505、第一金属线509、选择性介电层511、保形介电层515和第二介电层513的各方面可在图3A-3C中描述。
穿过第二介电层513和保形介电层515形成凹部或开口。该凹部或开口用导电材料部分地填充以形成通孔521。通孔521也可以称为互连特征、互连结构、金属通孔预填充物或通孔预填充物。通孔521的导电材料可以包括铜、钴、钌、铝、钨、镍或其合金。例如,通孔521可以包括铜或铜锌合金。没有连接在通孔521和第一金属线509之间的扩散阻挡层和/或衬里层。因此,通孔521的导电材料直接接触第一金属线509。这与在通孔和底部金属线之间的界面处具有扩散阻挡层和/或衬里层的通孔相比,减小了通孔电阻。导电结构501还包括在通孔521上方的第二金属线527。在通孔预填充之后填充凹部或开口的其余部分,其中,凹部或开口的其余部分填充有导电材料,例如铜、钴、钌、铝、钨、镍或它们的合金以形成第二金属线527。通孔521可以与第二金属线527和第一金属线509完全对齐。在一些实施方案中,通孔521提供第一金属线509上的接合部分和在第一金属线509外部且在选择性介电层511上的未接合部分。
在一些实施方案中,扩散阻挡层525a和/或衬里层525b可以内衬在第二金属线527和第二介电层513之间的界面以及第二金属线527和通孔521之间的界面。扩散阻挡层525a和/或衬里层525b可以用来限制金属(例如铜)向第二介电层513的电迁移。扩散阻挡层525a和衬里层525b可以被单独地或统称为第二阻挡层。可以在通孔预填充之后并且在形成第二金属线527之前形成扩散阻挡层525a和衬里层525b。
图6示出了根据一些其他实施方案的具有通孔的示例性导电结构的横截面示意图,该通孔是完全对齐的通孔并且与金属线直接接触。导电结构601根据图4A-4C中反映的完全对齐的通孔图案化方案形成。导电结构601可以形成在衬底上并且可以是集成电路或半导体器件的一部分。导电结构601包括第一介电层603,其中第一金属线609形成在第一介电层603的凹部或开口中。第一金属线609也可以被称为底部金属线、金属化层、金属层、或线特征。第一阻挡层605可以在第一金属线609和第一介电层603之间的界面处提供扩散阻挡层和/或衬里层。第一金属线609可以凹陷在第一介电层603的顶表面下方以提供阶梯状形貌。导电结构601还包括在第一介电层603和第一金属线609上的保形介电层615。导电结构601还包括在保形介电层615上方的第二介电层613。第一介电层503、第一阻挡层505、第一金属线509、选择性介电层511、保形介电层515和第二介电层513的各方面可在图3A-3C中描述。
穿过第二介电层613和保形介电层615形成凹部或开口。该凹部或开口用导电材料部分地填充以形成通孔621。通孔621也可以称为互连特征、互连结构、金属通孔预填充物或通孔预填充物。通孔621的导电材料可以包括铜、钴、钌、铝、钨、镍或其合金。例如,通孔621可以包括铜或铜锌合金。没有连接在通孔621和第一金属线609之间的扩散阻挡层和/或衬里层。因此,通孔621的导电材料直接接触第一金属线609。这与在通孔和底部金属线之间的界面处具有扩散阻挡层和/或衬里层的通孔相比,减小了通孔电阻。导电结构601还包括在通孔621上方的第二金属线627。在通孔预填充之后填充凹部或开口的其余部分,其中,凹部或开口的其余部分填充有导电材料,例如铜、钴、钌、铝、钨、镍或它们的合金以形成第二金属线627。通孔621可以与第二金属线627和第一金属线609完全对齐。在一些实施方案中,通孔621提供第一金属线609上的接合部分和在第一金属线609外部且在第一介电层603上的未接合部分。
在一些实施方案中,扩散阻挡层625a和/或衬里层625b可以内衬在第二金属线627和第二介电层613之间的界面以及第二金属线627和通孔621之间的界面。扩散阻挡层625a和/或衬里层625b可以用来限制金属(例如铜)向第二介电层613的电迁移。扩散阻挡层625a和衬里层625b可以被单独地或统称为第二阻挡层。可以在通孔预填充之后并且在形成第二金属线627之前形成扩散阻挡层625a和衬里层625b。
导电结构501、601包括与第一金属线509、609的顶表面直接连接的通孔521、621,而没有扩散阻挡层和/或衬里层。通常,具有这样的扩散阻挡层和/或衬里层具有多种功能或预期具有多种功能。如下所述,扩散阻挡层和/或衬里层可用于减缓金属向相邻介电材料中的扩散,改善TDDB寿命,改善粘附性,并限制应力引起的空隙的形成,以及其他功能。令人惊讶地,不存在具有通孔521、621的扩散阻挡层和/或衬里层并不一定损害前述功能,也不一定损害集成电路或半导体器件的性能。
在底部金属线和顶部金属线之间提供电互连的通孔可以具有扩散阻挡层和/或衬里层,以减慢金属原子(例如,铜原子)向周围的介电材料中的扩散。当施加电流时,电子通过流过顶部金属线和底部金属线而流过导电结构。电迁移是通过离子在电子之间的逐渐运动和使金属原子扩散而引起的。金属扩散到周围的介电材料中可能会对周围的介电材料的电绝缘性能产生不利影响。金属的扩散也可能不期望地导致在通孔或金属线中形成空隙。通孔通常具有扩散阻挡层和/或衬里层,以减慢金属向周围介电材料中的扩散。然而,不受任何理论的限制,在底部金属线和顶部金属线中存在扩散阻挡层和/或衬里层可能足以减慢金属的扩散,不一定并入在介于通孔和底部金属线之间的界面处的扩散阻挡层和/或衬层。在一些实施方案中,在底部金属线和顶部金属线中存在扩散阻挡层和/或衬里层可能足以减慢金属的扩散,不一定在通孔的底表面并沿通孔的侧壁并入扩散阻挡层和/或衬里层。
在底部金属线和顶部金属线之间提供电互连的通孔可以具有扩散阻挡层以提高TDDB寿命。当金属原子扩散到周围的介电材料中时,周围的介电材料的绝缘性能可能会降低,使得可能无法耐受更高的电场。因此,限制金属原子扩散到周围的介电材料中可以增加导电结构的可靠性和性能。图7A示出了在具有阻挡层和不具有阻挡层的情况下氧化硅介电层的TDDB寿命随电场的增加而变化的曲线图。图7B示出了在具有阻挡层和不具有阻挡层的情况下有机硅酸盐玻璃介电层的TDDB寿命随电场的增加而变化的曲线图。当周围的介电材料是纯氧化硅(SiOx)时,对于带有阻挡层(例如,TaN)的铜互连结构,随着电场的增加,TDDB的寿命相对较长,但是对于没有这种阻挡层的铜互连结构,随着电场的增加,TDDB的寿命相对较短。当周围的介电材料是OSG(例如多孔OSG)时,无论铜互连结构是否具有阻挡层(例如TaN),TDDB的寿命都随着电场的增加而相对较长。不受任何理论的限制,周围介电材料中的孔隙率和碳掺杂可用于限制铜扩散到周围介电材料中,否则铜扩散将导致TDDB降级。
在底部金属线和顶部金属线之间提供电互连的通孔可以具有扩散阻挡层,以限制应力引起的空隙的形成。随着时间的推移和/或随着较高温度的施加,应力引起的空隙会在金属互连结构中形成。金属互连结构中的空位可能会积聚并形成空隙,这可能会导致器件故障。这样的空隙还会增加金属互连结构中的总电阻。在有较少体积空间移动的位置,例如在底部金属线和通孔之间的界面处以及在顶部金属线和通孔之间的界面处,空位可能更容易积聚以形成空隙。但是,在不受任何理论限制的情况下,至少在由相同材料(例如,铜)制成的底部金属线和通孔之间的界面可以减小应力梯度,从而可以降低形成应力引起的空隙的可能性。
图8A-8C示出了根据一些实施方案的示例性双镶嵌制造工艺的横截面示意图,其中铜通孔与铜线直接接触。导电结构801可以是具有多层次结构或双镶嵌结构的集成电路的一部分。导电结构801可以包括第一介电层803。第一铜线809可以设置在第一介电层803的凹部或开口中。第一铜线809也可以称为顶部铜线、铜层或铜线特征。第一阻挡层805可以内衬在第一铜线809和第一介电层803之间的界面。导电结构801可以包括在第一介电层803和第一铜线809上方的保形介电层815,并且可以进一步包括在保形介电层815上方的第二介电层813。尽管可以根据图4A-4C的完全对齐的通孔图案化方案来制造导电结构801,但是应当理解,可以根据任何合适的完全对齐的通孔图案化方案(例如图3A-3C所示的完全对齐的通孔图案化方案)来制造导电结构801。
在一些实施方案中,第二介电层813的介电材料可以是低k介电材料。在一些实施方案中,低k介电材料是多孔的。在一些实施方案中,低k介电材料的特征在于具有小于约4.0的介电常数。低k介电材料的示例可以包括氟化硅酸盐玻璃(FSG)、有机硅酸盐玻璃(OSG)和掺杂碳的氧化硅(SiOC)。例如,第二介电层813可以包括OSG。
在图8A中,可以在第二介电层813的上部中形成沟槽823,并且可以从沟槽823的底部到第一铜线809形成开口821。在一些实施方案中,沟槽823和开口821可以根据图1A-1E所示的示例性双镶嵌制造工艺形成。第一铜线809被开口821暴露。在一些实施方案中,开口821可以具有高的纵横比或高的深宽比。在一些实施方案中,开口821的深宽比可以大于约5:1,或者大于约10:1,或者大于约30:1。沟槽823和开口821将填充有诸如铜之类的导电材料,以在第一铜线809和更高层次的铜线之间提供电互连。这为导电结构801提供了双镶嵌结构。
使用标准光刻工艺来图案化和形成沟槽823和开口821。作为先前讨论的对齐误差的结果,对沟槽823和开口821进行图案化可能不会导致开口821与第一铜线809对齐。开口821可以偏离第一铜线809,使得开口821的底部并不全部暴露第一铜线809。诸如第一介电层803或选择性介电层(未示出)之类的蚀刻停止层可以防止蚀刻剂减小开口821与相邻的第一铜线809之间的绝缘空间。
在图8B中,在开口821中形成铜通孔831。铜通孔831也可以被称为铜预填充物或互连特征。铜通孔831可以直接接触第一铜线809,而没有连接在第一铜线809和铜通孔831之间的扩散阻挡层和/或衬里层。在一些实施方案中,铜通孔831可以通过沉积铜籽晶层,然后用铜大量填充开口821来形成。在一些实施方案中,可以通过简单地用铜大量填充开口821来形成铜通孔831。在一些实施方案中,可以使用无电沉积(ELD)以填充开口821来形成铜通孔831。第一铜线809的暴露的顶表面可以用于引发成核以用于ELD工艺的沉积反应。可以以自下而上的方式进行填充开口821的铜沉积,从而在填充开口821时提供基本的均匀性。ELD工艺可以对第一铜线809的材料是选择性的,而对限定开口821的侧壁的其他材料不是选择性的。可以通过诸如化学机械平坦化工艺之类的平坦化工艺去除任何铜覆盖层。在用铜大量填充开口821之前,可以不在开口821中沉积扩散阻挡层、衬里层或任何其他非铜层的情况下形成铜通孔831。
在图8C中,第二铜线827形成在沟槽823中。在一些实施方案中,形成在沟槽823中的第二铜线827是与导电结构801中的较高层次导体(未示出)相连的铜互连件的一部分。因此,第二铜线827可以与铜通孔831连续地形成在沟槽823中。在一些实施方案中,第二铜线827是使用与铜通孔831相同的沉积技术形成的。在一些实施方案中,第二铜线827用作导电结构801中的较高层次导体。换句话说,第二铜线827不是与较高层次导体相连的铜互连件的一部分,并且铜通孔831在第一铜线809和第二铜线827之间提供电互连。因此,在形成第二铜线827之前,可以在沟槽823中沉积扩散阻挡层和/或衬里层(未示出)。这种扩散阻挡层和/或衬里层可以沉积在第二铜线827和第二介电层813之间的界面处。在一些实施方案中,扩散阻挡层由包括钽或氮化钽的材料制成,而衬里层由包括钌或钴的材料制成。
图9A-9B示出了根据一些实施方案的示例性制造工艺的横截面示意图,该制造工艺用于与铜线和自形成的阻挡层直接接触的铜合金通孔。尽管可以根据图4A-4C的完全对齐的通孔图案化方案来制造导电结构901,但是应当理解,可以根据任何合适的完全对齐的通孔图案化方案(诸如图3A-3C中所示的完全对齐的图案化方案)来制造导电结构901。
图9A中的导电结构901可以类似于图8A-8C中的导电结构801,使得第一介电层903、第一铜线909、第一阻挡层905、保形电介质层915、第二介电层913和第二铜线927的各方面可在图8A-8C中描述。与图8A-8C相比,在图9A-9B中形成了能够形成自形成的阻挡层的铜合金通孔931,而不是铜通孔831。
在图9A中,铜合金被沉积在至少穿过第二介电层913的开口中以形成铜合金通孔931。在一些实施方案中,铜合金可以被沉积在部分地延伸穿过第二介电层913和开口的顶表面上方的沟槽中。在一些实施方案中,诸如铜之类的导电材料可以沉积在沟槽中以形成第二铜线927。在一些实施方案中,铜合金可以通过ELD沉积在开口中以形成铜合金通孔931。铜合金可包括但不限于铜锌、铜锰、铜铟、铜钛、铜镁、铜银或铜铼。可以用铜合金大量填充开口之前没有在开口中沉积扩散阻挡层、衬里层或任何其他非铜层的情况下形成铜合金通孔931。
在图9B中,对铜合金进行退火以在铜合金通孔931和第二介电层913之间的界面处形成自形成的阻挡层935。退火工艺可以在填充沟槽之前或填充沟槽之后进行。在一些实施方案中,退火工艺可施加介于约150℃与约400℃之间的温度。退火工艺可能会导致铜合金中的元素偏析,从而使某些元素扩散到周围的介电材料并与周围的介电材料发生反应。例如,锌可向铜合金通孔931和第二介电层913之间的界面扩散,其中锌原子可与周围的介电材料中的硅和氧原子反应以形成硅酸锌。自形成的阻挡层935可以包括在周围的介电材料和铜合金材料之间形成的反应产物,例如硅酸锌。自形成的阻挡层935可以是薄的阻挡层,其用于限制铜扩散到第二介电层913中。
图10示出了根据一些实施方案的制造集成电路的导电结构的示例性方法的流程图。可以以不同的顺序和/或以不同的、更少的或附加的操作来执行工艺1000中的操作。
在工艺1000的框1010处,接收衬底,在该衬底的第一区域中具有第一金属线。第一金属线可以形成在介电材料的凹部或开口中。在一些实施方案中,第一金属线可以包括导电材料,诸如铜、钴、钌、铝、钨、镍或它们的合金。在一些实施方案中,可以在第一金属线和介电材料之间的界面处形成扩散阻挡层和/或衬里层。
在工艺1000的框1020处,在衬底的第一区域之外的第二区域中形成选择性介电层。第一金属线可以凹陷,使得第一金属线在选择性介电层的顶表面下方。选择性介电层可以包括低k介电材料,例如SiCx、SiNx或SiCNx。选择性介电层可以具有高选择性,并且可以耐受许多不同的蚀刻剂或蚀刻方案。
在工艺1000的框1030处,在选择性介电层和第一金属线上形成保形介电层。保形介电层可以具有与下伏的选择性介电层不同的蚀刻选择性。在一些实施方案中,保形介电层相对于选择性介电层具有等于或大于约10:1的蚀刻选择比。保形介电层可以用作阻挡层,以防止金属原子电迁移到相邻的介电材料中。保形介电层可以包括低k介电材料,例如SiCx、SiNx或SiCNx。在一些实施方案中,使用诸如ALD之类的合适的沉积技术来保形地沉积保形介电层。
在工艺1000的框1040处,在第一金属线、保形介电层和选择性介电层上方形成层间电介质。在一些实施方案中,层间电介质包括低k介电材料,例如FSG、OSG或SiOC。例如,低k介电材料可以包括多孔OSG。
在一些实施方案中,工艺1000可以用接收衬底的操作代替框1010-1040,该衬底具有在衬底的第一区域中的第一金属线,在衬底的第一区域之外的第二区域中的选择性介电层,在选择性介电层和第一金属线上的保形介电层,以及在第一金属线、保形介电层和选择性介电层上方的层间电介质。可以在框1050处的操作之前执行这样的操作。
在工艺1000的框1050处,形成穿过层间电介质和保形介电层到达第一金属线的顶表面的通孔,其中,该通孔包括与第一金属线直接接触的导电材料。在一些实施方案中,通孔是双镶嵌互连件。在一些实施方案中,形成通孔包括形成穿过层间电介质和保形介电层的沟槽和开口,其中开口从沟槽的底部延伸到第一金属线的顶表面。当形成穿过层间电介质和保形介电层的开口时,选择性介电层用作蚀刻停止层或硬掩模。开口可具有高的深宽比,例如大于约5:1、大于约10:1或大于约30:1的深宽比。
在一些实施方案中,形成通孔还包括用导电材料填充开口以形成通孔。可以使用诸如ELD之类的合适的沉积技术来填充开口。在一些实施方案中,导电材料可以包括铜或铜合金。通孔的导电材料可以直接接触第一金属线,使得在通孔和第一金属线之间的界面处不提供扩散阻挡层和/或衬里层。扩散阻挡层和/或衬里层的缺乏降低了通孔中的总电阻。
在一些实施方案中,工艺1000还包括在第一金属线上方形成第二金属线,其中,通孔在第二金属线和第一金属线之间提供电互连。通孔可以与第一金属线和第二金属线两者都完全对齐。在一些实施方案中,形成第二金属线包括用导电材料填充沟槽。导电材料可以包括铜、钴、钌、铝、钨、镍或它们的合金。在一些实施方案中,可以使用诸如电镀或ELD之类的合适的沉积技术来填充沟槽。在一些实施方案中,工艺1000还包括使衬底退火以沿着通孔的侧壁形成自形成的阻挡层。在一些实施方案中,可以在第二金属线与层间电介质之间的界面处形成扩散阻挡层和/或衬里层。扩散阻挡层可以包括诸如钽或氮化钽之类的材料,并且衬里层可以包括诸如钌或钴之类的材料。
图11示出了根据一些实施方案的制造集成电路的导电结构的示例性方法的流程图。可以以不同的顺序和/或以不同的、更少或附加的操作来执行工艺1100中的操作。
在工艺1100的框1110处,接收衬底,在该衬底的第一区域中具有第一金属线。衬底还可以包括介电材料,其中第一金属线可以形成在介电材料的凹部或开口中。在一些实施方案中,第一金属线可以包括导电材料,诸如铜、钴、钌、铝、钨、镍或它们的合金。在一些实施方案中,可以在第一金属线和介电材料之间的界面处形成扩散阻挡层和/或衬里层。
在工艺1100的框1120处,去除第一金属线的一部分,使得第一金属线凹陷在衬底的顶表面下方。在一些实施方案中,可以执行湿法蚀刻工艺以去除第一金属线的一部分,使得第一金属线凹陷在衬底的顶表面下方。衬底的顶表面可以构成介电材料的顶表面。结果,第一金属线可以形成在介电材料的凹部或开口中,使得第一金属线在介电材料的顶表面下方。去除第一金属线的一部分在衬底上提供了阶梯状的形貌。
在工艺1100的框1130处,在第一金属线和衬底的顶表面上形成保形介电层。衬底的顶表面可以包括介电材料的顶表面。保形介电层可以具有与下伏的介电材料不同的蚀刻选择性。在一些实施方案中,保形介电层相对于下伏的介电材料具有等于或大于约10:1的蚀刻选择比。保形介电层可以用作阻挡层,以防止金属原子电迁移到相邻的介电材料中。保形介电层可以包括低k介电材料,例如SiCx、SiNx或SiCNx。在一些实施方案中,使用诸如ALD之类的合适的沉积技术来保形地沉积保形介电层。
在工艺1100的框1140处,在第一金属线和保形介电层上方形成层间电介质。在一些实施方案中,层间电介质包括低k介电材料,例如FSG、OSG或SiOC。例如,低k介电材料可以包括多孔OSG。
在一些实施方案中,工艺1100可以用接收衬底的操作代替框1110-1140,该衬底具有在凹陷在衬底的顶表面下方的衬底的第一区域中的第一金属线、在第一金属线和衬底的顶表面上的保形介电层以及在第一金属线和保形介电层上方的层间电介质。可以在框1150处的操作之前执行这样的操作。
在工艺1100的框1150处,形成穿过层间电介质和保形介电层到达第一金属线的顶表面的通孔,其中,该通孔包括与第一金属线直接接触的导电材料。在一些实施方案中,通孔是双镶嵌互连件。在一些实施方案中,形成通孔包括形成穿过层间电介质和保形介电层的沟槽和开口,其中开口从沟槽的底部延伸到第一金属线的顶表面。当形成穿过层间电介质和保形介电层的开口时,衬底的介电材料用作蚀刻停止层或硬掩模。开口可具有高的深宽比,例如大于约5:1、大于约10:1或大于约30:1的深宽比。
在一些实施方案中,形成通孔还包括用导电材料填充开口以形成通孔。可以使用诸如ELD之类的合适的沉积技术来填充开口。在一些实施方案中,导电材料可以包括铜或铜合金。通孔的导电材料可以直接接触第一金属线,使得在通孔和第一金属线之间的界面处不提供扩散阻挡层和/或衬里层。扩散阻挡层和/或衬里层的缺乏降低了通孔中的总电阻。
在一些实施方案中,工艺1100还包括在第一金属线上方形成第二金属线,其中,通孔在第二金属线和第一金属线之间提供电互连。通孔可以与第一金属线和第二金属线两者都完全对齐。在一些实施方案中,形成第二金属线包括用导电材料填充沟槽。导电材料可以包括铜、钴、钌、铝、钨、镍或它们的合金。在一些实施方案中,可以使用诸如电镀或ELD之类的合适的沉积技术来填充沟槽。在一些实施方案中,工艺1100还包括使衬底退火以沿着通孔的侧壁形成自形成的阻挡层。在一些实施方案中,可以在第二金属线与层间电介质之间的界面处形成扩散阻挡层和/或衬里层。扩散阻挡层可以包括诸如钽或氮化钽之类的材料,并且衬里层可以包括诸如钌或钴之类的材料。
本文描述的工艺可以与光刻图案化工具或工艺结合使用,例如用于制造或制备半导体器件、显示器、LED、光伏板等。典型地,但不必需地,这样的工具/工艺将被用于或者在共同的制造设施中一起进行。膜的光刻图案化通常包括以下操作中的一些或全部,每种操作可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见光或UV或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
在前面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案不清楚。尽管结合具体实施方案描述了所公开的实施方案,但是应当理解,其并不意在限制所公开的实施方案。
尽管为了清楚理解的目的已经相当详细地描述了前述实施方案,但是显然可以在所附权利要求的范围内实施某些改变和修改。应该注意的是,实现这些实施方案的工艺、系统和设备有很多替代方式。因此,本文的实施方案被认为是说明性的而不是限制性的,并且实施方案并不限于这里给出的细节。

Claims (26)

1.一种设备,其包括:
第一介电层;
在所述第一介电层中形成的第一金属线;
在所述第一金属线和所述第一介电层上方的第二介电层;
在所述第二介电层中或在所述第二介电层上方形成的第二金属线;和
延伸穿过所述第二介电层并且电连接所述第一金属线和所述第二金属线的通孔,其中所述通孔完全对齐所述第一金属线和所述第二金属线,并且其中所述通孔包括与所述第一金属线直接接触的导电材料。
2.根据权利要求1所述的设备,其中,所述第一金属线、所述第二金属线和所述通孔的导电材料中的每一者包含铜或铜合金。
3.根据权利要求1所述的设备,其中,所述第一金属线凹陷在所述第一介电层的顶表面下方。
4.根据权利要求1所述的设备,其还包括:
保形介电层,其设置在所述第一介电层和所述第一金属线上方,其中所述保形介电层位于所述第一介电层和所述第二介电层之间。
5.根据权利要求4所述的设备,其还包括:
选择性介电层,其设置在所述第一介电层上,使得所述第一金属线凹陷在所述选择性介电层的顶表面下方,其中所述保形介电层设置在所述选择性介电层上,并且相对于所述选择性介电层具有大于约10:1的蚀刻选择比。
6.根据权利要求4所述的设备,其中,所述通孔设置在延伸穿过所述第二介电层和所述保形介电层的沟槽和开口中,其中,所述开口从所述沟槽的底部延伸到所述第一金属线的顶表面。
7.根据权利要求1-6中任一项所述的设备,其还包括:
在所述第一金属线和所述第一介电层之间的界面处的第一阻挡层;和
在所述第二金属线和所述第二介电层之间的界面处的第二阻挡层。
8.根据权利要求7所述的设备,其中,所述第一阻挡层和所述第二阻挡层中的每一者包括扩散阻挡层和/或衬里层。
9.根据权利要求1-6中任一项所述的设备,其中,所述通孔的所述导电材料直接接触所述第一金属线,而在所述通孔和所述第一金属线之间没有扩散阻挡层和/或衬里层。
10.根据权利要求1-6中任一项所述的设备,其中,所述第二介电层包括介电常数小于约4.0的低k介电材料。
11.根据权利要求10所述的设备,其中,所述低k介电材料包括多孔有机硅酸盐玻璃(OSG)。
12.根据权利要求1-6中任一项所述的设备,其还包括:
在所述第二介电层和所述通孔之间的界面处的自形成的阻挡层,其中所述通孔的导电材料包括铜合金。
13.根据权利要求12所述的设备,其中,所述铜合金包含铜锌,并且其中,所述自形成的阻挡层包括硅酸锌。
14.根据权利要求1-6中任一项所述的设备,其中,所述通孔部分地接合在所述第一金属线上,以提供在所述第一金属线上的接合部分和在所述第一金属线上之外的未接合部分。
15.一种制造导电结构的方法,所述方法包括:
接收衬底,所述衬底具有在所述衬底的第一区域中的第一金属线,在所述衬底的所述第一区域之外的第二区域中的选择性介电层,在所述第二介电层和所述第一金属线上的保形介电层,以及在所述第一金属线、所述保形介电层和所述选择性介电层上方的层间电介质,其中,所述保形介电层相对于所述选择性介电层具有等于或大于约10:1的蚀刻选择比;以及
形成穿过所述层间电介质和所述保形介电层到达所述第一金属线的顶表面的通孔,其中,所述通孔包括与所述第一金属线直接接触的导电材料。
16.根据权利要求15所述的方法,其还包括:
在所述第一金属线上方形成第二金属线,其中,所述通孔在所述第二金属线和所述第一金属线之间提供电互连。
17.根据权利要求16所述的方法,其中,所述第一金属线、所述第二金属线和所述通孔中的每一者包含铜或铜合金。
18.根据权利要求15-17中任一项所述的方法,其中,形成所述通孔包括:
形成穿过所述层间电介质和所述保形介电层的沟槽和开口,其中所述开口从所述沟槽的底部延伸到所述第一金属线的所述顶表面;以及
用所述导电材料填充所述开口以形成所述通孔。
19.根据权利要求18所述的方法,其中,用所述导电材料填充所述开口包括:
通过无电沉积在所述第一金属线上沉积所述导电材料。
20.根据权利要求15-17中任一项所述的方法,其还包括:
对所述衬底进行退火以沿着所述通孔的侧壁形成自形成的阻挡层。
21.一种制造导电结构的方法,该方法包括:
接收衬底,所述衬底具有凹陷在所述衬底的顶表面下方的在所述衬底的第一区域中的第一金属线、在所述第一金属线和所述衬底的所述顶表面上的保形介电层、以及在所述第一金属线和所述保形介电层上方的层间电介质,其中所述保形介电层相对于所述衬底的下伏的介电材料具有等于或大于约10:1的蚀刻选择比;和
形成穿过所述层间电介质和所述保形介电层到达所述第一金属线的顶表面的通孔,其中,所述通孔包括与所述第一金属线直接接触的导电材料。
22.根据权利要求21所述的方法,其还包括:
在所述第一金属线上方形成第二金属线,其中,所述通孔在所述第二金属线和所述第一金属线之间提供电互连。
23.根据权利要求22所述的方法,其中,所述第一金属线、所述第二金属线和所述通孔中的每一者包含铜或铜合金。
24.根据权利要求21-23中任一项所述的方法,其还包括:
形成穿过所述层间电介质和所述保形介电层的沟槽和开口,其中所述开口从所述沟槽的底部延伸到所述第一金属线的所述顶表面;以及
用所述导电材料填充所述开口以形成所述通孔。
25.根据权利要求24所述的方法,其中,用所述导电材料填充所述开口包括:
通过无电沉积在所述第一金属线上沉积所述导电材料。
26.根据权利要求21-23中任一项所述的方法,其还包括:
对所述衬底进行退火以沿着所述通孔的侧壁形成自形成的阻挡层。
CN201980046381.8A 2018-05-22 2019-05-21 在完全对齐的通孔中进行通孔预填充 Pending CN112514049A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/986,661 US20190363048A1 (en) 2018-05-22 2018-05-22 Via prefill in a fully aligned via
US15/986,661 2018-05-22
PCT/US2019/033275 WO2019226628A1 (en) 2018-05-22 2019-05-21 Via prefill in a fully aligned via

Publications (1)

Publication Number Publication Date
CN112514049A true CN112514049A (zh) 2021-03-16

Family

ID=68613472

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980046381.8A Pending CN112514049A (zh) 2018-05-22 2019-05-21 在完全对齐的通孔中进行通孔预填充

Country Status (4)

Country Link
US (1) US20190363048A1 (zh)
KR (1) KR20210000732A (zh)
CN (1) CN112514049A (zh)
WO (1) WO2019226628A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170002668A (ko) 2011-12-20 2017-01-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
KR102646012B1 (ko) 2019-02-18 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10991618B2 (en) * 2019-09-03 2021-04-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
EP3823034A1 (en) * 2019-11-12 2021-05-19 Infineon Technologies AG High voltage semiconductor device with step topography passivation layer stack
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
US11152257B2 (en) 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11276611B2 (en) * 2020-03-17 2022-03-15 International Business Machines Corporation Top via on subtractively etched conductive line
US11569166B2 (en) * 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR20240036083A (ko) * 2021-07-23 2024-03-19 램 리써치 코포레이션 듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper)
US11978668B2 (en) * 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same
US11869808B2 (en) * 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal
KR102610810B1 (ko) 2021-11-30 2023-12-13 주식회사 포엔 사용자의 생각을 디코딩하는 인공지능 시스템
US20230169312A1 (en) 2021-11-30 2023-06-01 4N Inc. Artificial intelligence system decoding user's thoughts and method for controlling thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1913128A (zh) * 2005-08-06 2007-02-14 三星电子株式会社 双金属镶嵌金属布线图案的形成方法和形成的布线图案
CN104025263A (zh) * 2011-12-30 2014-09-03 英特尔公司 自封闭的非对称互连结构
US20180061750A1 (en) * 2016-08-30 2018-03-01 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US20180122692A1 (en) * 2016-10-26 2018-05-03 International Business Machines Corporation Structure and method to improve fav rie process margin and electromigration

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008071850A (ja) * 2006-09-13 2008-03-27 Sony Corp 半導体装置の製造方法
JP4740083B2 (ja) * 2006-10-05 2011-08-03 株式会社東芝 半導体装置、およびその製造方法
US9034756B2 (en) * 2012-07-26 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US9520321B2 (en) * 2015-02-27 2016-12-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with self-aligned vias
US9793212B2 (en) * 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US10177028B1 (en) * 2017-07-07 2019-01-08 Globalfoundries Inc. Method for manufacturing fully aligned via structures having relaxed gapfills

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1913128A (zh) * 2005-08-06 2007-02-14 三星电子株式会社 双金属镶嵌金属布线图案的形成方法和形成的布线图案
CN104025263A (zh) * 2011-12-30 2014-09-03 英特尔公司 自封闭的非对称互连结构
US20180061750A1 (en) * 2016-08-30 2018-03-01 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US20180122692A1 (en) * 2016-10-26 2018-05-03 International Business Machines Corporation Structure and method to improve fav rie process margin and electromigration

Also Published As

Publication number Publication date
US20190363048A1 (en) 2019-11-28
KR20210000732A (ko) 2021-01-05
WO2019226628A1 (en) 2019-11-28

Similar Documents

Publication Publication Date Title
CN112514049A (zh) 在完全对齐的通孔中进行通孔预填充
US7196420B1 (en) Method and structure for creating ultra low resistance damascene copper wiring
TWI643291B (zh) 形成互連之方法
US8232196B2 (en) Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
JP5818210B2 (ja) 誘電ライン・バイアのエレクトロマイグレーション耐性が向上した界面層を有する相互接続構造およびその製造方法
US7521358B2 (en) Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
JP2009510771A (ja) 導電性キャッピング層を含む銅ベースのメタライゼーション層を形成する技術
US7625815B2 (en) Reduced leakage interconnect structure
WO2000019524A2 (en) Ic interconnect structures and methods for making same
KR20080039349A (ko) 강화층을 제공함으로써 낮은-k 유전체에 내장되는 구리함유 라인들을 형성하기 위한 기술
WO2012058011A2 (en) Interconnect structure with enhanced reliability
KR20110006617A (ko) 압축 응력을 갖도록 형성된 인터커넥트 유전체 캡들 및 이를 포함하는 인터커넥트 구조들
SG188903A1 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
US20080206986A1 (en) Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime
US20140374907A1 (en) Ultra-thin copper seed layer for electroplating into small features
US8129842B2 (en) Enhanced interconnect structure
KR20120052190A (ko) 반도체 디바이스들의 금속화 시스템에서 우수한 전자이주 성능 제공 및 민감성 로우-k 유전체의 열화 감소
US5849367A (en) Elemental titanium-free liner and fabrication process for inter-metal connections
US20090072406A1 (en) Interconnect structure with improved electromigration resistance and method of fabricating same
WO2005067032A1 (en) Dual damascene process using carbon doped and carbon free oxide layers
US20090294921A1 (en) Semiconductor device comprising metal lines with a selectively formed dielectric cap layer
CN116130411A (zh) 一种具备防止铜扩散结构的半导体制造方法
JP2004531900A (ja) デポジション処理によって、相互接続領域を選択的に合金にする方法
US20020127849A1 (en) Method of manufacturing dual damascene structure
KR101107746B1 (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination