KR100337718B1 - 반도체웨이퍼에이온들을주입하는방법,비-래스터주사주입장치,표면을갖는반도체웨이퍼로의주입방법,및워크피스처리방법및장치 - Google Patents

반도체웨이퍼에이온들을주입하는방법,비-래스터주사주입장치,표면을갖는반도체웨이퍼로의주입방법,및워크피스처리방법및장치 Download PDF

Info

Publication number
KR100337718B1
KR100337718B1 KR1019940009891A KR19940009891A KR100337718B1 KR 100337718 B1 KR100337718 B1 KR 100337718B1 KR 1019940009891 A KR1019940009891 A KR 1019940009891A KR 19940009891 A KR19940009891 A KR 19940009891A KR 100337718 B1 KR100337718 B1 KR 100337718B1
Authority
KR
South Korea
Prior art keywords
voltage pulses
semiconductor wafer
chamber
electrode
plasma
Prior art date
Application number
KR1019940009891A
Other languages
English (en)
Other versions
KR940027108A (ko
Inventor
태리티에뉴쉥
Original Assignee
배리언 어소시에이츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22020408&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100337718(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 배리언 어소시에이츠 인코포레이티드 filed Critical 배리언 어소시에이츠 인코포레이티드
Publication of KR940027108A publication Critical patent/KR940027108A/ko
Application granted granted Critical
Publication of KR100337718B1 publication Critical patent/KR100337718B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • H01L21/425Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/004Charge control of objects or beams
    • H01J2237/0041Neutralising arrangements
    • H01J2237/0044Neutralising arrangements of objects being observed or treated

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

냉음극 플라즈마 이머슨 이온 주입(C2PI3)을 위한 주입 방법 및 장치에서는 지속적인 플라즈마 없이 양으로 하전된 웨이퍼 표면을 중성화하기 위해 동기화하여 생성된 전자 흐름과 결합하여 매우 짧은 고전압 및 낮은 듀티 사이클 이온화 펄스를 사용한다.

Description

반도체 웨이퍼에 이온들을 주입하는 방법, 비-래스터 주사 주입 장치, 표면을 갖는 반도체 웨이퍼로의 주입 방법, 및 워크피스 처리 방법 및 장치
발명의 분야
본 발명은 얇은 접합 장치들에 특정 애플리케이션으로 이온 주입에 의해 반도체 재료를 도핑하기 위한 방법들 및 장치들에 관한 것이다.
관련 발명들
본 출원은 선출원되어 본원에 참조문헌으로 병합된 동일한 양수인에게 양수된 1992 년 3 월 3 일자 S/N 844,353 "Plasma Implantation Process and Equipment"에 관련된다. 또한, 본 발명은 동시 출원된 T. Sheng, B Cooper, S. Felch and C. E. Van Wagoner에 발명된 Varian Case No. 93-05 인 "Charge Monitor for High Potential Pulse Current Dose Measurement Apparatus and Method"에 관련된다.
발명의 배경
현대의 전자 장치들은 반도체 재료들을 근거로 한다. 반도체 장치는 전자들이 거의 이동하지 않는 결정 구조를 가져서, 전자 장치로 사용되기에는 고유 전도성이 매우 낮다. 전류 캐리어들(current carriers)을 제공하기 위해 결정 격자에어떤 유형들의 불순물을 소량 첨가하는 것은 공지되어 있다. 그러한 불순물들을 첨가하는 공정은 "도핑(doping)"으로 알려져 있다. 도핑을 위한 최초의 기술은 주입될 도펀트들(dopants)들을 포함하는 기체로 반도체 웨이퍼를 둘러싸고, 격자 구조에로 불순물 분자의 확산을 허용하기에 충분히 높게 온도를 상승시킴으로써 실현되었다.
도펀트들의 공간적 균일성과 농도에 걸쳐 더욱 정교한 제어에 대한 필요가 증가함에 따라, 이온 주입기(ion implanter)로 알려진 장치가 필요한 불순물을 결정에 첨가하기 위한 유용한 수단이 되었다. 이러한 주입기들은 도편트 이온 빔 에너지(dopant ion beam energy), 위치 그리고 주사율(scan rate)의 매우 정교한 제어를 하는 크고 복잡한 장치들이다. 아주 최근, 얇은 접합 형성(shallow junction formation)을 위해, 래스터 주사 이온 주입기(raster scan ion implanter)가 낮은 에너지 빔 조건들, 1OKV 하에서, 특히, 도우즈(does) 및 생산 비율, 즉 웨이퍼 작업 처리량의 요구가 높다는 것이 인식되어 왔다. PI3(Plasma Immersion Ion Implantation)로 알려진 다른 방법이 더 낮은 에너지하에서 도우즈비가 높고 설비가 저렴하기 때문에 연구되어 왔다. 종래 PI3방법들은 진공 챔버(vacuum chamber)내의 연속적인 플라즈마에 적용되었다.
선 출원된 동일한 양수인에제 양수된 1992 년 3 월 3 일자 844353 호의 발명자는 공동 발명자이고, PI3개념은 플라즈마 챔버 벽에 장착된 원통형 타켓에 접속되어 펄스들을 가속화시키는 가변 듀티 사이클 이온(variable duty circle ion)의사용으로 개선된다. 이 선출원이 얇은 접합에서의 개선, 저 에너지 고 전류 주입을 개선하였지만, 이러한 방식으로는 여러가지 문제점들이 있다. 웨이퍼 뒤의 전극이 이온 충격(ion bombardment)으로부터 본질적으로 완전하게 보호된다 하더라도, 플라즈마 에칭으로 인한 의도치 않은 불순물들이 챔버내에 존재하여 존재할 수 있다. 또한 큰 입자들이 플라즈마 내, 또한 웨이퍼 표면 상에 형성될 수 있다.
본 발명의 목적은 종래 기술에서 PI3오염 및 입자 문제를 극복하며, 동시에 어떠한 얇은 유전체의 브레이크다운없이 얕은 접합 주입부들의 제조수율을 높이는 것이다.
본 발명의 다른 목적은 적절한 균일성을 갖는 반도체의 PI3를 위한 저가이며 높은 제조 수율의 장치들을 제공하여 주입 도우즈를 제어하는 것이다.
발명의 요약
PI3를 달성하는 방법은 중성화 전자들을 제공하도록 주 (웨이퍼) 전극을 향하여 마주하는 제 2 음극에 인가된 짧은 이온화 펄스들에 접속 또는 이어져서, 웨이퍼 아래에 놓인 주 음극(primary cathode)에 인가되는 매우 짧은 이온화 음의 펄스들과 한 쌍의 전원들을 이용한다.
제 1 음극 이온화 펄스들의 온-타임(on-time) 및 듀티 사이클은 BF3플라즈마에서 입자들이 성장할 수 없도록 충분히 짧도록 이루어진다. 유전체를 보호하기 위해 필요한 중성화 전자들은 제 2 음극에 의해 제공된다.
발명의 상세한 설명
미국 특허 제 4764394 호에 기술된 바와 같은 종래 기술의 PI3의 Conrad 구조들과 방법들은 양 이온을 발생하도록 플라즈마를 지속적으로 보호하고 이온들을 워크피스(workpiece)를 향해 가속시키는 음 전압의 펄스들을 인가하는 소위 핫 음극 공정(hot cathode process)을 채용한다. Berkeley 에서 Cheung 등과 Varian 실험실에서의 선행된 PI3의 연구는 또한 BF3기체에서 플라즈마 방전이 발생되는 연속 전자 사이클로트론 공진(continous electron cyclotron resonance; ECR)을 가동시키고 웨이퍼에 양이온을 가속하기 위해 상기 웨이퍼 뒤에 있는 주 음극에 높은음 펄스를 제공했다. 본 발명자는 지속적으로 발생되는 플라즈마가 다음과 같은 것을 유발할 수 있음을 발견했다; 즉, (1) 챔버로부터 에칭되고 웨이퍼내에 주입될 불순물들의 상당한 농도들과, (2) 웨이퍼 표면상에 퇴적될 큰 입자들의 형성을 유발할 수 있다. 실험들은 지속적인 BF3플라즈마 방전이 웨이퍼뿐 아니라 챔버에서 노출된 표면들을 에칭하는 높은 반작용성 유형들(species)들 포함하고 있음을 보여준다. 또한, 입자들, 대부분 실리콘의 입자들은 계속하여 성장하고 표면 상에 퇴적된다.
본 발명자는 상기 두가지 문제들을 극복하고 원하는 주입을 얻기 위하여 냉음극 방전으로 알려진 공정을 사용할 수 있다는 것을 발견했다. 상기 냉음극 공정은 기체의 이온화를 위해 전자의 제 2 방출에 의존한다. 특히, 챔버내에서의 지속적인 플라즈마 없이, 높은 음 펄스를 사용함으로써, 펄스가 온일 때의 위치에서만플라즈마가 생성될 수 있을 것이다.
6 인치 웨이퍼에서, 저 듀티 사이클, 즉 1 %를 갖는 10 ㎲ 정도의 주 펄스가 바람직하다. 그러나 주 펄스폭이 15 밀리초 정도보다 작을 때 본 발명자는 어떠한 상당한 입자 형성도 발생하지 않는다는 것을 알았다. 더 짧은 펄스는 더 작은 직경의 웨이퍼에 대해 본 발명의 장점들을 제공한다. 지속적인 플라즈마에 대해, 15 ms 후 입자들은 임계 직경에 도달하고, 상기 입자는 높은 농도로 표면상에 형성되어 퇴적된다.
또한, 본 발명은 산화물 손상을 방지하기 위해 워크피스에 흐르는 중성화 전자들을 생성하도록 전자 소스에 대한 제 2 냉음극에 매우 짧은 고전압 펄스를 채용한다.
제 1 도를 참조하면, BF3가 병(11)으로부터 질량 유동 제어기(mass flow controller;10)를 통해 챔버에 도입되는 동안, 알루미늄 합금 챔버(9)는 1mTorr 정도의 압력으로 폄프(12)에 의해 하강된다. 웨이퍼(6)는, 전극이 상기 챔버벽(9)에 전기적으로 접속된 고리모양 원통벽들(5)에 의해 전기적으로 차폐되는, 챔버(9)의 벽에 있는 전극(4)에 장착된다. PS1, 즉, -5KV 정도의 펄스를 공급하는 고전압 전원(high voltage power supply; 3)은 전도체(14)를 통해 전극(4)에 접속된다. 전류 센서(13)는 상기 도선(14)에 있다. 챔버내에서, 전자 소스(7)는 전극(4)에 대하여 중앙에 위치된 것으로 도시되어 있으나, 제 2 전원(PS2)으로부터 -2KV 정도로 음극(7)에 공급되는 큰 음의 펄스에 응답하는 웨이퍼에 전자들의 균일한 흐름을 제공하기 위하여 전극(4)으로부터 제거된다. 상기 두개의 전원들(PS1,PS2)은 이들의 펄스들이 정확하게 동기되도록 단일 트리거 소스(sing1e trigger source)에 대해 각각 타이밍된다.
따라서, 기체의 사전-이온화(pre-ionization)가 없기 때문에, 5KV 정도로 전극(4)에 인가된 펄스된 음의 전압은, 전극(4)의 면으로부터 균일한 전위 챔버 벽까지 이어지는 전계들 라인들을 형성한다. 이러한 라인들은 하우징(housing)의 기하학적인 구조에 의해 제어된다.
전자는 더 가볍고 매우 이동성이 있으며, 모든 전계 라인들은 전극(4)의 표면까지 아래로 집중되기 때문에 웨이퍼(6)에 근처에 있는 전자들은 펄스 인가시 기체로부터 떨어지기 시작한다. 이것으로 상기 영역에서는 더 느리게 움직이는 양이온이 남게 된다. 그 다음, 이들 이온들은 가속되어 웨이퍼에 주입된다. 도우즈 주입(dose implant)의 균일성은 제 1 시그마 분포에 대해 6 인치 웨이퍼에 걸쳐서 2% 정도이다.
단기간 이온화 펄스는 펄스가 온시에만 불순물이 형성될 수 있도록 하기 위해 챔버벽 에칭을 완전히 제거하지 않는다.
지속적인 이온화를 이용하여 형성된 많은 더 큰 입자들이 음으로 충전되는 것이 결정되어 왔다. 바이어스 공급부(15)로부터의 작은 음의 바이어스를 이용함으로써, 웨이퍼에 도달하려는 상기 음으로 충전된 이온을 최대한 편향시킬 수가 있다.
제 3(a) 도 및 3(b) 도를 참조하면, PS1으로부터의 전압 펄스들(30)은 PS2으로부터의 전압 펄스열(31)에 의해 즉시 뒤따르는 것이 도시되어 있다. 펄스열(pulse train; 30)의 펄스폭(W)은 1 에서 30 ㎲가 바람직하고, 펄스 주기는 100W 정도이다. PS1 펄스의 펄스폭(W')은 같은 수준이다. 펄스들(30,31) 양자 모두는 편의를 위해 양의 형식으로 도시되어 있다. 양호한 장치/전체 장치들 중 반복할 수 있는 확률의 견지에서 가장 잘 제조된 산물은 펄스(31)가 펄스(30)의 후단에 연이어 따를 때 얻어질 수 있다. 그러나 제 3(c) 도 및 3(d)도에 도시된 바와 같이 펄스 30' 및 31' 는 전자가 이온 주입과 동시에 유전체를 중화시킬 수 있도록 알맞게 겹쳐질 수 있다. 본 발명의 몇가지 장점이 달성됨에도 불구하고 이해하기 어려운 이유는 적절한 장치의 생산이 많지 못하거나 상기 겹쳐지는 펄스를 반복할 수 없는데 있다.
냉음극(7)은 비오염성 재료로 선택되어지거나 그러한 재료로 클래딩된다. Ni 가 플루오린 플라즈마에서 비활성이기 때문에 허용되기도 하나 고순도의 무정형 탄소를 사용함이 양호하다. 전극(7) 표면의 형태 배열 또한 중요하다. 표면을 거칠게 하는 방법들에 의한 전자 방출 효과가 전자 쇄도(flooding) 밀도를 증가시키는데 유용하다. 일반적으로 곡면의 외부 표면은 평면 장치보다 표면 영역에 더 많이 제공되고 웨이퍼 표면에 더욱 균일한 전계 라인을 제공하는데 양호하다.
주입된 웨이퍼의 냉각후 본 발명은 평방당 130 ohms 내지 1K ohms 까지 양호하게는 평방당 150 ohms 의 얇은 판 저항 및 약 900Å의 접합 깊이가 사용됨을 증명하였다. 또한 입자 계수는 비청결 공간 환경 (0.3→2.5㎛ 입자 직경)에서 1입자/㎠ 보다 작다. 상대적으로 BF3ECR 공정에 대한 입자 계수는 더 높은 크기의 여러 등급이 있다.
제 2 도를 참조하면, 전류 센서(13)가 도우즈 제어기 (25)와 접속되어 있음을 알 수 있다, 상기 실시예에서, 도우즈 선택(29)에 응답하는 도우즈 제어기는 주입이 있는 동안 공정을 측정할 수 있고 적절한 도우즈가 얻어질 때 전원 공급기(2) 및 (3)를 차단한다.
PS1 및 PS2 는 유도성 전원들이기 때문에 순간적으로 펄스 전류를 턴오프할 수가 없다. 이것으로 주입되는 이온 도우즈를 결정하기 위해 도우즈 제어기의 기능은 복잡해진다. 이온 주입 전압 펄스가 1OKV 이하 인한, 실리콘의 웨이퍼 표면에서 발생된 2 차 전자는 3% 이하이다. 따라서, PS1 이 턴오프되며 센서(13)에 의해 측정된 전류 펄스 파형은 제 4(a)도에 도시된 형태로 이루어진다. 상기 파형은 영역(41)을 포함하며 상기 영역(41)에서 전류는 PS2 로부터의 펄스에 응답하여 웨이퍼(6)의 표면에 양 이온의 흐름을 나타내준다. 또한 제 4(a) 도에 도시된 바와 같이 파형은 영역(42)읕 포함하며 상기 영역(42)에서 전류는 전원로부터 유도적으로 생성된 전류에서의 선속 결합 때문에 순간적으로 정지하는 전류의 쇠퇴 원인을 나타내준다. 양 이온의 도우즈를 측정하기 위해서 양이온 전류에 기인하지 않는 파형(40)의 영역(42)을 제거할 필요가 있다. 상기 목적을 달성하기 위하여 펄스(41)의 상승 시간 및 하강 시간은 같으나 영역(43)에 도시된 바와 같이 반대 극성의 음 영역(42)을 완전하제 제거하는 파형(44)으로 감지된 전류 파형(40)을 전환시킬 수있는 회로가 제공된다. 생성된 파형(44)을 가지므로 이제 전체 이온 주입의 측정값 즉 시간의 함수로서의 도우즈에 도달하기 위해 각각의 펄스(36)를 통합할 수 있게 된다.
제 5 도의 블럭도는 도우즈 제어 회로 소자를 도시한다. 입력 신호(59)는 접지에 대해 2 개의 극성을 갖는 전류 파형이다. 상기 입력 신호(59)는 전자 셔터(electronic shutter;50)로 보내진다.
또한 수동 펄스폭 조정기(51)를 포함하는 펄스폭 선택기(51)가 전자 셔터에 접속된다. 상기 접속된 펄스폭 선택기는 트리거 발생기(1)로부터의 기준 트리거이다. 필요한 펄스 셔터 기능을 실현할 수 있는 다른 회로는 많이 존재한다. 후술하는 회로는 가능한 많은 다른 회로중에 하나의 회로이다.
펄스폭 선택기(51)로부터의 펄스폭이 제 4(a)도의 양극 영역(41)과 정확하게 같은 폭이 될 때, 셔터(50)의 출력은 접지로 전환되고 음극 영역(42)이 제거되며 적분기에 전달되는 신호(55)는 제 4(b)도에 도시된 바와 같이 된다. 적분기의 출력(64)은 도우즈 표시자로 사용될 수 있으며 비교기(54)에 제공된다. 상기 비교기(54)는 선택 조정부(53)가 안정한 전압 도우즈 조정을 기준 레벨로 설정할 수 있게 한다. 아날로그 집적 전압이 기준 레벨(53)을 초과시, 비교기(58)는 전원(3)를 턴 오프하여 신호(58)를 보내서 워크피스(workpiece)에 주입을 종료한다.
제 6 도를 참조하면, 상기 회로는 제 5 도의 블럭도의 기능을 더 상세히 설명하기 위해 도시되었다. 트리거 회로(51)는 National Semiconductor Corporation에 의해 제조된 3905N 칩을 기초로 한다. 핀(2)와 (3) 사이에 접속된 500 옴의 가변 저항은 30 턴(turn)의 정밀 저항이다. 이 회로는 RC 시간 적분이 온 타임을 결정하는 타이머 회로이다. 표준 one-shot 멀티 바이브레이터 회로와 같은 다른 회로도 이 요구를 만족시킨다.
3905N 칩의 핀(6)에서 출력되는 펄스는 Harris Corporation 에 의해 제조된 IH-301 칩의 핀(6)에 접속된다. 상기 Hl-301 은 매우 동작이 빠른 스위치 회로로서 핀(6)의 입력이 하이일 때 핀(2)의 입력 신호를 출력핀(4)으로 전달하며 핀(6)의 입력이 로우일때 핀(4) 출력은 접지되어 있는 핀(7)에 신호를 전달한다. 타이머(51)의 "온 타임(on time)"을 수동으로 조정하기 위해 오실로스코우프를 이용할 때, 셔터 스위칭 시간은 펄스 전압의 펄스 오프 타임인 1.0 ㎲ 이내에서 매우 쉽게 조정된다.
HI-301 의 핀(4) 출력은 적분 회로(52)에 접속되는데 상기 적분 회로는 아날로그 장치 사이에서 제조된 0P-AMP 827 로 구성된다. 수동 스위치(SWI)가 시간 적분을 선택하기 위해 피드백(Feedback) 상태에서 접속된다.
증폭기(60)는 제 6(a) 도에서 상세히 설명되는데 이것은 Nationa1 Semiconductor Corporation 에서 제조된 단일 444 칩상의 전압 폴로워이다. 상기 증폭기 (60)의 출력은 비교기 회로(54)에 접속된다. 상기 비교기 회로(54)는 출력을 Motorola 에 의해 제조된 3302 칩의 핀(2)에 제공하는데 상기 3302 칩은 2 개의 입력핀(5)과 핀(4)의 레벨에 따라 5V 와 접지간을 전환한다. 핀(4)의 전압은 핀(4)에 접속된 200ohm 전위 분할기를 조정하여 선택되어지므로 사용자는 비교기 출력이 전환되는 도우즈 레벨을 조정할 수 있다. 비교기 (54) 출력은 원하는 순차로 고전압 펄스 발생기의 동작을 정지시키기 위해 소정의 제어 회로를 구동시킬 수 있는 전압 폴로워에 접속된다. 제 6(b) 도에 상세히 설명된 전원 공급 구동 회로(62)가 한 예이다. 전원 공급 구동기(62)는 제 7 도에서 더 상세히 설명된 펄스 제어 장치(100)와 접속된다. 타이머(81)는 National Semiconductor Corporation 에서 제조된 DM74L5109AN 칩에 접속되어 광 절연체 (84)를 통해 펄스 발생기(83)를 구동시킨다. 펄스 발생기(83)는 캘리포니아 샌디에고에 있는 Velonix Inc 에 의해 제조된 Mode1 350 이다.
본 발명은 명세서에 기술된 특수한 실시예에 한정되지 않고 이후 청구범위 사상에 따른 모든 변형된 형태도 포함하는 것을 알 수 있다.
제 1 도는 본 발명의 PI3시스템의 개략적인 블럭도.
제 2 도는 자동 도우즈 제어기를 구비한 본 발명의 PI3시스템의 더 상세한 블럭도.
제 3(a) 도 내지 3(d) 도는 양극 펄스 및 음극 펄스의 적절한 관계를 예시하는 타이밍도.
제 4(a) 도는 양극 회로에 직렬인 전류 센서에서 측정된 전류의 예시도.
제 4(b) 도는 제 4(a) 도에서 음 영역의 제거 후의 양극 전류의 예시도.
제 5 도는 도우즈 제어기의 개략적인 블럭도.
제 6 도는 양호한 도우즈 제어기의 개요도.
제 6(a) 도는 제 6 도에서 예시한 증폭기의 개요도.
제 6(b) 도는 제 6 도의 또 다른 증폭기의 개요도.
제 6(c) 도는 제 6 도의 고전압 펄스 제어 회로의 개요도.
제 6(d) 도는 제 6 도의 집적 회로의 개요도.
제 7 도는 제 6 도의 펄스 제어 회로의 개요도.
* 도면의 주요부분에 대한 부호의 설명
1 : 트리거 발생기 2 : 제 2 전원 공급기(PS2)
3 : 제 1 전원 공급기(PS1) 4 : 전극

Claims (32)

  1. 반도체 웨이퍼(semiconductor wafer)에 이온들(ions)을 주입하기 위한 방법에 있어서,
    상기 반도체 웨이퍼를 챔버(chamber)내의 제 1 전극(first electrode) 상에 지지시키는 단계와,
    상기 반도체 웨이퍼에 주입될 도펀트들(dopants)을 포함하는 기체를 상기 챔버 내에 주입하는 단계와,
    상기 제 1 전극에 복수의 제 1 전압 펄스들(first voltage pulses)을 순차적으로 공급하는 단계로서, 상기 제 1 전압 펄스들 각각은 크기가 1OkV 이하인 직류(DC) 전압 펄스들이고, 상기 제 1 전압 펄스들 각각은 상기 반도체 웨이퍼에 인접한 플라즈마(plasma)를 생성하고 상기 반도체 웨이퍼 내에 상기 플라즈마로부터의 이온들을 가속 및 주입하기 위해 상기 기체를 동시에 이온화하는, 상기 공급하는 단계와,
    상기 제 1 전압 펄스들 각각 사이의 플라즈마를 소멸시키기 위해 상기 각각의 제 1 전압 펄스들 이후 모든 플라즈마-유도 전계들을 제거하는 단계를 포함하는, 상기 이온 주입 방법.
  2. 제 1 항에 있어서,
    상기 기체는 BF3기체를 포함하고, 상기 제 1 전압 펄스들은 BF3기체 분자들(gas molecules)로부터 전자들을 떼어내는 2차 방출 전자들(secondary emission electrons)을 생성함으로써 상기 플라즈마를 형성하는, 상기 이온 주입 방법.
  3. 제 2 항에 있어서,
    상기 제 1 전압 펄스들 각각은 10 ㎲ 정도의 지속시간을 갖는, 상기 이온 주입 방법.
  4. 제 3 항에 있어서,
    상기 복수의 제 1 전압 펄스들은 1 % 정도의 듀티 사이클(duty cyc1e)을 갖는, 상기 이온 주입 방법.
  5. 반도체 웨이퍼에 이온들을 주입하기 위한 방법에 있어서,
    상기 반도체 웨이퍼를 챔버 내의 제 1 전극 상에 지지시키는 단계와,
    상기 반도체 웨이퍼 내에 주입될 도펀트들을 포함하는 기체를 상기 챔버내에 주입하는 단계와,
    상기 제 1 전극에 제 1 전압 펄스들을 공급하는 단계로서, 상기 제 1 전압 펄스들은 크기가 1OkV 이하인 직류(DC) 전압 펄스들이고, 상기 반도체 웨이퍼의 표면에 불연속 플라즈마(non-continuous plasma)를 생성하고 상기 불연속 플라즈마로부터 상기 표면 내에 이온들을 가속 및 주입하기 위해 상기 기체를 이온화하며, 상기 제 1 전압 펄스들은 상기 표면 상에 퇴적되는 입자들의 층형성에 기여하지 않도록 충분히 짧은 지속시간을 갖는, 상기 공급하는 단계를 포함하는, 상기 이온 주입방법.
  6. 반도체 웨이퍼에 이온들을 주입하기 위한 방법에 있어서,
    상기 반도체 웨이퍼를 챔버 내의 제 1 전극 상에 지지시키는 단계로서, 상기 제 1 전극은 제 1 펄스 전압 소스에 전기적으로 접속되는, 상기 지지시키는 단계와,
    상기 제 1 전극에 제 1 전압 펄스들을 공급하는 단계로서, 상기 제 1 전압 펄스들은 크기가 1OkV 이하이고, 상기 반도체 웨이퍼의 표면에 불연속 플라즈마의 형성을 유도하고 상기 반도체 웨이퍼 표면 내에 상기 불연속 플라즈마로부터의 이온들을 가속하며, 상기 제 1 전압 펄스들은 상기 반도체 웨이퍼 표면 상에 퇴적하는 입자들의 층형성에 기여하지 않도록 충분히 짧은 지속시간을 갖는, 상기 공급하는 단계와,
    그 위에 축적된 전하를 중성화하도록 상기 반도체 웨이퍼 표면에 중성화 전자들의 흐름을 제공하고, 그에 의해 표면 전하 유도된 손상(surface charge induced damage)을 피하는 단계를 포함하며;
    상기 중성화 전자들의 흐름을 제공하는 상기 단계는,
    냉음극 전자 소스를 상기 챔버 내에 제공하는 단계로서, 상기 소스는 제 2 펄스 전압 소스에 전기적으로 접속되는, 상기 챔버 내에 제공하는 단계와,
    상기 제 1 전압 펄스들에 타이밍되는 제 2 전압 펄스들로 상기 냉음극 전자소스를 펄싱(pulsing)하는 단계를 포함하는, 상기 이온 주입 방법.
  7. 제 6 항에 있어서,
    상기 제 2 전압 펄스들은 상기 제 1 전압 펄스들이 스위치 오프(switched off)될 때 스위치 온(switched on) 되는, 상기 이온 주입 방법.
  8. 제 5 항에 있어서,
    상기 기체는 BF3를 포함하고, 상기 제 1 전압 펄스들은 BF3기체 분자들로부터 전자들을 떼어냄으로써, 불연속 플라즈마를 형성하고, 여기서 음의 시스 전압(negative sheath voltage)은 상기 제 1 전극의 상단에 형성되고 양의 플라즈마 이온들을 상기 제 1 전극을 향하여 가속시키는, 상기 이온 주입 방법.
  9. 제 8 항에 있어서,
    상기 BF3기체는 1 밀리토르 정도의 압력을 갖는, 상기 이온 주입 방법.
  10. 제 5 항에 있어서,
    상기 반도체 표면에 음으로 하전된 입자들을 편향시키기 위해 상기 반도체 웨이퍼에 음의 바이어스 전압을 인가하는 단계를 더 포함하는, 상기 이온 주입 방법.
  11. 비-래스터 주사 주입 장치(non-raster scan implantation apparatus)에 있어서,
    진공 챔버로서, 상기 챔버는 벽들을 갖고, 한 상기 벽은 그 안에 장착된 전극을 갖고, 상기 전극은 상기 웨이퍼에 양의 이온들을 주입하기 위해 그 위에 웨이퍼를 지지하는 적어도 하나의 평면 표면(planar surface)을 갖는, 상기 진공 챔버와,
    상기 웨이퍼 상의 양의 전하를 중성화시키기 위한 전자 소스로서, 상기 전자소스는 상기 전극의 상기 평면 표면을 향하여 큰 단면적의 전자들 흐름 공급하도록상기 챔버 내에 장착되는, 상기 전자 소스와,
    이온화 가능한 기체의 소스를 상기 챔버에 공급하는 수단으로서, 상기 기체는 상기 웨이퍼로의 주입을 위하여 원하는 도펀트(dopant)를 포함하는, 상기 공급수단과,
    제 1 및 제 2 고전압 펄스를 공급하는 수단으로서, 상기 제 1 고전압 펄스는, 상기 도펀트의 상기 이온화 가능한 기체로부터 양 이온들을 생성하도록 냉음극이온화 전계(cold cathode ionizing field)를 생성하고 상기 도펀트를 상기 전극을향하여 가속시키기 위해, 상기 전극과 상기 챔버 사이에 접속되며, 상기 제 2 고전압 펄스는, 상기 제 2 펄스에 응답하여 상기 전극 소스로부터 전자들을 제공하기 위해, 상기 전자 소스와 상기 챔버 사이에 접속되는, 상기 공급하는 수단 및,
    상기 제 1 및 제 2 고전압 펄스들 사이의 동기화 관계(synchronizing relationship)를 허용하기 위해, 상기 제 1 및 제 2 고전압 펄스를 공급하기 위한 상기 수단에 접속되는 동기화 트리거 수단(synchronizing trigger means)을 포함하는, 비-래스터 주사 주입 장치.
  12. 제 11 항에 있어서,
    상기 전극과 상기 제 1 및 제 2 고전압 펄스를 제공하기 위한 수단 사이에 접속되어, 상기 제 1 고전압 펄스에 응답하는 웨이퍼에 대한 주입 이온 전하 흐름(implantation ion charge flow)에 응답하여 상기 전극 전류를 표시하는 출력신호를 제공하는, 전극 전류 감지 수단(electrode current sensing means)을 포함하는, 비-래스터 주사 주입 장치.
  13. 제 12 항에 있어서,
    도우즈 제어기(dose controller)를 포함하며, 상기 도우즈 제어기는 상기 전극 전류 감지 수단의 상기 출력 신호 및 상기 동기화 트리거 수단에 접속되고, 상기 도우즈 제어기는 상기 웨이퍼에 주입된 도펀트의 도우즈를 나타내는 신호를 결정하기 위해 상기 주입 이온 전하 흐름의 시간 적분(time integral)을 계산하기 위한 수단을 포함하는, 비-래스터 주사 주입 장치.
  14. 제 13 항에 있어서,
    상기 도우즈 제어기는 상기 도우즈를 나타내는 상기 신호와 조작자 선택된 도우즈 종료점 신호(operator selected dose end-point signal)를 비교하는 수단과,
    상기 도우즈 종료점에 도달할 때, 상기 비교에 응답하여 제 1 및 제 2 고전압 펄스들을 공급하기 위한 상기 수단에 의한 펄싱을 중단시키는 수단을 포함하는, 비-래스터 주사 주입 장치.
  15. 제 11 항에 있어서,
    상기 전자 소스는 플라즈마 종류에 화학적으로 비활성인 전기적 전도성 재료인, 비-래스터 주사 주입 장치.
  16. 제 11 항에 있어서,
    상기 전자 소스는 비정질 탄소 재료 또는 순수한 탄소로 구성된, 비-래스터 주사 주입 장치.
  17. 제 11 항에 있어서,
    상기 전자 소스는 Ni 또는 Ni 피복 재료로 구성된, 비-래스터 주사 주입 장치.
  18. 제 11 항에 있어서,
    상기 전자 소스는 전자 방출 효율(electron emission efficiency)을 개선하도록 거친 표면을 갖는, 비-래스터 주사 주입 장치.
  19. 제 18 항에 있어서,
    상기 거칠게 하는 것은 상기 표면 상에 미세한 방출 팁들(fine emission tips)을 제공하는 것을 포함하는, 비-래스터 주사 주입 장치.
  20. 제 11 항에 있어서,
    음으로 하전된 저속 입자들이 상기 웨이퍼에 도달하는 것을 피하기 위해, 상기 전극은 저 전압 음의 바이어스 전원에 더 접속되는, 비-래스터 주사 주입 장치.
  21. 제 11 항에 있어서,
    상기 제 1 및 제 2 고전압 펄스를 공급하는 수단은 제 1 전원 및 제 2 전원을 포함하는, 비-래스터 주사 주입 장치.
  22. 제 6 항에 있어서,
    상기 웨이퍼로부터의 상기 표면 재료들은 적어도 유전체 재료를 포함하며, 상기 웨이퍼 표면에 중성화 전자들을 제공하는 단계는, 다른 방법이었다면 상기 표면에 손상을 입히는, 전계 유도된 유전체 브레이크다운(electric field induced dielectric breakdown)을 유발했을 표면 전하 축적을 회피하는, 상기 이온 주입 방법.
  23. 표면을 갖는 반도체 웨이퍼에 이온들을 주입하는 방법에 있어서,
    그 안에 이온화 가능한 기체를 갖는 챔버 내의 제 1 전극 상에 상기 반도체 웨이퍼를 지지시키는 단계로서, 상기 전극은 전압 소스에 전기적으로 접속되어 있는, 상기 지지시키는 단계와,
    상기 제 1 전극에 복수의 제 1 전압 펄스들을 순차적으로 제공하는 단계로서, 상기 제 1 전압 펄스들 각각은 크기가 1OkV 이하이고, 이온들을 갖는 플라즈마를 상기 반도체 웨이퍼 표면 근방에 생성하고, 이온들을 갖는 상기 플라즈마를 생성한 후, 상기 제 1 전압 펄스 각각은 상기 반도체 웨이퍼 표면으로 상기 이온들을 가속시키는, 상기 제1 전압 펄스들을 제공하는 단계와,
    상기 플라즈마가 상기 제 1 전압 펄스들 사이에서 소멸되도록, 상기 각각의 제 1 전압 펄스 이후 모든 플라즈마 유도 전계들을 제거하는 단계와,
    상기 챔버에 냉음극 전자 소스를 공급하는 단계로서, 상기 음극 전자 소스는 제 2 펄스 전압 소스에 전기적으로 접속되는, 상기 냉음극 전자 소스를 공급하는 단계와,
    상기 반도체 웨이퍼의 상기 표면 상에 축적된 전하를 중성화하는 전자들의 펄스 흐름을 상기 반도체 웨이퍼 표면에 제공하기 위해, 상기 냉음극 전자 소스에복수의 제 2 전압 펄스들을 순차적으로 제공하는 단계로서, 상기 제 2 전압 펄스들은 상기 제 1 전압 펄스들과 타이밍이 맞추어지는, 상기 제 2 전압 펄스들을 순차적으로 제공하는 단계를 포함하는, 상기 이온 주입 방법.
  24. 제 23 항에 있어서,
    상기 제 2 전압 펄스들은 상기 제 1 전압 펄스들이 스위치 오프될 때 스위치 온되는, 상기 이온 주입 방법.
  25. 제 1 항에 있어서,
    상기 제 1 전압 펄스들 각각은 1 내지 30 ㎲의 범위의 펄스 폭을 갖는, 상기 이온 주입 방법.
  26. 제 5 항에 있어서,
    상기 제 1 전압 펄스들은 1 내지 30 ㎲의 범위에 있는 펄스 폭을 갖는, 상기 이온 주입 방법.
  27. 워크피스(workpiece)를 처리하는 방법에 있어서,
    처리 챔버(treatment chamber)의 내부에 상기 워크피스를 삽입하고, 상기 워크피스의 처리 표면이 상기 처리 챔버의 내부의 처리 영역을 향하도록, 전도성 워크피스 지지체 상에 상기 워크피를 지지시키는 단계로서, 상기 처리 챔버는 상기처리 챔버의 내부의 경계를 정하는 전도성 벽 부분을 갖는, 상기 삽입 및 지지 단계와,
    기체 분자들이 상기 처리 영역을 차지하도록 상기 처리 챔버 내에서 중성적으로 비하전된 기체 분자들을 포함하는 처리 물질을 주입하는 단계와,
    상기 처리 챔버에 주입된 기체 분자들을 이온화하고 그 결과로 생긴 하전된 입자들을 상기 워크피스에 가속 및 주입하기 위해, DC 전압 펄스들을 인가함으로써 상기 처리 챔버의 전도성 벽 부분 및 상기 전도성 워크피스 지지체를 반복하여 상대적으로 바이어스하는 단계를 포함하는, 상기 워크피스 처리 방법.
  28. 제 27 항에 있어서,
    상기 전도성 워크피스 지지체를 반복하여 상대적으로 바이어스하는 상기 단계는, 상기 처리 챔버에 주입된 기체 분자들을 이온화하고 그 결과로 생긴 양으로 하전된 이온들을 상기 워크피스에 가속 및 주입하기 위해, 상기 처리 챔버의 전도성 벽 부분에 대하여 전도성 워크피스 지지체를 반복하여 음으로 바이어스하는 단계를 포함하는, 상기 워크피스 처리 방법.
  29. 제 27 항에 있어서,
    상기 전도성 워크피스 지지체를 반복하여 상대적으로 바이어스하는 상기 단계는, 상기 전도성 벽 부분을 기준 전위로 유지하면서, 상기 전도성 워크피스 지지체에 DC 전압 펄스들을 인가하는 단계를 포함하는, 상기 워크피스 처리 방법.
  30. 워크피스를 처리하는 장치에 있어서,
    챔버 내부를 한정하고 상기 챔버 내부의 경계를 정하는 전도성 벽 부분을 갖는 처리 챔버와,
    상기 처리 챔버의 챔버 내부에 상기 워크피스를 지지시키기 위한 전도성 워크피스 지지체와,
    기체 분자들이 상기 전도성 워크피스 지지체에 의해 지지되는 워크피스에 인접하는 처리 영역을 차지하도록, 기체 분자들을 포함하는 처리 물질을 상기 처리 챔버에 주입하는 주입기(injector)와,
    상기 처리 챔버의 전도성 벽 부분과 상기 전도성 워크피스 지지체를 반복하여 상대적으로 바이어스하기 위한 제어 회로를 포함하며;
    상기 제어 회로는 상기 처리 챔버에 주입된 기체 분자들을 이온화하고 그 결과로 생긴 하전된 입자들을 상기 워크피스에 주입하는 순차적인 DC 전압 펄스들을 제공하기 위한 전압 소스를 포함하는, 상기 워크피스 처리 장치.
  31. 제 30 항에 있어서,
    상기 제어 회로는, 상기 처리 챔버에 주입된 기체 분자들을 이온화하고 그 결과로 생긴 양으로 하전된 이온들을 상기 워크피스에 주입하기 위해, 상기 처리 챔버의 전도성 벽 부분에 대하여 전도성 워크피스 지지체를 반복하여 음으로 바이어스하는, 상기 워크피스 처리 장치.
  32. 제 30 항에 있어서,
    상기 전압 소스는 상기 전도성 워크피스 지지체에 DC 전압 펄스들을 인가하고, 상기 제어 회로는 상기 전도성 벽 부분을 기준 전위로 유지하는, 상기 워크피스 처리 장치.
KR1019940009891A 1993-05-07 1994-05-06 반도체웨이퍼에이온들을주입하는방법,비-래스터주사주입장치,표면을갖는반도체웨이퍼로의주입방법,및워크피스처리방법및장치 KR100337718B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US059,036 1993-05-07
US08/059,036 US5354381A (en) 1993-05-07 1993-05-07 Plasma immersion ion implantation (PI3) apparatus

Publications (2)

Publication Number Publication Date
KR940027108A KR940027108A (ko) 1994-12-10
KR100337718B1 true KR100337718B1 (ko) 2002-11-18

Family

ID=22020408

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940009891A KR100337718B1 (ko) 1993-05-07 1994-05-06 반도체웨이퍼에이온들을주입하는방법,비-래스터주사주입장치,표면을갖는반도체웨이퍼로의주입방법,및워크피스처리방법및장치

Country Status (3)

Country Link
US (2) US5354381A (ko)
JP (1) JP3856846B2 (ko)
KR (1) KR100337718B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100635786B1 (ko) 2005-10-05 2006-10-18 삼성전자주식회사 플라스마 도핑 방법 및 이를 수행하기 위한 플라스마 도핑장치

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5330800A (en) * 1992-11-04 1994-07-19 Hughes Aircraft Company High impedance plasma ion implantation method and apparatus
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5883016A (en) * 1994-06-08 1999-03-16 Northeastern University Apparatus and method for hydrogenating polysilicon thin film transistors by plasma immersion ion implantation
FR2732818B1 (fr) * 1995-04-07 1997-06-20 Centre Nat Rech Scient Procede et dispositif de controle de la polarisation d'un corps plonge dans un plasma
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5672541A (en) * 1995-06-14 1997-09-30 Wisconsin Alumni Research Foundation Ultra-shallow junction semiconductor device fabrication
US5693376A (en) * 1995-06-23 1997-12-02 Wisconsin Alumni Research Foundation Method for plasma source ion implantation and deposition for cylindrical surfaces
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
DE19538903A1 (de) * 1995-10-19 1997-04-24 Rossendorf Forschzent Verfahren zur Implantation von Ionen in leitende bzw. halbleitende Werkstücke mittels Plasmaimmersionsionenimplantation (P III) und Implantationskammer zur Durchführung des Verfahrens
US6406998B1 (en) * 1996-02-05 2002-06-18 Micron Technology, Inc. Formation of silicided contact by ion implantation
US5914494A (en) * 1996-03-27 1999-06-22 Thermoceramix, Llc Arc chamber for an ion implantation system
US6022258A (en) * 1996-03-27 2000-02-08 Thermoceramix, Llc ARC chamber for an ion implantation system
US6239440B1 (en) 1996-03-27 2001-05-29 Thermoceramix, L.L.C. Arc chamber for an ion implantation system
US5857889A (en) * 1996-03-27 1999-01-12 Thermoceramix, Llc Arc Chamber for an ion implantation system
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6159824A (en) 1997-05-12 2000-12-12 Silicon Genesis Corporation Silicon-on-silicon wafer bonding process using a thin film blister-separation method
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
WO1999006110A1 (en) * 1997-07-29 1999-02-11 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
ATE215132T1 (de) * 1997-12-15 2002-04-15 Volkswagen Ag Plasmaborierung
JPH11214320A (ja) * 1998-01-20 1999-08-06 Handotai Process Kenkyusho:Kk 半導体層への不純物領域形成方法及び半導体層への不純物導入装置
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6068928A (en) * 1998-02-25 2000-05-30 Siemens Aktiengesellschaft Method for producing a polycrystalline silicon structure and polycrystalline silicon layer to be produced by the method
US6329703B1 (en) 1998-02-25 2001-12-11 Infineon Technologies Ag Contact between a monocrystalline silicon region and a polycrystalline silicon structure and method for producing such a contact
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
US6156613A (en) * 1998-03-02 2000-12-05 Texas Instruments - Acer Incorporated Method to form MOSFET with an elevated source/drain
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6433553B1 (en) 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7309997B1 (en) 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
US6531367B2 (en) * 2001-03-20 2003-03-11 Macronix International Co., Ltd. Method for forming ultra-shallow junction by boron plasma doping
US6716727B2 (en) * 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US6891173B2 (en) * 2001-10-26 2005-05-10 Varian Semiconductor Equipment Associates, Inc. Ion implantation systems and methods utilizing a downstream gas source
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US7163901B2 (en) 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
US6878415B2 (en) * 2002-04-15 2005-04-12 Varian Semiconductor Equipment Associates, Inc. Methods for chemical formation of thin film layers using short-time thermal processes
US6755933B2 (en) * 2002-04-19 2004-06-29 Macronix International Co., Ltd. Semiconductor process recording apparatus
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
FR2871934B1 (fr) * 2004-06-16 2006-09-22 Ion Beam Services Sa Alimentation d'implanteur ionique prevue pour une limitation de l'effet de charge
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7396746B2 (en) * 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060043531A1 (en) * 2004-08-27 2006-03-02 Varian Semiconductor Equipment Associates, Inc. Reduction of source and drain parasitic capacitance in CMOS devices
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060099830A1 (en) * 2004-11-05 2006-05-11 Varian Semiconductor Equipment Associates, Inc. Plasma implantation using halogenated dopant species to limit deposition of surface layers
CN1315150C (zh) * 2004-11-12 2007-05-09 哈尔滨工业大学 等离子体脉冲注入的装置
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US7326937B2 (en) * 2005-03-09 2008-02-05 Verian Semiconductor Equipment Associates, Inc. Plasma ion implantation systems and methods using solid source of dopant material
US7428915B2 (en) 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
FR2888404A1 (fr) * 2005-07-05 2007-01-12 St Microelectronics Sa Procede de fabrication d'un circuit integre comprenant une photodiode et circuit integre correspondant
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US7666771B2 (en) * 2005-12-09 2010-02-23 Semequip, Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
US20070137576A1 (en) * 2005-12-19 2007-06-21 Varian Semiconductor Equipment Associates, Inc. Technique for providing an inductively coupled radio frequency plasma flood gun
US20100330787A1 (en) * 2006-08-18 2010-12-30 Piero Sferlazzo Apparatus and method for ultra-shallow implantation in a semiconductor device
US7914692B2 (en) * 2006-08-29 2011-03-29 Ngk Insulators, Ltd. Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US7592212B2 (en) * 2007-04-06 2009-09-22 Micron Technology, Inc. Methods for determining a dose of an impurity implanted in a semiconductor substrate
MY154004A (en) * 2007-05-23 2015-04-30 Southwest Res Inst Plasma immersion ion processing fro coating of hollow substrates
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US9175381B2 (en) * 2008-07-09 2015-11-03 Southwest Research Institute Processing tubular surfaces using double glow discharge
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
CN101899646B (zh) * 2010-06-03 2012-01-18 复旦大学 一种等离子体浸没注入剂量的检测装置
FR2961948B1 (fr) * 2010-06-23 2012-08-03 Soitec Silicon On Insulator Procede de traitement d'une piece en materiau compose
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US8471476B2 (en) 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8753725B2 (en) 2011-03-11 2014-06-17 Southwest Research Institute Method for plasma immersion ion processing and depositing coatings in hollow substrates using a heated center electrode
US8461558B2 (en) 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
FR2980911B1 (fr) 2011-10-04 2013-11-22 Ion Beam Services Module de commande pour implanteur ionique
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
JP2014086137A (ja) * 2012-10-19 2014-05-12 Ran Technical Service Kk コールドカソード型イオン源
US9121540B2 (en) 2012-11-21 2015-09-01 Southwest Research Institute Superhydrophobic compositions and coating process for the internal surface of tubular structures

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3471316A (en) * 1965-06-14 1969-10-07 Continental Can Co Method of forming a flexible organic layer on metal by a pulsed electrical abnormal glow discharge
US3479269A (en) * 1967-01-04 1969-11-18 Bell Telephone Labor Inc Method for sputter etching using a high frequency negative pulse train
US3732158A (en) * 1971-01-14 1973-05-08 Nasa Method and apparatus for sputtering utilizing an apertured electrode and a pulsed substrate bias
US3988955A (en) * 1972-12-14 1976-11-02 Engel Niels N Coated steel product and process of producing the same
US4463255A (en) * 1980-09-24 1984-07-31 Varian Associates, Inc. Apparatus for enhanced neutralization of positively charged ion beam
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPH0697676B2 (ja) * 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
DE3700633C2 (de) 1987-01-12 1997-02-20 Reinar Dr Gruen Verfahren und Vorrichtung zum schonenden Beschichten elektrisch leitender Gegenstände mittels Plasma
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
KR930003857B1 (ko) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4925542A (en) * 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5136171A (en) * 1990-03-02 1992-08-04 Varian Associates, Inc. Charge neutralization apparatus for ion implantation system
JP2719036B2 (ja) * 1990-08-10 1998-02-25 株式会社富士電機総合研究所 非晶質光電変換装置およびその製造方法
US5126163A (en) * 1990-09-05 1992-06-30 Northeastern University Method for metal ion implantation using multiple pulsed arcs
US5296272A (en) * 1990-10-10 1994-03-22 Hughes Aircraft Company Method of implanting ions from a plasma into an object
US5286676A (en) * 1992-06-15 1994-02-15 Hewlett-Packard Company Methods of making integrated circuit barrier structures
US5306408A (en) * 1992-06-29 1994-04-26 Ism Technologies, Inc. Method and apparatus for direct ARC plasma deposition of ceramic coatings
US5330800A (en) * 1992-11-04 1994-07-19 Hughes Aircraft Company High impedance plasma ion implantation method and apparatus
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5374456A (en) * 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5476691A (en) * 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
US5458927A (en) * 1995-03-08 1995-10-17 General Motors Corporation Process for the formation of wear- and scuff-resistant carbon coatings

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100635786B1 (ko) 2005-10-05 2006-10-18 삼성전자주식회사 플라스마 도핑 방법 및 이를 수행하기 위한 플라스마 도핑장치

Also Published As

Publication number Publication date
US6632482B1 (en) 2003-10-14
KR940027108A (ko) 1994-12-10
JPH07326318A (ja) 1995-12-12
US5354381A (en) 1994-10-11
JP3856846B2 (ja) 2006-12-13

Similar Documents

Publication Publication Date Title
KR100337718B1 (ko) 반도체웨이퍼에이온들을주입하는방법,비-래스터주사주입장치,표면을갖는반도체웨이퍼로의주입방법,및워크피스처리방법및장치
US5572038A (en) Charge monitor for high potential pulse current dose measurement apparatus and method
US6335536B1 (en) Method and apparatus for low voltage plasma doping using dual pulses
KR100855002B1 (ko) 플라즈마 이온 주입시스템
US6500496B1 (en) Hollow cathode for plasma doping system
KR101465542B1 (ko) 강화된 전하 중성화를 구비한 플라즈마 공정 및 공정 제어
KR101126376B1 (ko) 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법
KR101811364B1 (ko) 기판 플라즈마 프로세싱 기술들
WO2006063035A2 (en) Plasma ion implantation system with axial electrostatic confinement
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
KR20130026489A (ko) 유전체 기판의 플라즈마 침지 이온 주입을 위한 제어 장치
US9534287B2 (en) Machine for implanting ions in plasma immersion mode for a low-pressure method
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
JP3950177B6 (ja) 高電圧パルス流のドーズ量測定装置および方法のための電荷モニター

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120424

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 12

EXPY Expiration of term